KR20100041644A - 수소 이용 화학 반응으로 높은 주입량 주입 스트립(hdis) 방법 및 장치 - Google Patents

수소 이용 화학 반응으로 높은 주입량 주입 스트립(hdis) 방법 및 장치 Download PDF

Info

Publication number
KR20100041644A
KR20100041644A KR1020080116792A KR20080116792A KR20100041644A KR 20100041644 A KR20100041644 A KR 20100041644A KR 1020080116792 A KR1020080116792 A KR 1020080116792A KR 20080116792 A KR20080116792 A KR 20080116792A KR 20100041644 A KR20100041644 A KR 20100041644A
Authority
KR
South Korea
Prior art keywords
gas
plasma source
plasma
work piece
hydrogen
Prior art date
Application number
KR1020080116792A
Other languages
English (en)
Other versions
KR101791685B1 (ko
Inventor
하루히로 해리 고토
데이비드 청
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/251,305 external-priority patent/US8193096B2/en
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20100041644A publication Critical patent/KR20100041644A/ko
Application granted granted Critical
Publication of KR101791685B1 publication Critical patent/KR101791685B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

플라즈마가 수소 원소, 약 산화제, 그리고 불소 포함 가스를 사용하여 발생된다. 불활성 가스는 상기 플라즈마 소스 하류 그리고 샤워 헤드 상류에서 플라즈마로 삽입되며, 상기 샤워 헤드는 가스 혼합물을 반응 챔버내로 향하게 하고, 여기서 상기 혼합물이 높은- 주입량 주입 포토레지스트와 반응하게 된다. 상기 처리는 고 스트립 속도로 상기 외피와 벌트 레지스트 층 모두를 제거하며, 상기 작업 편 표면이 실리콘 손실은 적은 상태로 잔류물을 없애도록 한다.

Description

수소 이용 화학 반응으로 높은 주입량 주입 스트립(HDIS) 방법 및 장치{High Dose Implantation Strip (HDIS) In H2 Base Chemistry}
본 발명은 포토레지스트 재(감광 물질)(photoresist material) 제거 방법 및 장치에 대한 것이며, 작업 편 표면으로부터 관련 잔류물을 제거하기 위한 방법 및 장치에 대한 것이다. 특히, 이 같은 응용은 이온 주입 또는 플라즈마 사용 도핑 주입후 포토레지스트 재, 즉 감광물질(낮은 또는 높은- 주입량 주입이 된 감광물질)을 제거하기 위한 방법 및 장치에 대한 것이다.
포토레지스트는 가령 반도체 웨이퍼와같은 작업 편에 패턴 코팅을 형성시키기 위해 일정 제작 처리에서 사용된 감광 물질이다. 상기 포토레지스트 코팅 처리 표면을 높은 에너지 방사선 패턴에 노출시킨 후, 포토레지스트의 일부가 제거되고 그 아래 표면을 드러내며, 상기 표면 나머지 표면이 보호되게 된다. 에칭, 증착 그리고 이온 주입과 같은 반도체 처리는 커버되지 않은 표면 그리고 남은 광 경화성 수지에서 수행된다. 하나 또는 둘 이상의 반도체 처리 수행 후에, 상기 남은 포 토레지스트가 스트립 작업에서 제거된다.
이온 주입 중에, 도핑제 이온으로서 가령 붕소 이온, 붕소 디플로라이드, 인듐, 갈륨, 탈륨, 인, 비소, 안티몬, 비스무트, 게르마늄이 작업 편 표적으로 가속된다. 상기 이온들은 상기 작업 편의 노출된 영역 내 그리고 나머지 포토레지스트 표면 내에 주입(implant)된다. 상기 처리는 우물 영역(well regions )(소스/드레인) 그리고 묽게 도핑된 드레인(drain) (LDD) 그리고 더블 확산 드레인(DDD) 영역을 형성시킨다. 상기 이온 주입물들은 포토레지스트에 스며들며, 수소 표면을 고갈시킨다. 상기 바깥 측 층 또는 포토레지스트의 외피는 아래에 놓인 벌크 포토레지스트 층 보다 높은 밀도의 탄화 요소 층을 형성시킨다. 이들 두 층들은 각기 다른 열 팽창 층을 가지며 각기 다른 속도로 제거 처리에 반응한다.
상기 바깥 측 층 그리고 벌크 층 사이 차이는 뒤에 있을 높은- 주입량 이온 주입 포토레지스트에 매우 현저하다. 높은- 주입량 주입에서, 상기 이온은 1 x 1015 ions/cm2 보다 크며, 상기 에너지는 10Kev 에서 100 keV 이상까지 이다. 통상적인 높은- 주입량 주입 스트립(HDIS) 처리는 산소 화학물질을 사용하며, 단원자 산소 플라즈마가 상기 처리 챔버로부터 떨어져서 형성되며 다음에 상기 작업 편 표면으로 향하게 된다. 상기 반응 산소는 포토레지스트와 결합되어, 진공 펌프로 제거된 가스 부산물을 형성시키도록 한다. HDIS의 경우, 추가의 가스가 산소와 함께 주입된 도핑제를 제거하도록 한다.
중요한 HDIS 고려사항은 스트립 속도, 잔류물 양, 그리고 노출된 그리고 아래에 놓인 필름 층의 필름 손실을 포함한다. 잔류물은 HDIS 및 제거 후 기판 표면에서 발견됨이 일반적이다. 이들은 높은-에너지 주입 중에 스퍼터링으로부터 발생되며, 외피의 불완전 제거, 및/또는 상기 감광물질 내 주입 원자의 산화로 인해 발생된다. 상기 제거후에, 상기 표면은 잔류물이 없거나, 높은 산출률을 보장할 정도로는 잔류물이 실질적으로 존재하지 않아야 하며, 추가 잔류물 제거 처리를 필요로 하지 않아야 한다. 상기 잔류물은 오버스트립핑(overstripping), 즉 모든 감광물질 제거에 정상적으로 필요한 포인트를 지나 스트립 처리를 계속하는 것에 의해 제거될 수 있다. 불행하게도, 종래의 HDIS 작업에서, 상기 오버스트리핑은 때때로 아래에 높인 기능적 소자 구조 일부를 제거한다. 이 같은 소자 층에서, 상기 트랜지스터 소스/드레인 영역로부터 매우 적은 실리콘 손실조차 소자 성능에 바람직하지 않은 영향을 미칠 수 있으며, 특히 32nm 이하 디자인 룰 또는 그 이하에서 생산된 과도 얕은 접합 소자(ultra shallow junction devices )에 바람직하지 않은 영향을 미칠 수 있다.
따라서 포토레지스트 및 이온 주입과 관련된 잔류물을 제거하기 위한, 특히 HDIS동안, 실리콘 손실을 최소로 하며, 허용 가능 스트립 속도를 유지하면서 어떠한 잔류물도 남기지 않는 개선된 방법 및 장치를 제안함이 필요하다.
본 발명은 포토레지스트를 스트립하고 작업 편 표면으로부터 이온 주입 관련 잔류물을 제거시키기 위한 개선된 방법 및 장치를 제공하기 위한 것이다. 플라즈마는 수소 원소, 약 산화제 그리고 불소 포함 가스를 사용하여 발생된다. 일정 실시 예에서, 불활성 가스가 플라즈마 소스 하류 그리고 샤워 헤드 상류에서 상기 플라즈마로 삽입되며, 가스를 상기 반응 챔버 내로 향하게 한다. 상기 불활성 가스와 함께 상기 플라즈마-반응 가스 흐름은 높은-주입량 주입 포토레지스트(high-dose implant resist)와 반응하며, 외피 및 벌크 레지스트 층을 모두 제거하고, 상기 작업 편 표면에서 낮은 실리콘 손실로 잔류물을 없애도록 한다.
본 발명의 한 특징 예에서, 본 발명 방법은 다음 작업에 따라 처리 챔버 내에서 작업 편으로부터 물질을 제거함을 포함한다; 수소 원소 포함 가스, 약 산화제, 그리고 불소 포함 가스를 플라즈마 소스 내로 삽입시키고, 상기 플라즈마 소스 내로 삽입된 가스로부터 플라즈마를 발생시키며, 그리고 상기 플라즈마 소스 하류 그리고 상기 작업 편 하류로 불활성 가스를 삽입시킨다. 상기 플라즈마 활성 가스는 작업 편으로 이동하며, 상기 반응 챔버 내 샤워 헤드 상류에서 불활성 가스와 결합된다. 상기 플라즈마 내 전기 하전 종(electrically charged species )은 이들이 상기 샤워 헤드에 접촉하는 때 방전되거나 부분적으로 방전된다.
수소 원소, 약 산화제 그리고 불소 포함 가스를 포함하는 상기 플라즈마 활 성 가스가 불활성 가스와 함께 상기 작업 편으로 흐르며, 상기 작업 편으로부터의 물질과 반응한다. 약 산화제 예로서, 이산화 탄소, 일산화탄소, 이산화 질소, 질소 산화물, 물, 과산화 수소, 또는 이들 혼합물들이 있다. 약산화제로는 이산화 탄소가 바람직하다. 상기 불소 포함 가스로는 4 플로오르 탄소포함 다른 플루오르 탄소, 플루오르 탄화 수소, 불소 원소, 3플루오르 질소, 6플루오르 황, 이들의 혼합물 등을 포함한다. 플루오르 포함 가스로는 4 플루오르 탄소가 바람직하다. 상기 불활성 가스는 아르곤, 헬륨, 질소, 이들의 혼합물 등이 있다. 바람직한 불활성 가스는 아르곤이다. 상기 플라즈마 소스 내로 삽입된 가스는 사전에 혼합되거나 혼합되지 않을 수 있으며, 부피가 상기 약 산화제의 약 1% - 99%, 또는 약 0.1% - 10% 또는 약 3% - 5% 이다. 상기 불활성 가스는 수소 원소 용적 흐름 속도의 약 0. 15 -10배, 또는 약 2 배 가스 흐름 속도로 삽입된다. 상기 작업 편에서, 상기 가스는 부피로 상기 약 산화제 종의 최고 1%를 포함할 수 있으며, 불소 포함 가스 종의 약 0.5%를 포함할 수 있다.
일정 실시 예에서, 상기 작업 편으로부터 제거된 물질은 높은- 주입량 주입 포토레지스트이다. 상기 작업 편은 300mm 웨이퍼일 수 있다. 상기 플라즈마는 약 300 와트 및 약 10 킬로와트 사이 RF 파워를 사용하여 원격적으로 발생될 수 있다. 상기 작업 편의 온도는 상기 가스와 접촉되는 때 약 섭씨160-500 일 수 있다. 상기 처리 압력은 약 300 mTorr - 2 Torr 일 수 있다.
다양한 실시 예에따라, 상기 높은- 주입량 주입 레지스트는 약 100 nm/min 이상인 속도로 상기 작업 편으로부터 제거되며, 실리콘은 최고 약 4 nm/min 의 전체 속도로 상기 작업 편 표면으로부터 제거된다. 결과의 작업 편에는 제거 작업이 있은 후 상기 높은- 주입량 주입 레지스트의 잔류물이 없게되며, 약 3 옹스트롬 이하 실리콘이 아래에 놓인 실리콘 층으로부터 손실된다.
본 발명의 또 다른 특징은 반응 챔버 내에서 작업 편 표면으로부터 높은- 주입량 주입 레지스트를 제거하는 멀티-단계 방법에 대한 것이다. 상기 방법은 제 1 전체 흐름 속도로 수소 원소 포함의 제 1 가스, 약 산화제 그리고 불소 포함 가스와 함께 또는 불소 포함 가스 없이 플라즈마 소스 내로 삽입시키고, 상기 플라즈마 소스 내로 삽입된 제 1 가스로부터 제 1 플라즈마를 발생시키며, 상기 플라즈마 소스 하류로 그리고 상기 작업 편 상류로 제 1 불활성 가스를 삽입시키도록 하며, 그리고 상기 작업 편으로부터의 물질 제 1 부분을 상기 혼합물과 반응시킴을 포함하여, 상기 물질 제 1 부분을 제거함을 포함한다. 상기 방법은 또한 제 2 전체 흐름 속도로 수소 포함의 제 2 가스, 약 산화제, 그리고 불소 포함 가스와 함께 또는 불소 포함 가스 없이 플라즈마 소스 내로 삽입시키고, 상기 제 2 플라즈마 소스 내로 삽입된 제 2 가스로부터 제 2 플라즈마를 발생시키며, 상기 제 2 플라즈마 소스 하류로 그리고 상기 작업 편 상류로 제 2 불활성 가스를 삽입시키고, 상기 작업 편으로부터의 물질 제 2 부분과 반응시킴을 포함하여, 상기 물질 제 2 부분을 제거함을 포함한다. 상기 제 1 및 제 2 가스 성분은 상이하다. 일정 실시 예에서, 제 1 또는 제 2 가스 적어도 하나는 불소 포함 가스를 포함한다. 일정 실시 예에서 상기 제거 처리 종료시, 상기 작업 편에는 잔류물이 존재하지 않으며 아래에 놓인 실 리콘 층에서 약 3 옹스트롬 이하의 실리콘이 손실되었다. 상기 제 2 부분 제거 작업은 상기 제 1 부분 제거 작업 이전에 발생된다. 일정 실시 예에서, 상기 제거 작업 하나 또는 둘이상이 일회 또는 이회 이상 반복된다. 이들 제거 작업은 상기 반응 챔버 내 동일 또는 상이한 스테이션에서 발생된다.
본 발명의 또다른 특징에 따라, 본 발명은 반응 챔버와 컨트롤러(controller)를 포함하는, 작업 편 표면으로부터 레지스터 물질을 제거하기 위한 장치에 대한 것이다. 반응 챔버는 플라즈마 소스, 수소 원소 포함 가스 혼합물을 상기 플라즈마 소스 내로 삽입시키기 위한 가스 유입구, 상기 플라즈마 소스 하류 그리고 작업 편 상류로 불활성 가스를 삽입 시키기 위한 가스 유입구, 상기 가스 유입구 하류에 위치한 샤워헤드, 그리고 상기 샤워헤드 하류에 위치하며, 받침대(pedestal) 그리고 온도 조정 메커니즘을 포함하여 작업 편의 온도를 조정하도록 하는 상기 작업 편을 지지하는 작업 편 서포트(work piece support )를 포함한다. 상기 컨트롤러(controller)는 한 세트의 지시들을 수행하도록 구성되며, 이들 지시로는 수소 포함 가스, 약 산화제, 그리고 불소 포함 가스를 플라즈마 소스로 삽입시키도록 하는 지시, 상기 플라즈마 소스 내로 삽입된 가스로부터 플라즈마를 발생시키도록 하는 지시, 상기 플라즈마 소스 하류 그리고 작업 편 상류로 불활성 가스를 삽입시키도록 하는 지시, 그리고 선택적으로 상기 지시들이 가스를 삽입시키고, 플라즈마를 발생시키며, 그리고 각기 다른 흐름 속도 및 가스 성분을 사용하여 불활성 가스를 삽입시킴을 반복하도록 하는 것을 포함한다. 본 발명 방법 및 장치에 따라 사용된 플라즈마 소스는 다수의 플라즈마 소스 어느 하나 일수 있다. 가령 RF ICP 소스가 사용될 수 있다.
본 발명의 방법 및 장치에 따라 사용된 처리 챔버는 적절한 처리 챔버 어느 것을 사용해도 좋다. 처리 챔버로는 멀티-챔버 장치의 한 챔버일 수 있으며 혹은 단일 챔버 장치 일부 일수 있기도 하다. 일정 실시 예에서, 상기 반은 챔버로는 다수의 스테이션을 포함할 수 있으며, 적어도 하나의 스테이션이 플라즈마 소스, 다수의 가스 유입구, 샤워 헤드, 그리고 작업 편 서포트(support)를 포함할 수 있다.
하기에서는 도면을 참고하여 본원 발명을 상세히 설명한다.
본 발명에 대한 다음의 상세한 설명에서, 다수의 특정 실시 예가 기재되어, 본 발명에 대한 충분한 이해를 제공할 수 있도록 한다. 그러나, 당업자라면 알 수 있듯이, 본 발명은 특정한 상세 설명 없이도 실시 될 수 있으며, 선택적 실시 예 또는 처리를 사용하여서도 실시 될 수 있는 것이다. 또한 본 발명의 요지를 흐리지 않도록 하기 위해 공지의 처리 방법 등에 대해서는 상세하게 설명되지 않을 것이다.
본 출원 명세서에서, "작업 편(work piece)", "반도체 웨이퍼", "웨이퍼" 그리고 "부분적으로 제조된 집적 회로"는 같은 의미로서 상호 호환적으로 사용될 것 이다. 당업자라면, 상기 "부분적으로 제조된 집적 회로"라 함은 집적 회로 제작의 여러 단계 중 어느 한 단계 실리콘 웨이퍼를 나타내는 것임을 알 수 있을 것이다. 다음의 상세한 설명은 본 발명이 웨이퍼에서 실시 될 수 있음을 가정한 것이다. 그러나, 본 발명은 이에 한정되는 것은 아니다. 상기 작업 편은 다양한 형태, 크기, 및 재료로 만들어질 수 있다. 반도체 웨이퍼에 추가하여, 본 발명을 이용할 수 있는 다른 작업 편으로는 디스플레이, 인쇄 회로 기판 등과 같은 다양한 다른 재료가 될 수 있다.
앞서 설명한 바와 같이, 본 발명의 상기 방법 및 장치는 높은- 주입량 이온 주입 후 포토레지스트 재를 제거하기 위해 효과적으로 그리고 효율적으로 사용될 수 있다. 본 발명은 높은- 주입량 주입 스트립(HDIS)으로 제한 되지 않는다. 본 발명은 또한 주입된 특정한 도핑제 카데고리로 제한되지 않는다. 가령, 설명된 방법 및 장치는 매체 또는 낮은 주입량 주입제 후 스트립핑으로 효과적으로 사용될 수 있다. 붕소, 비소, 및 인과 같은 특정 도핑제 이온이 설명되었으나, 질소, 산소, 탄소, 게르마늄, 및 알루미늄과 같은 다른 도핑제로 주입된 감광물질을 제거하도록 효과적으로 사용될 수 있다.
포토레지스트 스트립핑에 대한 다양한 방법 및 장치가 "다운스트림 가스 혼합을 사용하여 낮은-K 필름 스트립핑 방법(Enhanced Stripping of Low-K Films Using Downstream Gas Mixing)"라는 명칭으로 2007년 2월 27일 출원된 미국 특허 출원 제 11/712,253호에서 공지되며, "다운스트림 가스 혼합을 사용하여 낮은-K 필름 스트립핑 방법(Enhanced Stripping of Low-K Films Using Downstream Gas Mixing)"라는 명칭으로 2004년 12월 13일 출원된 미국 특허 제 7,202,176호에서 공지된다. 이들 내용을 본원 명세서에서 참고로 인용한다.
본 발명의 방법 및 장치는 수소를 포함하는 가스로부터 발생된 플라즈마를 사용한다. 상기 가스는 약한 산화제 및 불소 포함 가스를 포함한다. 상기 가스는 또한 약한 산화제 그리고 불소 포함 가스를 포함하기도 한다. 본 발명 기술분야 통상의 기술자라면, 상기 플라즈마에 존재하는 실재 종류가 각기 다른 이온, 라디칼, 그리고 수소, 약한 산화제, 그리고 불소 포함 가스로부터 발생된 분자 혼합물일 수 있음을 알 수 있을 것이다. 상기 반응 챔버에는 적은 양의 탄화 수소, 이산화 탄소, 수증기 그리고 휘발성 성분과 같은 다른 종류가 존재할 수 있는 데, 이는 상기 플라즈마가 반응하여 유기성 광경화제 수지 및 다른 잔류물로 나뉘어 지기 때문이다. 당업자라면 상기 플라즈마로 삽입된 상기 초기 가스/가스들이 상기 플라즈마 내에 존재하는 가스뿐 아니라 스트립 중에 작업편과 접촉하는 가스와는 다르다는 것을 인식할 것이다.
도 1은 본 발명 장치 일정 실시 예에 따른 장치(100)의 개략적 설명이다. 상기 장치(100)는 플라즈마 소스(101) 그리고 샤워 헤드 어셈블리(105)에 의해 분리된 처리 챔버(103)를 갖는다. 플라즈마 소스(101)는 가스 유입구(111)에 연결된다. 샤워 헤드(109)는 샤워 헤드 어셈블리(105)의 저부를 형성시킨다. 불활성 가스 유입구(113)는 플라즈마 소스(101) 하류(다운스트림) 그리고 웨이퍼(123)와 샤워 헤드(109) 상류(엎스트림)이다. 인사이드 처리 챔버(103), 포토레지스트/드라이 에칭 부산물을 갖는 웨이퍼(123)가 압반(또는 스테이지)(117)에 위치한다. 압반(117)은 필요한 때 상기 압반 상에서 웨이퍼를 가열 또는 냉각할 수 있는 온도 제어 매카니즘에 연결된다. 어떤 실시 예에서는, 압반(117)이 웨이퍼(123)로 일정 바이어스(bias)를 적용하도록 구성될 수 있다. 진공 펌프 및 도관(119)를 통하여 반응 챔버(103)에 저압이 도달 될 수 있다.
동작시에, 가스가 가스 유입구(111)를 통하여 상기 플라즈마 소스(101)로 삽입된다. 상기 플라즈마 소스로 삽입된 가스는 화학적으로 활성 종을 포함하는 데, 이는 상기 플라즈마 소스에서 이온화되어 플라즈마를 형성시킬 것이다. 가스 유입구(111)는 어떤 종류의 가스 유입구일 수도 있으며, 멀티플 포트 또는 제트를 포함할 수 있다. 플라즈마 소스(101)는 상기 소스로 삽입되는 가스의 활성 종이 발생되어 플라즈마를 형성하도록 한다. 도 1에서, RF 플라즈마 소스가 상기 플라즈마를 형성시키기 위해 에너지화된 유도 코일(115)을 갖는 것으로 도시된다. 불활성 가스가 상기 샤워 헤드 상류 그리고 상기 플라즈마 소스 하류에서 가스 유입구(113)를 통하여 삽입된다. 상기 불활성 가스는 상기 플라즈마와 혼합된다. 가스 유입구(113)는 일정 형태의 가스 유입구일 수 있으며, 멀티플 포트 또는 제트를 포함하여, 불활성 가스와 상기 플라즈마 혼합을 최적합하게 하도록 한다. 샤워헤드(109)는 샤워 헤드 구멍(121)을 통해 상기 플라즈마/불활성 가스 혼합물을 처리 챔버(103)내로 흐르게 한다. 처리 챔버(103) 내 플라즈마/가스 혼합물 균일성을 최대화 하기 위해 샤워 헤드 구멍(121) 수와 배치가 정해질 수 있다. 샤워 헤드 어셈블리(105)는 접지되거나 일정 적용 전압을 가질 수 있으며, 이온을 포획하거나 방전할 수 있으며 이에 의해 처리 챔버(103) 내로의 가스 흐름 조성을 변경시킬 수 있다. 즉, 상기 가스는 증가된 중성 종(neutral species)을 포함한다. 상기 언급된 바와 같이, 웨이버(123)는 온도가 제어되며, RF 바이어스가 적용될 수 있다. 상기 플라즈마/불활성 가스 혼합물은 상기 웨이퍼로부터 포토레지스트/에칭 부산물을 제거한다.
청구 된 본 발명의 실시 예에서, 상기 장치는 샤워 헤드 어셈블리(105) 그리고 샤워 헤드(109)를 포함하지 않는다. 이들 실시 예에서, 상기 불활성 가스 유입구(113)는 상기 불활성 가스를 직접 상기 처리 챔버내로 삽입시키며, 여기서 웨이퍼 상류 플라즈마와 혼합된다. 상기 플라즈마 소스(101)와 유도 코일(115)의 다양한 구성과 기하학 구조가 사용될 수 있다. 가령, 상기 유도 코일(115)은 엇갈리는 패턴(interlaced pattern)으로 상기 플라즈마 소스(101) 둘레에서 고리모양으로 감긴다. 또 다른 실시 예에서, 상기 플라즈마 소스(101)는 실린더 대신 돔(dome) 형상으로 만들어질 수 있기도 하다.
적절한 플라즈마 장치로는 San Jose, CA 소재의 Novellus Systems, Inc.에의해 제공되는 Gamma 2100, 2130 I2CP (엇갈리게 배치되며 유도적으로 결합된 플라즈마), G400, 그리고 GxT 를 포함한다. 다른 장치로는 Rockville, Maryland 에 소재하는 Axcelis Technologies Inc. 에의해 제공되는 Fusion line, 대한민국에 소재하는 PSK Tech Inc.에 의해 제공되는 TERA21, 그리고 Fremont, CA.에 소재하는 Mattson Technology Inc. 에의해 제공되는 Aspen tool에 의해 제공될 수 있다.
도 2A-2D는 이온 주입 및 제거 작업 전후 반도체 생산의 다양한 단계를 도시 한다. 도 2A는 포토레지스트 재(203)로 코팅된 반도체 기판(201)을 도시한다. 상기 기판(201)은 산화물 필름, 실리사이드 접촉, 및/또는 폴리실리콘 필름과 같은 하나 또는 둘 이상의 증착 필름 층을 포함할 수 있으며, 또는 가령 실리콘-온-절연체 타입 기판을 포함하는 노출된 실리콘 기판일 수 있다. 초기에, 상기 포토레지스트 재는 전체 기판 표면을 코팅한다. 이 같은 포토레지스트는 다음에 마스크를 통해 발생된 패턴 방사선에 노출되며, 가령 남아 있는 포토레지스트 재(203)사이 도2A에서 도시된 오프닝(204)과 같은 본래의 감광물질 일부분을 제거하도록 된다.
상기 기판은 다음에 이온 주입 처리에 노출된다. 이온 주입 중에, 상기 작업 편 또는 웨이퍼의 표면은 도핑제 이온으로 주입된다. 상기 처리는 가령 플라즈마-담금 이온 주입(PIII) 또는 이온 비임 주입이 될 수 있다. 상기 이온들은 노출된 실리콘 층(201) 그리고 포토레지스트(203)를 포함하는 상기 기판 표면에 투하된다. 높은 에너지 이온 주입으로, 작은 양의 아래에 놓인 물질(207)이 상기 포토레지스트 사이드 벽들로 퍼뜨려진다. 도 2B를 참고한다. 상기 물질은 주입 종, 플라즈마 또는 이온 비임 내 다른 물질, 그리고 상기 주입의 부산물 중 몇 가지를 포함한다. 이들로는 실리콘, 알루미늄, 탄소, 불소, 티타늄, 코발트와 같은 다른 접촉 물질, 그리고 화합물 형태의 산소를 포함한다. 상기 실제 종류(actual species)는 이온 주입 이전 기판의 조성, 포토레지스트, 그리고 주입된 종(implanted species)에 의해 결정된다.
노출된 실리콘 층(201)에서, 도핑된 영역(209)이 발생된다. 상기 이온 에너지 또는 이온 투하(bombardment)의 세기는 상기 도핑된 영역의 깊이 또는 두께를 결정한다. 상기 이온 플럭스(ion flux) 세기는 도핑의 내용을 결정한다.
상기 이온들은 외피 층(205)을 발생시키는 포토레지스트 표면으로 스며든다. 상기 외피 층(205)은 탄소화 될 수 있으며 교차 결합 정도가 큰 중합체 체인일 수 있다. 상기 외피 층은 대개 수소가 고갈되고, 주입 종(implant species )으로 스며든다. 상기 외피 층(205)은 상기 벌크 포토레지스트 층(203)보다 밀도가 높다. 상기 상대적 밀도는 상기 이온 플럭스에 달려있으며, 외피 층의 두께는 이온 에너지에 따라 정해진다.
이 같은 외피 층(205)은 아래의 벌크 포토레지스트(203) 보다 제거하기가 더욱 어렵다. 상기 외피 층의 제거 속도는 그 아래에 놓인 벌크 포토레지스트 보다 50% 또는 75% 느리다. 상기 벌크 포토레지스트는 상대적으로 높은 수준의 화학적 결합 질소 그리고 오리지날 주조 용매 일부를 포함한다. 가령 150 에서 200oC 이상까지의 상승된 웨이퍼 온도에서, 상기 벌크 포토레지스트가 기체를 제거하거나, 외피 층과 관련하여 팽창된다. 다음에 아래의 벌크 포토레지스트가 외피 아래의 압력을 상승시키는 때 전체 포토레지스트가 "파영(pop)"된다. 상기 웨이퍼 표면 및 챔버 내부 부분으로부터 잔류물을 제거시킴이 특히 곤란하기 때문에, 포토레지스트 팝핑(poping)은 입자의 소스가 되며 처리 결함을 발생시킨다. 도핑 이온 주입이 클수록, 상기 외피와 아래의 벌크 포토레지스트 층 사이 밀도 차가 더욱 커진다. 상기 외피는 더욱 두꺼워진다.
도 2C는 스트립 이후 기판을 도시한 것으로서, 상기 포토레지스트(205) 및 상기 측벽 스퍼터 잔류물(207)을 완전히 제거하는 데 실패한 것을 도시한 것이다. 상기 측벽 스퍼터 잔류물(207)은 종래의 스트립 화학반응에서 휘발성 화합물을 형성시키지 않는 입자들을 포함한다. 이들 입자들은 종래의 스트립 작업이 있은 후 남아 있을 수 있다. 상기 잔류물은 또한 산화 붕소 및 산화 비소와 같은 종래의 스트립 화학 반응에서 사용된 반응 산소로 형성된 주입 종(implanted species )의 산화물을 포함할 수 있기도 하다. 외피(205) 부분은 상기 기판 위에 남아 있을 것이다. 외피 측벽들 그리고 포토레지스트 바이어스 저부 코너(corners)들은 그 기하학적 구조로 인해 제거하기가 쉽지 않다.
이들 잔류물 입자들은 어떤 경우 웨이퍼를 불화 화학 반응, 또는 웨트 클리닝 오버스트립핑(overstripping )에 의해 제거될 수 있다. 종래 산소 화학 반응에서 오버스트립핑은 원하지 않은 규소 산화를 발생시키고, 그럼에도 존재하는 붕소 산화 잔류물 및 비소 산화 잔류물을 제거하지 못한다. 본 발명에 따라 발생된 플라즈마에서 불화 화학 화합물을 사용하여 휘발성 붕소 불화물 및 비소 불화물을 형성시키는 불화물 라디칼을 발생시킨다. 이와 같이 함으로서, 잔류물을 제거할 수 있으나, 상기 기판으로부터 아래에 놓인 실리콘 및 실리콘 산화물을 에칭할 수 있기도 하다.
실리콘 손실은 포토레지스트 두께, 외피 두께, 그리고 오버스트립 퍼센트의 함수관계를 갖는다. 두꺼운 외피를 제거시키기 위해 더욱 길고 적극적인 스트립핑을 사용하여 더욱 많은 실리콘을 제거할 수 있기도 하다. 더욱 얇은 외피를 갖는 포토레지스트의 경우, 상기 외피 층과 벌크 포토레지스트 층 사이 차이는 더욱 눈 에 두드러진다. 상기 더욱 두꺼운 외피 측벽 그리고 코너들은 벗겨내기가 더욱 어렵다. 따라서, 두꺼운 외피를 제거하도록 디자인된 스트립 처리는 보다 많은 실리콘을 제거하는 경향이 있다. 오버스트립은 잔류물 제거에 추가하여 포토레지스트 균일성 및 기하학 구조를 조정하도록 사용된다. 만약 상기 포토레지스트가 웨이퍼 일정 영역에서 완전히 제거되고 다른 영역에서는 그러하지 않다면, 상기 스트립 처리 계속은 실리콘 및 실리콘 산화물과 같은 추가의 재료가 이미 스트립된(벗겨진) 영역으로부터 제거되도록 할 것이다. 오버스트립은 약 100%이다.
도 2D는 모든 잔류물이 제거된 기판을 도시한다. 바람직하게는 상기 잔류물이 추가의 실리콘 손실 또는 산화 없이 그리고 최소의 지연으로 제거된다. 더욱 바람직한 것은 상기 스트립 처리가 어떠한 잔류물도 남기지 않으며, 따라서 처리 단계 수를 줄인다는 것이다.
본 발명의 상기 설명된 처리 및 장치는 최소의 실리콘 손실로 잔류물 없는 스트립 처리를 달성하기 위해 약한 산화제 및 불소 포함 가스로 수소 사용 플라즈마 화학 반응을 사용한다. 상기 실리콘 손실은 플라즈마 내 불소 라디칼이 상기 처리 가스 내 수소와 결합되어 불소 라디칼로 남고 아래에 놓인 실리콘을 에칭하는 대신 불화 수소(HF)를 형성하도록 한다. 상기 플라즈마 내 이산화 탄소와 4플루오르화 탄소의 조합은 포스트 높은- 주입량 주입 포토레지스트(post high dose implant photoresist )를 벗겨내고, SEM 조사 또는 미국 캘리포니아 Milpitas 소재 KLA-Tencor 에서 제공되는 결함 조사 장치에 따라 상기 기판에 잔류물을 남기지 않거나 거의 남기지 않는 것으로 설명되었다. 이는 최소의 오버스트립(가령, 약 100% 오버스트립)으로 달성된다. 다양한 실시에 따라, 결함 검사 장치에 의해 탐지되는 바, 잔류물이 없는 조건은 약 3% 이하가 검사된 다이(die), 폴리머 결함을 갖는 것으로 표시된다.
허용 가능한 최소 실리콘 손실은 약 3옹스트롬, 바람직하게는 약 1옹스트롬이다. 포토레지스트 두께 및 다른 실리콘 손실에 영향을 줄 수 있는 인수에 관계없이, 반도체 소자 요구 조건은 이 같은 최소 실리콘 손실을 요구한다. 측정 에러를 줄이기 위해, 상기 실리콘 손실은 가령 트랜스미션 전자 현미경과 같은 전자 현미경을 사용하여 상기 소자 구조에서 실리콘 손실을 측정하기 전에 가령 5회와 같은 수회 동일한 스트립 처리를 통하여 웨이퍼를 처리함으로써 측정된다. 따라서 상기 얻어진 평균 실리콘 손실은 다양한 처리를 비교하기 위해 사용된다.
처리 파라미터 (PROCESS PARAMETERS)
상류 유입구 가스(Upstream Inlet Gas)
요소 수소를 포함하는 수소-포함 가스가 플라즈마 소스로 삽입된다. 상기 플라즈마 소스로 삽입된 가스는 플라즈마를 형성하기 위해 상기 플라즈마 소스에서 이온화 될 화학적 활성 종을 포함한다. 상기 플라즈마 소스로 삽입된 가스는 4플루오르화 탄소, C2F6 및 불화 수소 탄소를 포함하는 다른 불화 탄소, 3플루오르화 질소, 6 플루오르화 황과 같은 불소 포함 가스를 포함한다. 일정 실시 예에서, 상기 불소 포함 가스는 4플루오르화 탄소이다. 일정 특정 실시 예에서, 상기 플라즈마 소스로 삽입된 가스는 부피가 약 0.1% - 약 3%인 4플루오르화 물질로 구성된다. 상기 플라즈마 소스로 삽입된 가스는 이산화 탄소, 일산화 탄소, 이산화 질소, 질소 산화물 또는 물과 같은 약 산화물을 포함한다. 일정 실시 예에서, 상기 약 산화물은 이산화 탄소이다.
다양한 실시예에따라, 상기 유입구 가스는 부피가 약 1-99 퍼센트, 약 80- 99.9퍼센트, 또는 약 95퍼센트의 분자 수소, 약 0-99 퍼센트, 또는 0-10 퍼센트의 약 산화제, 그리고 0.1-10 퍼센트 불소 화합물을 포함한다. 일정 실시 예에서, 상기 유입구 가스는 부피가 약 95-99 퍼센트의 분자 수소, 약 0.1-3 퍼센트의 약 산화제, 그리고 0.1-1 퍼센트 불소 화합물을 포함한다. 특정 실시 예에서, 상기 플라즈마 소스로 유입된 가스는 부피가 약 95-99 퍼센트의 수소 원소, 약 1-3 퍼센트의 이산화 탄소, 그리고 1 퍼센트 또는 그 이하의 4플루오르화 물질을 포함한다.
상기 플라즈마 소스로 유입된 가스는 사전에 혼합되고, 부분적으로 혼합되거나, 혼합되지 않는다. 개별 가스 소스는 플라즈마 소스로 삽입되기 전에 혼합 공간 내로 흐른다. 다른 실시 예에서, 상기 각기 다른 가스는 상기 플라즈마 소스로 분리되어 들어간다. 상기 플라즈마 소스로 삽입된 가스는 멀티 스테이션 챔버의 각기 다른 반응 스테이션에서 사용되는 때 각기 다른 성분들을 갖는다. 가령 6-스테이션 챔버에서 스테이션 1 또는 스테이션 6이 상대적으로 많은 양의 블소 포함 가스를 처리 가스로 사용하여, 상기 외피 그리고 잔류물을 각각 제거하도록 할 수 있다. 하나 또는 둘 이상의 다른 스테이션은 적은 양의 또는 전혀 불소를 포함하지 않는 가스를 처리 가스로 사용할 수 있다. 이산화 탄소가 없거나 약 산화제를 갖는 처리 가스가 사용될 수 있기도 하다.
포토레지스트를 스트립(벗기는) 하는 방법 그리고 약 산화제를 갖는 수소 사용 플라즈마 에칭제가 미국 특허 제 7,288,484에서 공개 되며, 본원 명세서에서 참고로 인용된다.
플라즈마 발생(Plasma Generation)
다양한 종류의 플라즈마 소스가 본 발명에 따라 사용될 수 있으며, RF, DC그리고 마이크로파 사용 플라즈마 소스를 포함한다. 바람직한 실시 예에서, 한 하류의 RF 플라즈마 소스가 사용된다. 대개, 약 300 mm 웨이퍼를 위한 상기 RF 플라즈마 파워는 약 300 와트-10 킬로와트 사이이다. 일정 실시 예에서, 상기 RF 플라즈마 파워는 약 1000 와트-2000 와트 사이이다.
불활성 가스
다양한 불활성 가스가 스트리핑 처리에서 사용된다. 설명된 바와 같이, 이들 가스들은 상기 플라즈마 소스 하류에서 그리고 상기 샤워 헤드 상류에서 상기 플라즈마와 혼합되기 위해 삽입된다. 일정 실시 예에서, 상기 불활성 가스는 아르곤 또는 헬륨이다. 특정 실시 예에서, 상기 불활성 가스는 아르곤이다. 그러나, 질소 및 헬륨을 포함하는 불활성 가스가 사용될 수 있다. 일정 실시 예에서, 상기 불활성 가스 흐름 속도는 수소 흐름 속도 약 0.15 - 10.0 배이다. 특정 실시 예에서, 상 기 불활성 가스흐름 속도는 상기 수소 흐름 속도 약 1 - 3 배 또는 약 2 배이다.
불활성 가스 유입구
상기 불활성 가스 유입구는 다양한 타입 가스 유입구 어느 하나 일 수 있으며, 멀티플 포트 또는 제트를 포함하여 상기 플라즈마와의 혼합을 용이하게 하도록 한다. 상기 유입구 제트 각도는 혼합을 최대로 하기위해 최적화 될 수 있기도 하다. 한 실시 예에서는, 4개 이상의 불할성 가스 유입구 제트가 있다. 또 다른 실시 예에서는 상기 플라즈마 소스 저부에서 측정된 유입구 제트의 각도가 제로여서, 상기 플라즈마 소스로부터 상기 샤워 헤드 어셈블리(또는 샤워 어셈블리가 없다면 상기 처리 챔버)로 들어가는 플라즈마 흐름 방향에 수직으로 상기 유입구 가스가 주입된다. 많은 실시 예에서 상기 각도들은 상기 작업 편 면과 평행일 수 있으나, 다른 유입구 각도가 사용될 수 있음은 물론이다.
샤워 헤드 어셈블리(Showerhead Assembly)
본 발명의 다양한 실시 예에 따라, 상기 플라즈마 가스는 샤워헤드 어셈블리를 통하여 상기 작업 표면으로 분산된다. 상기 샤워헤드 어셈블리는 접지되거나, 일정 적용 전압을 가질 수 있어서, 웨이퍼로 중성 종 흐름에 영향을 미치지 않으면서, 가령 0-1000 의 와트 바이어스로 일정 전하 종을 흡인하도록 한다. 상기 플라즈마 내 많은 전기 전하 종은 상기 샤워 헤드에서 재 결합된다. 상기 어셈블리는 샤워 헤드를 포함하는 데, 이는 구멍들을 가져서 상기 플라즈마와 불활성 가스 혼 합이 상기 반응 챔버로 향하도록 하는 금속 플레이트일 수 있다. 상기 샤워 헤드는 상기 플라즈마 소스로부터의 활성 수소를 넓은 영역에서 재 분산시키도록 하며, 더욱 작은 플라즈마 소스가 사용될 수 있도록 한다. 상기 샤워 헤드 구멍들의 수와 배치는 스트립 속도와 스트립 속도 균일성을 최대로 하도록 정해진다. 상기 플라즈마 소스가 상기 웨이퍼에서 중앙에 위치하면, 상기 샤워 헤드 구멍들은 샤워헤드 중심에서 더욱 작아지고 그 수가 적어져서, 상기 활성 가스를 상기 바깥 측 영역으로 향할 수 있도록 한다. 상기 샤워 헤드는 적어도 100 개의 구멍들을 가질 수 있다. 바람직한 샤워헤드는 미국 캘리포니아, 샌 조세에 소재하는 Novellus Systems, Inc. 의 감마 xPR 샤워 헤드 또는 GxT 드롭-인 샤워 헤드일 수 있다.
샤워 헤드 어셈블리가 없는 실시 예에서, 상기 플라즈마 및 불활성 가스 혼합물이 상기 처리 챔버로 직접 들어간다.
처리 챔버(Process Chamber)
상기 처리 챔버는 스트립 작업을 위한 적절한 형태의 반응 챔버이면 된다. 멀티-챔버 장치의 한 챔버 일 수 있으며, 혹은 단일 챔버 장치일 수도 있다. 상기 챔버는 다수의 웨이퍼가 동시에 처리 될 수 있는 멀티플 스테이션을 포함할 수 있다. 상기 처리 챔버는 임플란트(주입), 에칭, 또는 다른 포토레지스트-관련 처리가 발생되는 동일한 챔버 일 수 있다. 다른 실시 예에서 분리된 챔버가 상기 스트립을 위해 따로 사용된다. 처리 챔버 압력은 300 mTorr - 2 Torr 일 수 있다. 또 다른 실시 예에서, 압력 범위는 0.9 Torr to 1.1 Torr 이다.
상기 처리 챔버는 스트립 작업이 수행되는 하나 또는 둘 이상의 처리 스테이션을 포함할 수있다. 일정 실시 예에서, 상기 하나 또는 둘 이상의 처리 스테이션은 예열 스테이션, 적어도 하나의 스트립 스테이션, 그리고 오버-애쉬 스테이션을 포함한다. 상기 처리 챔버 및 처리 스테이션의 다양한 특징이 도 1 과 관련 내용에서 설명된다. 상기 웨이퍼 서포트는 처리 중에 웨이퍼를 지원하도록 구성된다. 상기 웨이퍼 서포트는 또한 처리 중에 웨이퍼로 열을 전달하고 웨이퍼로부터 열을 전달받아서, 필요한 웨이퍼 온도를 조정하도록 한다. 일정 실시 예에서, 상기 웨이퍼는 다수의 최소 접촉 부에서 지지되며 물리적으로 상기 웨이퍼 서포트 표면 평면과는 접촉하지 않는다. 스핀들은 상기 웨이퍼를 픽엎하며 한 스테이션에서 다른 한 스테이션으로 전달한다.
적절한 플라즈마 챔버 및 시스템으로는 미국 캘리포니아, 산 조세 소재의 Novellus Systems, Inc. 에 의해 제공된 Gamma 2100, 2130 I2CP (Interlaced Inductively Coupled Plasma), G400, 그리고 GxT이 포함된다. 다른 시스템으로는 미국 Rockville, Maryland 소재의 Axcelis Technologies Inc. 으로부터의 Fusion line , 대한민국 소재의 PSK Tech Inc. 로부터의 TERA21을 포함한다. 또한, 다양한 스트립 챔버들이 클라스터 장치(cluster tools)로 구성될 수 있다. 가령, 스트립 챔버는 미국 캘리포니아, Santa Clara 소재의 Applied Materials로부터 제공되는 Centura 클라스터에 추가될 수 있다.
작업 편(work piece)
바람직한 실시 예에서, 본 발명의 방법 및 장치에 따라 사용된 상기 작업 편은 반도체 웨이퍼이다. 어떠한 웨이퍼 사이즈도 사용될 수 있다. 대부분의 모던 웨이퍼 생산 장비는 200 mm 또는 300 mm 웨이퍼를 사용한다. 상기 설명된 바와 같이, 상기 처리 및 장치는 에칭, 이온 주입, 또는 증착과 같은 처리 작업이 있은 후에 포토레지스트를 스트립 한다. 본 발명은 100 nm이하, 65 nm, 또는 45 nm 이하 매우 작은 특징 또는 임계 크기를 갖는 웨이퍼에 적절하다. HDIS 의 낮은 실리콘 손실 특징은 진보된 논리 소자의 매우 얕은 접합에 적합하다. 본 발명은 또한 라인(FEOL, 기판 공정) 이온 주입, 특히 높은- 주입량 이온 주입 정면 엔드(front end)를 받는 웨이퍼에 적당하다.
상기 플라즈마-활성 종은 포토레지스트와 작용하며 상기 웨이퍼상의 잔류물을 스퍼터(sputter)한다. 상기 웨이퍼에서, 상기 반응 가스는 다스의 플라즈마 활성 종들, 상기 불활성 가스, 라디칼, 충전된 종, 그리고 가스 부산물을 포함할 수 있다. 다양한 수소 종의 부피 농도는 웨이퍼 가스의 약 20-80%를 차지한다. 다양한 불소 종의 부피 농도는 웨이퍼 가스의 0.01% - 약 2% 또는1% 이하이다. 약 산화제 다양한 종의 부피 농도는 0.05 - 약 5% 또는약 1.2%이다. 이들 종들은 H2 *, H2 +, H+, H*, e-, OH, O*, CO, CO2, H2O, HF, F*, F-, CF, CF2, 및 CF3 를 포함한다.
처리 조건들은 상기 웨이퍼 크기에 따라 다양할 수 있다. 본 발명의 다른 실시 예에서, 작업 편 표면으로 플라즈마를 적용하는 동안 특정 온도로 상기 작업 편을 유지 시키도록 함이 바람직하다. 웨이퍼 온도는 섭씨 약 110도와 500 도 사이일 수 있다. 상기 설명된 포토레지스트 팝핑 가능성을 줄이기 위해, 웨이퍼 온도는 충분한 외피가 제거될 때까지 그리고 포토레지스트 팝핑이 염려되지 않을 때까지 서서히 증가 될 수 있다. 초기 스테이션 온도는 섭씨 약 110도에서 약 200도 사이이며, 가령 섭씨 약 180도 일 수 있다. 나중의 스테이션은 섭씨 285도 에서 섭씨 350도 사이의 높은 온도를 사용하여 양호한 스트립 속도를 유지 할 수 있다.
처리 흐름(Process Flow)
도 3은 본 발명의 특정 실시 예에 따라 다양한 작업을 보여주는 처리 흐름도이다. 한 웨이퍼가 웨이퍼 서포트 상에 있는 반응 챔버내에 위치하게 된다. 작업(301)에서, 수소 포함 가스가 플라즈마 소스로 삽입된다. 플라즈마는 작업(303)에서 상기 가스로부터 발생된다. 보다 많은 가스가 플라즈마 소스로 가해지는 때, 상기 플라즈마가 하류로 흐르며, 작업(305)에서 삽입된 불활성 가스와 혼합된다. 상기 플라즈마 내 하전된 종 일부는 혼합되어 활성된 종임에도 불구하고 중성을 형성하도록 한다. 상기 활성 종 그리고 불활성 가스는 샤워 헤드 정면 플레이트를 통하여 흐르며, 작업(307)에서 웨이퍼 표면에서 포토레지스트와 반응한다. 상기 반응 은 작업(309)에서 진공 펌프로 처리 영역에 의해 제거되는 휘발성 부산불을 발생시킨다. 상기 처리는 각기 다른 처리 파라미터를 사용하여 한 번 또는 더욱 더 많은 회수로 반복 될 수 있다. 가령, 상기 웨이퍼는 상기 처리의 반복중에 가열 또는 냉각될 수 있다. 또 다른 예에서, 각기 다른 초기 수소 포함 가스 및 불활성 가스 성분 그리고 흐름 속도가 사용될 수 있다. 바람직하게, 상기 반복 중 적어도 한번은 수소 원자, 이산화 탄소 그리고 4 플루오르 화 탄소를 포함하는 수소 사용 가스를 포함한다. 상기 반복 중 한 번 또는 두 번 이상은 이산화 탄소 또는 4 플루오르화 탄소를 포함하지 않는 수소 포함 가스를 포함한다.
다양한 실시 예에 따라, 상기 다양한 반복은 상기 포토레지스트의 각기 다른 부분, 가령 상기에서 논의된 외피 및 벌크 포토레지스트를 갖는 높은- 주입량 이온 주입 포토레지스트를 표적으로 하도록 디자인된다. 상기 제 1스트립 스테이션 내 제 1 스트립 반복은 상기 외피 층을 스트립하도록 디자인된다. 상기 제 1 스트립 반복은 수소 원자, 이산화 탄소 (또는 다른 약 산화제), 그리고 4 플루오르화 탄소(또는 다른 불소 포함 가스)와 함께 또는 동 탄소 없이 플라즈마를 발생시킴을 포함하며, 특히 외피 층을 스트립 하도록 한다. 상기 외피 층이 충분히 얇거나, 완전히 제거된 때, 제 2 스트립 반복은 자주 더욱 높은 웨이퍼 온도에서 상기 잔류물 및 상기 남은 외피 층과 함께 상기 벌크 포토레지스트를 스트립하도록 한다.
제 2 스트립 처리는 상기 제 1 스트립 처리와는 다른 처리 스테이션에서 수행될 수 있다. 제 2 스트립 처리는 약 산화제 또는 불소 포함 가스, 혹은 이들 모두 없이 발생된 플라즈마를 사용할 수 있다. 상기 벌크 포토레지스트가 제거된 뒤 에, 다른 가스 성분을 사용하는 또 다른 스트립 처리가 디자인되어 만약 잔류물이 아직 존재한다면 동 잔류물을 스트립 할 수 있다. 이 같은 잔류물 스트리핑 처리는 불소 포함 가스를 사용하여 어떠한 산화 주입 종도 제거 할 수 있도록 한다. 상기 설명된 스트립 반복은 스트립 되어질 포토레지스트 성분 그리고 처리 스테이션의 수에 따라 어떠한 순서로도 또는 빈도로도 수행될 수 있다. 당업자라면 본원 명세서에서 설명된 개념을 이용하여, 상기 스트립 화학반응에 대하여 높거나 낮은 저항을 갖는 더욱 두껍거나 얇은 외피를 스트립 할 수 있다. 또한 본원 명세서에서 설명된 개념은 각기 다른 특성을 갖는 두 개 이상의 포토레지스트 층이 다른 스트립 화학 반응을 사용하여 스트립 되는 때 다른 스테이션에 적용되어서 다른 포토레지스트 층들을 표적으로 하도록 할 수 있다.
실시 예 1
본 실시 예에서, 잔류물에 대한 이산화 탄소 그리고 4불화 탄소가 조사될 수 있다. 300mm 크기 웨이퍼가 45nm 구조로 패턴이 만들어지고, P+ 영역에서 LDD (도핑이 약한 드레인)으로 이온 주입된다. 결과로 발생된 포스트 높은- 주입량 주입 포토레지스트(post high dose implant resist )는 외피가 680옹스트롬인 것으로서 두께가 약 2000 옹스트롬이다.
웨이퍼들은 5개 플라즈마 스테이션을 갖는 스트립 챔버에서 스트립된다. 상기 플라즈마는 2000와트에서 RF 파워로 발생된다. 상기 웨이퍼는 각 스테이션에서 약 20초간 총 97초동안 플라즈마-활성 반응 가스에 노출된다. 상기 웨이퍼 서포트 온도는 섭씨 350도이다. 챔버 압력은 900 mTorr이다. 수소 흐름 속도는 6 slm (표준 리터/분)이다. 그리고 다운 스트림 아르곤 흐름 속도는 14slm이다. 이산화 탄소 흐름 속도는 0 - 150 sccm 이다. 4 플루오르화 탄소 흐름 속도는 20 - 40 sccm 이다. 이들 흐름 속도는 5개 플라즈마 스테이션을 갖는 전체 챔버에 대한 총 흐름 속도이다. 스테이션 각각은 총 흐름 속도의 약 1/5을 수용한다.
다양한 플라즈마-활성 반응 가스로 스트리핑 하기 전과 후 상기 웨이퍼에 대한 SEM 포토가 도 4A-4D로 도시된다. 도 4A는 스트리핑 이전 상기 웨이퍼의 작은 부분을 도시한다. 구조(401)는 상기 포스트 높은- 주입량 주입 포토레지스트이다. 패드(405)는 그 위에 구조(403)를 포함하며, 상기 포토레지스트가 패턴닝 처리 중에 제거된다. 따라서 HDIS 처리는 구조(401)를 제거한다.
도 4B 에서 도시된 바와 같은 제 1 웨이퍼에서, 4 풀루오르 탄소 20 sccms 그리고 이산화 탄소 150 sccms가 상기 플라즈마를 형성시키기 위해 상기 수소에 추가된다. "벌레(worm)과 같은 잔류물(407)이 상기 처리 후에 남아 있는다. 도 4C에 도시된 바와 같은 제 2 웨이퍼에서, 4 플루오르 탄소 40 sccms 그리고 이산화 탄소 150 sccms가 상기 플라즈마를 형성시키기 위해 상기 수소에 추가된다. 도C에서 도시된 바와 같이, 상기 스트립은 어떠한 잔류물도 남기지 않는다. 도 4D에서 도시된 또 다른 웨이퍼에서 4 플루오르 탄소 40 sccms가 이산화 탄소 없이 상기 플라즈마를 형성시키기 위해 상기 수소에 추가된다. 벌레와 같은 잔류물 형성이 다시 관찰되었다. 이 같은 결과는 4 플루오르 탄소와 함께 이산화 탄소 추가가 수소 사용 HDIS에서 잔류물이 없는 필름을 발생시킴을 보여준다.
실시 예 2
본 실시 예에서, 실리콘 손실이 있는 가운데 이산화 탄소 흐름 속도 및 4플루오르 탄소 흐름 속도 효과가 독립적으로 시험된다. 실시 예 1에서의 조건과 같은 처리 조건하에서 HDIS에서 실리콘 손실이 0, 50, 100, 및 150 sccm 의 이산화 탄소 흐름 속도에 대하여 측정되고, 4 플루오르 탄소 흐름 속도는 40 sccm에서 일정하게 유지된다. 상기 결과가 도 5A에서 도시된다. 실리콘 손실은 150 sccm의 이산화 탄소 흐름 속도에서 가장 낮으며, 이산화 탄소가 추가되지 않는 때 가장 높다. 이 같은 결과는 상기 플라즈마 내에 이산화 탄소 일부가 있게 되면 실리콘 손실을 줄임을 보여준다.
실시예 1의 조건과 동일한 처리 조건에서 HDIS 에 대한 실리콘 손실이 0, 40, 60, 80, 및 100 sccm의 4 플루오르 탄소 흐름 속도에 대하여 측정되며, 이산화 탄소 흐름 속도는 150 sccm에서 일정하게 유지된다. 상기 결과가 도 5B에서 도시된다. 상기 실리콘 손실은 60-80 sccm 의 4 플루오르 탄소 흐름 속도에서 최고 이다.
이들 결과는 실리콘 손실이 이산화 탄소 그리고 4 플루오르 탄소 흐름 속도에 의해 영향을 받는다. 특정 필름의 경우 당업자라면 실리콘 손실을 최소로 하고 상기 필름에 잔류물을 남기지 않는 HDIS 처리를 디자인 할 수 있을 것이다.
실시 예 3
또 다른 실시 예에서, 각기 다른 스테이션에서 각기 다른 가스 조성물을 사용하는 효과가 실리콘 손실 및 스트립 잔류물과 관련하여 조사되었다. 상기 처리 조건은 실시 예 1에서의 것과 같다. 다만, 웨이퍼 서포트 온도는 섭씨 250도이다. 제 1 방법에서 4 플루오르 탄소가 모든 스테이션에서 40 sccm의 총 속도로 사용되었다. 제 2 방법에서, 4플루오르 탄소가 20 sccm의 총속도(10 sccm/스테이션 )로 RF 스테이션 1 및 2 만으로 전달되었다. 이산화 탄소 흐름 속도는 150 sccm 로 일정하게 유지되었다.
두 경우 잔류물이 없는 기판이 HDIS 처리 후 얻어졌다. 손실된 평균 실리콘 손실은 제 1 방법에서 주기마다 8.1 옹스트롬이며, 제 2 방법에서는 6.7 옹스트롬으로 17%가 줄었다. 한 주기는 모든 스테이션에서의 처리를 포함하여, 장치를 완전하게 통과하는 것이다. 이와 같은 결과가 각기 다른 가스 성분을 사용하는 순차적인 스트립 처리는 잔류물이 없는 기판을 유지하면서 실리콘 손실을 줄일 수 있음을 도시한다.
실시 예 4
이 같은 실시 예에서, 스테이션 마다 짧은 처리 시간 그리고 낮은 4 플루오르 탄소 흐름 속도 영향이 조사되었다. 제 1 방법에서, 4 플루오르 탄소가 가해지지 않았으며 처리 시간은 스테이션마다 20초 이었다. 제 2 방법에서, 4 플루오르 탄소가 10 sccm 의 속도록 추가되었고 스트립 처리 시간은 스테이션 만다 10 초이었다. 두 방법에서, 상기 웨이퍼 서포트 온도는 섭씨285도 이었다.
제 1 방법에서, 상기 플라즈마 내에 4 플루오르 탄소가 없는 경우, 상기 스트립 후에 잔류물이 발견되었다. 주기당 평균 실리콘 손실은 1.93 옹스트롬(Å)이었다. 4 플루오르 탄소 흐름이 줄어들고 처리가 줄어든 제 2 방법에서, 상기 기판에는 잔류물이 없고, 주기 당 평균 실리콘 손실은 3.12옹스트롬(Å)이었다. 이 같은 결과는 4 플루오르 탄소 흐름 속도가 줄고 더욱 짧은 처리 시간으로 잔류물이 없는 기판을 만들도록 한다.
실시 예 5
이 같은 실시 예에서, 4 플루오르 탄소가 각기 다른 스테이션에서 상기 플라즈마 소스로 삽입되었다. 제 1 방법에서, 4 플루오르 탄소 5 sccm가 RF 스테이션 1내로 삽입되었다. 제 2 방법에서, 5 sccm 의 4 플루오르 탄소가 RF 스테이션 3내로 삽입되었다. 실리콘 손실이 제 1 주기 이후 그리고 제 5 주기 이후 측정되었으며 평균되었다. 상기 다른 처리 파라미터들은 실시 예 1에서와 같다.
제 1 방법은 잔류물이 없는 기판을 만들었다. 4 플루오르 탄소로 제 1 주기 후 실리콘 손실은 14.4옹스트롬(Å)이었다. 제 5 주기 후 실리콘 손실은 18.6옹스트롬(Å)이었다. 주기 당 상기 평균 실리콘 손실은 14.4옹스트롬에서 3.7옹스트롬으로 줄어들었다.
작은 양의 잔류물이 제 2 방법으로 HDIS 한 후 상기 기판에서 관찰되었다. 제 1 주기 후 실리콘 손실은 6.9옹스트롬(Å)이었으며, 제 1 방법에서의 실리콘 손실 보다 적었다. 제 5 주기 후 실리콘 손실은 10.3옹스트롬(Å)이었다. 주기 당 평균 실리콘 손실은 6.9옹스트롬(Å)에서 2.1옹스트롬(Å)으로줄었다.
이 같은 결과는 이 같은 화학 반응을 사용하는 실리콘 손실이 자체- 제한 반응(self-limiting reaction )으로서, 대부분의 실리콘 손실이 제 1 주기에서 발생되는 것임을 보여준다. 추가의 처리가 더욱 많은 실리콘을 제거하지는 못한다. 이는 불소 스트리핑 화학 반응을 갖는 통상의 산소에 대하여 장점이 되는 데, 이때 총 실리콘 손실은 처리 시간에 비례 된다. 오버 스트리핑이 필요한 경우, 가령 포토레지스트 두께가 균일하지 않은 때, 산소 화학 반응은 설명된 바와 같이 수소 화학 반응에서 보다 더욱 많은 실리콘 손실을 일으키게 될 것이다.
이와 같은 결과는 만약 4 플루오르 탄소가 사용되지 않는 다면, 상기 제 1 주기 실리콘 손실이 줄어듦을 보여준다. 당업자라면, 전체 실리콘 손실을 줄이기 위해 4 플루오르 탄소의 삽입을 지연 시킬 수 있다는 것을 이해할 것이다.
상기에서는 특정 실시 예가 설명의 목적으로 제공되었으나, 본원 발명을 특정 실시 예로 한정하고 자 함이 아님을 이해 할 것이다.
도 1은 본 발명 방법을 실시 하기 위해, 일정한 실시 예에 따른 장치를 도시한 개략적 도면이다.
도 2A-2D는 이온 주입 및 제거 작업 전후로 여러 단계의 반도체 제조를 도시한 도면이다.
도 3은 본 발명의 일정 실시에 따라 다양한 작업을 도시한 처리 흐름도이다.
도 4A-4D는 본 발명의 다양한 실시 예에 따라 다양한 조건하에 포토레지스트 패턴의 스트립 제거 전후 SEM 사진을 도시한다.
도 5A는 본 발명의 다양한 실시에 따라 다양한 이산화 탄소를 사용하여 HDIS 의 경우 실리콘 손실을 도시한 도면이다.
도 5B는 본 발명의 다양한 실시에 따라 4플루오르화 탄소 흐름 속도를 사용하여 HDIS에 대한 실리콘 손실을 도시한 도면이다.

Claims (29)

  1. 수소 원소 포함의 가스, 약 산화제 그리고 불소 포함 가스를 플라즈마 소스 내로 삽입시키고,
    상기 플라즈마 소스 내로 삽입된 가스로부터 플라즈마를 발생시키며, 그리고
    상기 플라즈마 소스 하류로 그리고 상기 작업 편 상류로 불활성 가스를 삽입시킴을 포함하고,
    상기 수소 포함 가스, 약 산화제, 그리고 불소 포함 가스가 상기 불활성 가스와 함께, 상기 작업 편으로 흘러서 상기 작업 편으로부터의 포토레지스트 물질과 반응함을 특징으로 하는 반응 챔버 내에서 작업 편 표면으로부터 물질을 제거하기 위한 높은 주입량 주입 스트립(HDIS) 방법.
  2. 제 1항에 있어서, 상기 불활성 가스가 아르곤, 헬륨, 질소 그리고 이들의 결합으로 구성된 그룹으로부터 선택됨을 특징으로 하는 방법.
  3. 제 1항에 있어서, 상기 불활성 가스를 삽입하는 단계가 상기 방응 챔버 내 샤워 헤드 상류로 상기 가스를 삽입함을 포함함을 특징으로 하는 방법.
  4. 제 3항에 있어서, 상기 플라즈마 내 상기 전기적으로 하전된 종 이들이 상기 샤워 헤드와 접촉하는 때 방전됨을 특징으로 하는 방법
  5. 제 1항에 있어서, 상기 약 산화제가 이산화 탄소, 일산화 탄소, 이산화 질소, 질소 산화물, 물, 과산화 수소 그리고 이들의 결합으로 구성된 그룹으로부터 선택됨을 특징으로 하는 방법.
  6. 제 1항에 있어서, 상기 약 산화제가 이산화탄소임을 특징으로 하는 방법.
  7. 제 1항에 있어서, 상기 플라즈마 소스내로 삽입된 가스 부피가 상기 약 산화제 0.1% - 10 % 임을 특징으로 하는 방법.
  8. 제 1항에 있어서, 상기 불소 포함 가스가 4 플루오르 탄소, 불소 원소, 3 플루오르 질소, 6플루오르 황, 플루오르 탄소, 플루오르 탄화 수소, 그리고 이들의 결합으로 구성된 그룹으로부터 선택됨을 특징으로 하는 방법.
  9. 제 1 항에 있어서, 상기 플루오르 포함 가스가 4플루오르 탄소임을 특징으로 하는 방법.
  10. 제 1 항에 있어서, 상기 불소 포함 가스가 CF4, C2F6, CHF3, CH2F2, C3F8, 또는 NF3 임을 특징으로 하는 방법.
  11. 제 1 항에 있어서, 상기 플라즈마 소스 내로 삽입된 가스 부피가 상기 불소 포함 가스의 0.1% - 3 % 임을 특징으로 하는 방법.
  12. 제 1 항에 있어서, 상기 플라즈마 소스 내로 삽입된 가스 부피가 상기 불소 포함 가스의 0.1% - 3 % 임을 특징으로 하는 방법.
  13. 제 1 항에 있어서, 상기 불활성 가스의 용적 흐름 속도가 수소 원소 용적 흐름 속도의 0.15 - 10 배 사이임을 특징으로 하는 방법.
  14. 제 1 항에 있어서, 상기 불활성 가스의 용적 흐름 속도가 상기 수소 원소 용적 흐름 속도 2 배임을 특징으로 하는 방법.
  15. 제 1항에 있어서, 상기 플라즈마 소스 내로 삽입된 가스가 사전에 혼합됨을 특징으로 하는 방법.
  16. 제 1 항에 있어서, 상기 작업 편이 300mm 웨이퍼 이며, 상기 플라즈마가 300 와트 - 10 킬로 와트 사이 RF 파워로 발생됨을 특징으로 하는 방법.
  17. 제 1 항에 있어서, 상기 작업 편의 온도가 수소 원소 포함 가스, 약 산화제 그리고 불소 포함 가스와 접촉하게 되는 때 섭씨 160도 - 400 도 사이임을 특징으로 하는 방법.
  18. 제 1 항에 있어서, 상기 처리 챔버 내 압력이 300 mTorr - 2 Torr 사이 임을 특징으로 하는 방법.
  19. 제 1 항에 있어서, 높은- 주입량 주입 포토레지스트가 100 nm/min 이상 인 속도로 상기 작업 편으로부터 제거되며, 그리고 실리콘이 최고 4 nm/min 전체 속도로 상기 작업 편 표면으로부터 제거됨을 특징으로 하는 방법.
  20. 제 12항에 있어서, 상기 작업 편이 제거 작업 후 높은- 주입량 주입 포토레지스트 잔류물이 없으며, 3 옹스트롬 이하의 실리콘이 아래에 있는 실리콘 층으로부터 상실됨을 특징으로 하는 방법.
  21. 제 1 전체 흐름 속도로 수소 원소 포함의 제 1 가스, 약 산화제 그리고 불소 포함 가스를 플라즈마 소스 내로 삽입시키고,
    상기 플라즈마 소스 내로 삽입된 제 1 가스로부터 제 1 플라즈마를 발생시키며, 그리고
    상기 플라즈마 소스 하류로 그리고 상기 작업 편 상류로 제 1 불활성 가스를 삽입시키어 제 1 혼합물을 형성시키도록 하고,
    상기 작업 편으로부터의 물질 제 1 부분을 상기 제 1 혼합물과 반응시킴을 포함하여, 상기 물질 제 1 부분을 제거함을 포함하며,
    제 2 전체 흐름 속도로 수소 포함의 제 2 가스, 그리고 약 산화제를 플라즈마 소스 내로 삽입시키고, 이때 상기 제 2 가스 조성은 제 1 가스와는 상이하며,
    상기 제 2 플라즈마 소스 내로 삽입된 제 2 가스로부터 제 2 플라즈마를 발생시키며,
    상기 제 2 플라즈마 소스 하류로 그리고 상기 작업 편 상류로 제 2 불활성 가스를 삽입시키어 제 2 혼합물을 형성시키도록 하고,
    상기 작업 편으로부터의 물질 제 2 부분을 상기 제 2 혼합물과 반응시킴을 포함하여, 상기 물질 제 2 부분을 제거함을 포함하며,
    3 옹스트롬 이하의 실리콘이 아래에 놓인 실리콘 층으로부터 상실되고, 그리고 상기 작업 편이 상기 물질 제거 후 잔류물이 없게 됨을 특징으로 하는,
    반응 챔버 내에서 작업 편 표면으로부터 높은- 주입량 주입 포토레지스트 물질을 제거하기 위한 방법.
  22. 제 21항에 있어서, 제 2 부분 제거 작업이 제 1 부분 제거 작업 이전에 발생됨을 특징으로 하는 방법.
  23. 제 21항에 있어서, 상기 제 1 부분 또는 제 2 부분 제거시키는 작업이 일회 또는 2회 반복됨을 특징으로 하는 방법.
  24. 제 21항에 있어서, 제 1 부분 제거 작업 그리고 제 2 부분 제거 작업이 상기 반응 챔버내 각기 다른 반응 스테이션 내에서 발생됨을 특징으로 하는 방법.
  25. 제 21항에 있어서, 제 1 부분 제거 작업 그리고 제 2 부분 제거 작업이 상기 반응 챔버 내 각기 다른 온도로 각기 다른 반응 스테이션에서 발생됨을 특징으로 하는 방법.
  26. 제 21항에 있어서, 상기 제 2 가스가 어떠한 불소 포함 가스도 없는 것임을 특징으로 하는 방법.
  27. 플라즈마 소스,
    수소 원소 포함 가스 혼합물을 상기 플라즈마 소스 내로 삽입시키기 위한 가스 유입구,
    상기 플라즈마 소스 하류 그리고 작업 편 상류로 불활성 가스를 삽입 시키기 위한 가스 유입구,
    상기 가스 유입구 하류에 위치한 샤워헤드, 그리고 상기 샤워헤드 하류에 위치하며, 피데스톨 그리고 온도 조정 메커니즘을 포함하여 작업 편의 온도를 조정하도록 하는 상기 작업 편을 지지하는 작업 편 서포트(work piece support )를 포함하는 반응 챔버, 그리고
    수소 포함 가스, 약 산화제, 그리고 불소 포함 가스를 플라즈마 소스로 삽입시키도록 하는 지시,
    상기 플라즈마 소스 내로 삽입된 가스로부터 플라즈마를 발생시키도록 하는 지시, 그리고
    상기 플라즈마 소스 하류 그리고 작업 편 상류로 불활성 가스를 삽입시키도록 하는 지시를 포함하는 한 세트의 지시를 실행하기 위한 컨트롤러(controller)를 포함하는 작업 편 표면으로부터 물질을 제거하기 위한 높은 주입량 주입 스트립(HDIS) 장치.
  28. 제 27항에 있어서, 상기 지시들이 가스를 삽입시키고, 플라즈마를 발생시키며, 그리고 각기 다른 흐름 속도를 사용하여 불활성 가스를 삽입시킴을 반복함을 더욱 포함함을 특징으로 하는 장치.
  29. 제 27항에 있어서, 상기 반응 챔버가 멀티플 스테이션을 포함하며, 각 스테이션이 플라즈마 소스, 다수의 가스 유입구, 샤워 헤드, 그리고 작업 편 서포트를 포함함을 특징으로 하는 장치.
KR1020080116792A 2008-10-14 2008-11-24 수소 이용 화학 반응으로 고용량 주입 스트립(hdis) 방법 및 장치 KR101791685B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/251,305 US8193096B2 (en) 2004-12-13 2008-10-14 High dose implantation strip (HDIS) in H2 base chemistry
US12/251,305 2008-10-14

Publications (2)

Publication Number Publication Date
KR20100041644A true KR20100041644A (ko) 2010-04-22
KR101791685B1 KR101791685B1 (ko) 2017-11-20

Family

ID=42217340

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080116792A KR101791685B1 (ko) 2008-10-14 2008-11-24 수소 이용 화학 반응으로 고용량 주입 스트립(hdis) 방법 및 장치

Country Status (4)

Country Link
JP (1) JP5586077B2 (ko)
KR (1) KR101791685B1 (ko)
CN (1) CN101727024B (ko)
TW (1) TWI497235B (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US9613825B2 (en) * 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
KR101357785B1 (ko) * 2012-09-11 2014-02-04 피에스케이 주식회사 기판 처리 방법
JP6202423B2 (ja) * 2013-03-05 2017-09-27 パナソニックIpマネジメント株式会社 プラズマクリーニング方法およびプラズマクリーニング装置
TWI653683B (zh) 2017-10-30 2019-03-11 世界先進積體電路股份有限公司 半導體結構和高電子遷移率電晶體的製造方法
WO2019118121A1 (en) * 2017-12-15 2019-06-20 Entegris, Inc. Methods and assemblies using flourine containing and inert gasses for plasma flood gun (pfg) operation
US11121229B2 (en) 2017-12-28 2021-09-14 Vanguard International Semiconductor Corporation Methods of fabricating semiconductor structures and high electron mobility transistors
CN108862200B (zh) * 2018-07-31 2020-04-28 苏州香榭轩表面工程技术咨询有限公司 一种超高纯氢氟酸的制备方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US20010027023A1 (en) * 2000-02-15 2001-10-04 Shigenori Ishihara Organic substance removing methods, methods of producing semiconductor device, and organic substance removing apparatuses
JP2001308078A (ja) * 2000-02-15 2001-11-02 Canon Inc 有機物除去方法、半導体装置の製造方法及び有機物除去装置並びにシステム
US20020185226A1 (en) * 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
US6951823B2 (en) * 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US20030036284A1 (en) * 2001-08-16 2003-02-20 Yu-Ren Chou Method for removing the photoresist layer of ion-implanting process
KR100476136B1 (ko) * 2002-12-02 2005-03-10 주식회사 셈테크놀러지 대기압 플라즈마를 이용한 표면처리장치
US7288484B1 (en) * 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US20060051965A1 (en) * 2004-09-07 2006-03-09 Lam Research Corporation Methods of etching photoresist on substrates
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
JP5011852B2 (ja) * 2005-07-20 2012-08-29 富士通セミコンダクター株式会社 電子デバイスの製造方法
US8034176B2 (en) * 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US8124516B2 (en) * 2006-08-21 2012-02-28 Lam Research Corporation Trilayer resist organic layer etch

Also Published As

Publication number Publication date
TW201015248A (en) 2010-04-16
CN101727024A (zh) 2010-06-09
JP5586077B2 (ja) 2014-09-10
TWI497235B (zh) 2015-08-21
KR101791685B1 (ko) 2017-11-20
JP2010098279A (ja) 2010-04-30
CN101727024B (zh) 2014-03-19

Similar Documents

Publication Publication Date Title
US9941108B2 (en) High dose implantation strip (HDIS) in H2 base chemistry
KR101226411B1 (ko) 초저실리콘 손실 고농도 주입 박리
JP6598420B2 (ja) 改良されたデバイスインテグリティのためのフォトレジスト剥離プロセス
KR101770008B1 (ko) 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
KR101791685B1 (ko) 수소 이용 화학 반응으로 고용량 주입 스트립(hdis) 방법 및 장치
TWI423323B (zh) 光阻剝離室及蝕刻基材上光阻之方法
US9514954B2 (en) Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
Hess et al. Plasma stripping, cleaning, and surface conditioning

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
E902 Notification of reason for refusal
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL NUMBER: 2015101005954; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20151008

Effective date: 20170626

S901 Examination by remand of revocation
GRNO Decision to grant (after opposition)
GRNT Written decision to grant