KR20100002139A - 마이크로파 플라즈마 처리 장치 - Google Patents

마이크로파 플라즈마 처리 장치 Download PDF

Info

Publication number
KR20100002139A
KR20100002139A KR1020090055884A KR20090055884A KR20100002139A KR 20100002139 A KR20100002139 A KR 20100002139A KR 1020090055884 A KR1020090055884 A KR 1020090055884A KR 20090055884 A KR20090055884 A KR 20090055884A KR 20100002139 A KR20100002139 A KR 20100002139A
Authority
KR
South Korea
Prior art keywords
gas
plasma
processing apparatus
support
microwave
Prior art date
Application number
KR1020090055884A
Other languages
English (en)
Inventor
코우지 다나카
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20100002139A publication Critical patent/KR20100002139A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(과제) 마이크로파 플라즈마에 의한 기판으로의 대미지(damage)를 더욱 저감하는 것이 가능한 마이크로파 플라즈마 처리 장치를 제공한다.
(해결 수단) 개시되는 마이크로파 플라즈마 처리 장치(10)는, 내부를 감압으로 유지하는 것이 가능한 처리 용기(11)와, 처리 용기(11) 내에 형성되어, 기판(S)을 지지하는 지지대(13)와, 처리 용기(13) 내에 가스를 공급하는 가스 공급부(31)와, 마이크로파를 발생하는 마이크로파 발생부(24)와, 지지대(13)와 대향하여 배치되어, 마이크로파 발생부(24)에 의해 발생된 마이크로파를 처리 용기(11) 내에 도입하는 플라즈마 도입부(20)와, 플라즈마 도입부(20)와 지지대(13)와의 사이에 배치되는 메시 플레이트(50)를 구비한다.
플라즈마, 도입부, 메시 플레이트, 처리 용기

Description

마이크로파 플라즈마 처리 장치{MICROWAVE PLASMA PROCESSING APPARATUS}
본 발명은, 마이크로파에 의해 처리 용기 내에 플라즈마를 생성하고, 플라즈마를 이용하여, 처리 용기 내에 지지되는 기판을 처리하는 플라즈마 처리 장치에 관한 것이다.
플라즈마 처리 기술은 반도체 디바이스의 제조에 불가결하다. 최근, LSI의 고집적화의 요청으로 디바이스 요소의 미세화가 진행되고 있어, 이에 수반하여, 미세화에 적합한 플라즈마 처리 장치가 요구되고 있다. 예를 들면, 종래부터 많이 쓰여 온 평행평판형이나 유도결합형의 고주파 플라즈마 처리 장치에서는, 전자 온도가 높기 때문에, 퇴적된 막이나 에칭 후의 하지(base)층에 대미지(damage)를 줄 경우가 있다. 그러한 대미지는 디바이스 요소의 미세화와 함께 특히 문제가 될 우려가 있다. 또한, 게이트 절연막의 박층화에 수반하여, 종래의 열 산화 게이트 절연막을 대신하여, 보다 높은 절연성을 갖는 산질화 실리콘이 검토되고 있지만, 종래의 플라즈마 처리 장치에서는, 플라즈마 대미지 때문에, 게이트 절연막으로서 충분한 절연성을 갖는 산질화 실리콘막을 실현할 수 없다.
이 때문에, 고밀도이고 저(低)전자 온도의 플라즈마를 균일하게 형성할 수 있는 RLSA(Radial Line Slot Antenna) 마이크로파 플라즈마 처리 장치가 주목되고 있다(예를 들면 특허문헌 1). RLSA 마이크로파 플라즈마 처리 장치에 있어서는, 소정의 패턴으로 다수의 슬롯이 형성된 RLSA로부터, 마이크로파 투과판을 통하여, 처리 용기 내에 마이크로파가 방사되어, 마이크로파 전계에 의해 마이크로파 플라즈마가 생성되고, 이 플라즈마에 의해 반도체 웨이퍼 등이 처리된다.
마이크로파는, 플라즈마 중의 전자 밀도가 마이크로파의 컷오프 밀도를 넘으면, 그 속을 전파할 수 없게 된다. 이 때문에, 마이크로파 투과판으로부터 수㎜에서 수십㎜의 플라즈마 여기(excitation) 영역에서는 플라즈마 밀도가 높고 전자 온도도 높지만, 이 영역을 넘어선 확산 플라즈마 영역에서는 전자 온도가 약 1eV로까지 저하한다. 즉, 마이크로파 플라즈마 처리 장치는, 플라즈마 밀도를 높게 유지하면서 전자 온도를 낮게 할 수 있다는 이점을 갖고 있어, 퇴적층이나 에칭 후의 하지층으로의 대미지를 저감하는 것이 가능해진다. 또한, 본래적으로 처리 용기 내에 전극을 필요로 하지 않기 때문에, 전극으로의 플라즈마 대미지나, 이에 유래하는 전극으로부터의 금속 오염 등을 저감할 수 있어, 소자로의 대미지를 작게 할 수 있다.
[특허문헌 1] 일본공개특허공보 2000-294550호
[비특허문헌 1] 「박막 태양 전지의 기초와 응용-환경친화적인 태양광 발전의 새로운 전개」, 태양광 발전 기술 연구 조합, 고나가이 마코토(小長井 誠) 저, pp.75∼81, 2001년 3월 발행, 옴(OHM)사
그러나, 종래의 플라즈마 처리 장치에 비하여, 소자에 주는 대미지가 작은 마이크로파 플라즈마 처리 장치에 있어서도 또한, 소자로의 대미지 등을 추가로 저감하고 싶다는 요망이 있다.
본 발명은, 상기의 사정을 감안하여 이루어져, 마이크로파 플라즈마에 의한 기판으로의 대미지를 더욱 저감함과 함께, 결함 밀도가 저감된 고품질인 막을 퇴적하는 것이 가능한 마이크로파 플라즈마 처리 장치를 제공하는 것을 목적으로 한다.
상기의 목적을 달성하기 위해, 본 발명의 제1 관점에 의하면, 내부를 감압으로 유지하는 것이 가능한 처리 용기와, 처리 용기 내에 형성되어, 기판을 지지하는 지지대와, 처리 용기 내에 가스를 공급하는 가스 공급부와, 마이크로파를 발생하는 마이크로파 발생부와, 지지대와 대향하여 배치되어, 마이크로파 발생부에 의해 발생된 마이크로파를 처리 용기 내에 도입하는 플라즈마 도입부와, 플라즈마 도입부와 지지대와의 사이에 배치되는 메시 플레이트를 구비하는 마이크로파 플라즈마 처리 장치가 제공된다.
본 발명의 제2 관점에 의하면, 제1 관점에 의한 마이크로파 플라즈마 처리 장치로서, 마이크로파 플라즈마 처리 장치가, 메시 플레이트의 온도를 조정하는 온도 조정부를 추가로 구비하는 마이크로파 플라즈마 처리 장치가 제공된다.
본 발명의 제3 관점에 의하면, 제1 또는 제2 관점에 의한 마이크로파 플라즈 마 처리 장치로서, 메시 플레이트에 전압을 인가하는 전원 장치를 추가로 구비하는 마이크로파 플라즈마 처리 장치가 제공된다.
본 발명의 제4 관점에 의하면, 제1 내지 제3 관점 중 어느 하나에 의한 마이크로파 플라즈마 처리 장치로서, 상기의 가스 공급부가, 가스 공급부의 내부에 형성되는 제1 가스 통로와, 제1 가스 통로에 연통(communication)하여, 제1 방향으로 개구하는 복수의 제1 가스 토출공과, 상기 제1 가스 통로와는 별개로 가스 공급부의 내부에 형성되는 제2 가스 통로와, 제2 가스 통로에 연통하여, 상기의 제1 방향과 다른 제2 방향으로 개구하는 복수의 제2 가스 토출공을 구비하는 마이크로파 플라즈마 처리 장치가 제공된다.
본 발명의 제5 관점에 의하면, 제1 내지 제4 관점 중 어느 하나에 의한 마이크로파 플라즈마 처리 장치로서, 메시 플레이트가, 가스 공급부와 지지대와의 사이에 배치되는 마이크로파 플라즈마 처리 장치가 제공된다.
본 발명의 제6 관점에 의하면, 제1 내지 제4 관점 중 어느 하나에 의한 마이크로파 플라즈마 처리 장치로서, 메시 플레이트가, 플라즈마 도입부와 가스 공급부와의 사이에 배치되는 마이크로파 플라즈마 처리 장치가 제공된다.
본 발명의 제7 관점에 의하면, 제1 내지 제3 관점 중 어느 하나에 의한 마이크로파 플라즈마 처리 장치로서, 상기의 가스 공급부가 제1 부재와 제2 부재를 포함하고, 제1 부재가, 당해 제1 부재의 내부에 형성되는 제1 가스 통로와, 제1 가스 통로에 연통하여, 제1 방향으로 개구하는 복수의 제1 가스 토출공을 포함하고, 제2 부재가, 당해 제2 부재의 내부에 형성되는 제2 가스 통로와, 제2 가스 통로에 연통 하여, 제1 방향과 다른 제2 방향으로 개구하는 복수의 제2 가스 토출공을 포함하는 마이크로파 플라즈마 처리 장치가 제공된다.
본 발명의 제8 관점에 의하면, 제7 관점에 의한 마이크로파 플라즈마 처리 장치로서, 플라즈마 도입부와 지지대와의 사이에 있어서, 제1 부재, 메시 플레이트 및, 제2 부재가, 플라즈마 도입부로부터 지지대로 향하는 방향을 따라 기재된 순서로 배치되는 마이크로파 플라즈마 처리 장치가 제공된다.
본 발명의 제9 관점에 의하면, 제7 관점에 의한 마이크로파 플라즈마 처리 장치로서, 플라즈마 도입부와 지지대와의 사이에 있어서, 메시 플레이트, 제1 부재 및, 제2 부재가, 플라즈마 도입부로부터 지지대로 향하는 방향을 따라 기재된 순서로 배치되는 마이크로파 플라즈마 처리 장치가 제공된다.
본 발명의 제10 관점에 의하면, 제7 관점에 의한 마이크로파 플라즈마 처리 장치로서, 플라즈마 도입부와 지지대와의 사이에 있어서, 제1 부재, 제2 부재 및, 메시 플레이트가, 플라즈마 도입부로부터 지지대로 향하는 방향을 따라 기재된 순서로 배치되는 마이크로파 플라즈마 처리 장치가 제공된다.
본 발명의 제11 관점에 의하면, 제1 내지 제4까지, 제7 및 제10 관점 중 어느 하나의 마이크로파 플라즈마 처리 장치로서, 메시 부재가, 지지대 상에 지지되는 기판에 접하지 않도록 지지대에 올려놓여지는 마이크로파 플라즈마 처리 장치가 제공된다.
본 발명의 일 실시 형태에 따르면, 마이크로파 플라즈마에 의한 기판으로의 대미지를 더욱 저감함과 함께, 결함 밀도가 저감된 고품질인 막을 퇴적하는 것이 가능한 마이크로파 플라즈마 처리 장치가 제공된다.
(발명을 실시하기 위한 최량의 형태)
이하, 첨부 도면을 참조하면서, 본 발명의 매우 적합한 실시 형태에 따른 마이크로파 플라즈마 처리 장치에 대하여 설명한다. 첨부 도면에 있어서, 동일 또는 대응되는 부재 또는 부품에는 동일 또는 대응되는 참조 부호를 붙여, 중복되는 설명을 생략한다. 또한, 도면은, 부재 또는 부품 간의 상대비(相對比)를 나타내는 것을 목적으로 하지 않으며, 따라서, 구체적인 치수는, 이하의 한정적이지 않은 실시 형태에 비추어, 당업자에 의해 결정되어야 할 것이다.
<제1 실시 형태>
도 1(A), (B)는, 본 발명의 제1 실시 형태에 따른 마이크로파 플라즈마 처리 장치(10)를 나타내는 개략 구성도이다. 본 실시 형태의 마이크로파 플라즈마 처리 장치(10)는, 아모퍼스 실리콘막이나 다결정 실리콘막 등의 실리콘막을 기판상에 퇴적하는 플라즈마 지원 박막 퇴적 장치이다.
도 1(A)를 참조하면, 마이크로파 플라즈마 처리 장치(10)는 처리 용기(11)와, 처리 용기(11) 내에 형성되어, 기판(S)을 정전 척(electrostatic chuck) 등에 의해 지지하는 지지대(13)를 포함한다.
처리 용기(11)는, 바람직하게는 알루미늄(Al)으로 제작하면 좋고, 또한, Al을 함유하는 오스테나이트 스테인리스강으로 제작해도 좋다. 이 스테인리스강으로 처리 용기(11)를 제작하는 경우에는, 처리 용기(11)의 내벽면에 산화 처리에 의한 산화 알루미늄으로 이루어지는 보호막을 형성하면 바람직하다. 또한, 처리 용기(11)의 측면에는, 처리 용기(11) 내로 기판을 반입출하기 위한 반입출구(도시하지 않음)와, 반입출구를 개폐하는 게이트 밸브(도시하지 않음)가 형성되어 있다.
처리 용기(11)의 저부에는, 지지대(13)상의 기판(S)의 중심을 축으로 하여, 축대칭으로 적어도 2개소, 바람직하게는 3개소 이상에 배기 포트(11a)가 형성되어 있다. 후술하는 샤워 플레이트(31)로부터 처리 용기(11)로 공급되는 가스는, 배기 포트(11a)를 통하여 배기 장치(41)에 의해 배기된다. 또한, 처리 용기(11)와 배기 장치(41)를 연결하는 배관(42)의 도중에, 압력 제어 밸브(43)가 형성되어, 이에 따라, 처리 용기(11) 내의 압력은, 약 4Pa∼133Pa(약 0.03∼10Torr)의 범위로 제어된다.
지지대(13)에는, 열전대(thermocouple; 13b)와 전열선 등의 히터(13a)가 매입되어 있다. 히터(13a)는 전원(14)에 접속되어, 전원(14)으로부터 히터(13a)에 전력이 공급된다. 또한, 열전대(13b)는 온도 조정기(15)에 접속되어 있다. 온도 조정기(15)는, 열전대(13b)으로부터의 신호에 기초하여, 전원(14)으로부터 히터(13a)로 공급되는 전력을 제어하기 위한 신호를 전원(14)으로 출력한다. 이에 따라, 히터(13a) 나아가서는 지지대(13)가 소정의 온도로 유지된다.
또한, 처리 용기(11)의 상부에는, 후술하는 마이크로파 발생 장치(24)로부터의 마이크로파를 처리 용기(11) 내로 도입하는 플라즈마 도입부(20)가 배치되어 있다. 플라즈마 도입부(20)는, 예를 들면 알루미나 등의 세라믹 재료로 제작되는 마 이크로파 투과창(20A)과, 마이크로파 투과창(20A)에 밀접하는 레이디얼 라인 슬롯 안테나(20B)(이하, 안테나(20B))와, 안테나(20B)를 지지하는 디스크 형상의 지지판(20C)과, 안테나(20B)와 지지판(20C)과의 사이에 끼워지는 지상판(遲相板, retardation sheet; 20D)을 갖고 있다.
마이크로파 투과창(20A)은, 유전체 재료, 예를 들면 석영, Al2O3, AlN, 사파이어, SiN 등의 세라믹 재료로 제작되어, 마이크로파를 투과하여 처리 용기(11) 내에 마이크로파를 도입하는 창으로서 기능한다. 안테나(20B)는, 표면이 금 또는 은 도금된 구리판 또는 알루미늄판으로 제작되고, 도 1(B)에 나타내는 바와 같이, 안테나(20B)를 관통하는 다수의 슬롯(20Ba, 20Bb)이 형성되어 있다. 지상판(20D)은, Al2O3, SiO2, AlN 또는 Si3N4 등의 높은 유전율을 갖는 유전체 재료로 제작된다.
지지판(20C)의 중앙부에는, 동축 도파관(21)이 배치되어 있다. 구체적으로는, 동축 도파관(21)의 외측의 도파관(21A)은 지지판(20C)과 접속되고, 내측의 도파관(21B)은 지상판(20D)의 중앙에 형성된 개구부를 지나 안테나(20B)에 접속되어 있다. 또한, 동축 도파관(21)은, 매칭 회로(23)를 통하여 마이크로파 발생 장치(24)에 접속되어 있다. 마이크로파 발생 장치(24)는, 915㎒, 2.45㎓ 또는 8.3㎓라는 주파수를 갖는 마이크로파를 발생한다.
본 실시 형태에 있어서는, 마이크로파 투과창(20A)의 하방에 예를 들면 Al2O3 등의 세라믹 재료나 Al 등의 금속으로 제작되는 샤워 플레이트(31)가 배치되어 있다. 샤워 플레이트(31)는, 2개의 별개의 가스 공급 라인을 포함하고 있다. 한 라인으로부터 플라즈마 생성 가스가 처리 용기(11) 내에서 상향으로 방출되고, 다른 라인으로부터 처리 가스가 처리 용기(11) 내에서 하향으로 방출된다. 이하, 도 2(A)∼(C)를 참조하여, 샤워 플레이트(31)를 상세하게 설명한다.
도 2(A)는, 샤워 플레이트(31)의 2개의 면 중 처리 용기(11) 내에서 마이크로파 투과창(20A)에 대향하는 면을 나타내고 있다. 샤워 플레이트(31)는, 도 2(A)에 나타내는 바와 같이, 격자 형상 부재(310)를 갖고 있다. 도 2(B)는, 도 2(A)에 있어서의 A-A선을 따른 격자 형상 부재(310)의 단면도이다. 도시한 바와 같이, 격자 형상 부재(310) 내에는, 상하로 배치되는 2개의 가스 통로(31A, 31B)가 형성되어 있다. 상방의 가스 통로(31A)는, 격자 형상 부재(310)의 상면에 형성된 가스 토출공(31AH)과 연통해 있다. 다시 도 2(A)를 참조하면, 격자 형상 부재(310)에는 가스 통로(31A)와 연통하는 복수의 가스 토출공(31AH)이 소정의 간격으로 형성되어 있다. 또한, 가스 통로(31A)는, 가스 포트(31AR)를 통하여, 플라즈마 처리 장치(10)의 외부에 설치된 가스 공급원(60)으로부터의 가스 공급관(61)과 연통해 있다. 가스 공급원(60)으로부터 가스 통로(31A)로 공급되는 가스는, 가스 공급관(61)(도 1(A)), 가스 포트(31AR) 및, 가스 통로(31A)를 이 순서로 흘러서, 처리 용기(11) 내에 있어서 가스 토출공(31AH)으로부터 마이크로파 투과창(20A)을 향하여 방출된다(도 1(A)). 이 가스는, Ar, He 등의 불활성 가스(플라즈마 생성 가스)여도 좋으며, 안테나(20B)로부터 처리 용기(11) 내에 도입되는 마이크로파에 의해 여기되어, 처리 용기(11) 내에 플라즈마가 생성된다.
한편, 샤워 플레이트(31) 내의 가스 통로(31B)는, 격자 형상 부재(310)의 하 면에 형성된 가스 토출공(31BH)과 연통해 있다(도 2(B)). 또한, 도 2(A)에 도시되는 면과 반대측의 면을 나타내는 도 2(C)를 참조하면, 가스 통로(31B)에는, 소정의 간격으로 형성되는 복수의 가스 토출공(31BH)이 형성되어 있다. 또한, 가스 통로(31B)는, 가스 포트(31BR)를 통하여, 가스 공급원(60)으로부터의 가스 공급관(62)과 연통해 있다. 가스 공급원(60)으로부터 가스 통로(31B)로 공급되는 가스는, 가스 공급관(62)(도 1(A)), 가스 포트(31BR) 및, 가스 통로(31B)를 이 순서로 흘러서, 처리 용기(11) 내에 있어서 가스 토출공(31BH)으로부터 지지대(13)를 향하여 방출된다(도 1(A)). 이 가스는, 전형적으로는 SiH4여도 좋으며, 처리 용기(11) 내에서 생성된 마이크로파 플라즈마에 의해 분해되어, 그 결과, 지지대(13)에 올려놓여지는 기판(S)에 실리콘이 퇴적된다.
또한, 샤워 플레이트(31)와 마이크로파 투과창(20A)과의 사이의 거리는, 처리 용기(11) 내에 생성되는 플라즈마의 플라즈마 여기 영역의 두께 이상이면 바람직하다. 이에 따라, 샤워 플레이트(31)를 플라즈마 여기 영역의 외측에, 즉 확산 플라즈마 영역에 배치할 수 있어, 플라즈마에 의한 샤워 플레이트(31)로의 대미지를 저감할 수 있다. 단, 샤워 플레이트(31)를 확산 플라즈마 영역의 외측에 배치할 필요는 없다. 이것은, 확산 플라즈마 영역에 있어서의 마이크로파 플라즈마의 전자 온도는 충분히 낮고, 이 영역에 샤워 플레이트(31)를 배치해도, 샤워 플레이트(31)로의 플라즈마 대미지는 거의 문제가 되지 않기 때문이다.
다시 도 1(A)를 참조하면, 샤워 플레이트(31)와 지지대(13)와의 사이에 메시 플레이트(50)가 배치되어 있다. 메시 플레이트(50)는, 처리 용기(11)의 저부로부터 수직으로 신장하는 지지 부재(51)에 의해 지지되어 있다. 지지 부재(51)에는, 지지 부재(51)를 상하로 이동하는 구동 장치(52)가 접속되어, 이에 따라, 메시 플레이트(50)와 지지대(13)의 상면과의 거리를 조절할 수 있다. 또한, 메시 플레이트(50)는, 도전성의 재료, 예를 들면 스테인리스 스틸이나 알루미늄 등의 금속에 의해 제작되어 있다. 또한, 메시 플레이트(50)에는, 지지 부재(51)를 통하여 메시 플레이트(50)에 전압을 인가하는 전원(53)이 접속되어 있다. 전원(53)에 의해, 메시 플레이트(50)는 처리 용기(11)에 대하여 소정의 전위차로 유지할 수 있다. 단, 메시 플레이트(50)는 접지되어 있어도 좋고, 접지로부터 분리(플로팅)되어 있어도 좋다. 또한, 도시한 바와 같이, 스위치(53S)를 형성하여, 전압의 인가, 접지, 플로팅으로 전환할 수 있도록 하면 바람직하다.
다음으로, 이상과 같이 구성된 플라즈마 처리 장치(10)(플라즈마 지원 박막 퇴적 장치)를 이용하여 실시되는 본 실시 형태의 실리콘막의 형성 방법에 대하여 설명한다.
우선, 구동 장치(52)에 의해, 메시 플레이트(50)와 지지대(13)와의 거리를 조정한다. 예를 들면, 이 거리는, 처리 용기(11) 내의 압력 나아가서는 처리 용기(11) 내의 가스 분자 등의 평균 자유 행정에 의해 결정하면 좋고, 정성적(定性的)으로는, 처리 용기(11) 내의 압력이 비교적 높은 경우는 짧게 하고, 비교적 낮은 경우는 길게 할 수 있다. 또한, 필요에 따라 전원(14) 및 온도 조정기(15)에 의해 지지대(13)를 가열한다. 지지대(13)의 온도는, 퇴적하고자 하는 실리콘막의 막질에 따라 적절히 결정해도 좋다. 또한, 필요에 따라 전원(53)에 의해 메시 플레이트(50)에 전압을 인가해도 좋다.
다음으로, 도시하지 않는 반송부에 의해, 기판(S)을 처리 용기(11) 내에 반입하여 지지대(13)상에 올려놓는다. 이어서, 플라즈마 생성 가스로서 예를 들면 Ar 가스를 가스 공급원(60)으로부터 가스 공급관(61)을 통하여 샤워 플레이트(31)로 공급한다. 이 Ar 가스는, 샤워 플레이트(31)의 가스 토출공(31AH)으로부터 마이크로파 투과창(20A)을 향하여 상향으로 방출된다. 또한, Ar 가스의 공급과 아울러, 배기 장치(41)에 의해 처리 용기(11) 내를 배기하여, 압력 제어 밸브(43)에 의해 처리 용기(11) 내를 소정의 압력으로 유지한다.
이 이후, 플라즈마 발생 장치(24)를 기동하여, 매칭 회로(23) 및 도파관(21B)을 통하여 플라즈마 도입부(20)의 안테나(20B)에 마이크로파를 도입한다. 이 마이크로파는, 안테나(20B)의 지름 방향으로 전파됨과 함께, 지상판(20D)에 의해 소정의 파장을 갖는 마이크로파로 변환되어, 안테나(20B)의 다수의 슬롯(20Ba, 20Bb)으로부터 마이크로파 투과창(20A)을 지나 처리 용기(11) 내로 도입된다. 이에 따라, 가스 토출공(31AH)으로부터 공급된 Ar 가스가 여기되어, 처리 용기(11) 내에 플라즈마가 생성된다. Ar이 여기되어 발생한 활성종은 확산 등에 의해, 처리 용기(11) 내를 하방을 향하여 흘러간다. 여기에서, 메시 플레이트(50)를 통과할 때, 이온이나 전자는 메시 플레이트(50)에 의해 포착되어 소멸된다. 이 때문에, 메시 플레이트(50)의 하방에서는, 플라즈마 생성 가스로부터 생긴 전기적으로 중성인 활성종의 농도가 높아져 있다.
이 이후, 실리콘막의 원료 가스인 SiH4를, 가스 공급원(60)으로부터 가스 공급관(62)을 통하여 샤워 플레이트(31)로 공급한다. 이 SiH4 가스는, 샤워 플레이트(31)의 가스 토출공(31BH)으로부터, 지지대(13)를 향하여 방출된다. SiH4는, 기판(S)에 도달하기까지의 사이에, 샤워 플레이트(31)의 상방으로부터 흘러오는 활성종과 충돌하여, SiH3 등의 분자종(分子種)이 생성된다. 이와 같이 하여 생성된 SiH4 기원의 활성한 분자종이 기판(S)상에 도달하여, 기판(S)상에 실리콘막이 퇴적된다.
플라즈마 지원 박막 퇴적 장치에 있어서는, 일반적으로, 플라즈마 중의 고(高)에너지의 이온이나 전자에 의해, 기판상에 퇴적되는 막이 대미지를 받아서 품질이 저하되는 경우가 있다. 또한, 예를 들면 SiH4 가스를 원료로 하여 박막 태양 전지용의 실리콘(아모퍼스 실리콘)막을 퇴적하는 경우, SiH3과 같은 에너지가 낮고, 해리가 진행되어 있지 않은 전구체에 의해 생성되는 실리콘막의 품질이 좋은 것으로 알려져 있다(예를 들면, 비특허문헌 1). 가스의 해리를 억제하려면, 가스종(種)끼리의 충돌 회수를 줄일 필요가 있어, 기판을 플라즈마에 가까이 대는 것이 유효하다. 그러나, 이 경우, 기판(S)이 이온이나 전자에 노출되어 대미지를 받는다는 문제나, 가스의 흐름을 균일하게 할 수 없어 막질의 균일성이 악화된다는 문제가 생긴다.
그러나, 본 실시 형태에 따른 플라즈마 처리 장치(10)에 있어서는, 기판(S) 의 상방에 배치된 메시 플레이트(50)에 의해, 플라즈마 중의 이온이나 전자가 포착되기 때문에, 퇴적되는 막으로의 대미지를 저감할 수 있음과 함께, SiH4 분자나 SiH3이 추가로 해리되는 것을 저감할 수 있다. 따라서, 이온이나 전자에 의한 막질의 저하가 방지되어, SiH3과 같은 저(低)에너지 전구체에 의한 고품질인 막의 퇴적을 실현할 수 있다.
또한, 메시 플레이트(50)의 그물눈 간격 치수(메시 개구부의 폭)는, 플라즈마 처리 장치(10)에서 실시되는 퇴적 처리 중인 처리 용기(11) 내의 가스 분자 등의 평균 자유 행정에 기초하여, 이온이나 전자가 메시 플레이트(50)에 충돌하는 확률이 높아지도록 결정해도 좋다. 이와 같이 하면, 메시 플레이트(50)에 의해 포착되는 이온이나 전자의 수를 증가시킬 수 있어, 이들에 의한 막질의 저하를 보다 저감할 수 있다.
또한, 본 실시 형태에 따른 플라즈마 처리 장치(10)에서는, 메시 플레이트(50)와 지지대(13)와의 거리를 짧게 할 필요가 없기 때문에, 이 거리를 임의로 조정하여, 막두께의 균일성을 향상하는 것도 가능하다.
또한, 마이크로파 플라즈마의 플라즈마 밀도는 높아, 해리되는 원료 가스 분자의 밀도를 높게 할 수 있기 때문에, 메시 플레이트(50)에 의해 이온이나 전자가 포착되어도, 또한, 메시 플레이트(50)에 실리콘이 퇴적되었다고 해도, 기판(S)에 퇴적되는 실리콘막의 퇴적 속도를 충분히 확보할 수 있다.
그리고 또한, 제1 실시 형태에 따른 플라즈마 처리 장치(10)는, 메시 플레이 트(50)에 대하여 전압을 인가하는 전원(53)을 갖고 있기 때문에, 메시 플레이트(50)로 인가되는 전압을 조정함으로써, 메시 플레이트(50)에서 포착되는 이온이나 전자의 양을 조정할 수 있다. 이에 따라, 기판(S)상에 퇴적되는 실리콘막의 성질이나 퇴적 속도를 제어하는 것이 가능해진다.
또한, 플라즈마 처리 장치(10)는, 지지대(13)에 대한 메시 플레이트(50)의 상대 거리를 조정할 수 있는 구동 장치(52)를 갖고 있기 때문에, 상대 거리를 조정함으로써, 처리 가스의 해리를, 나아가서는 막질이나 퇴적 속도를 제어할 수 있다. 예를 들면, 상대 거리를 짧게 하면, 해리를 억제하여 한층 고품질인 퇴적막을 얻을 수 있고, 상대 거리를 길게 하면, 해리를 촉진하여 퇴적 속도를 향상할 수 있다.
<제2 실시 형태>
다음으로, 본 발명의 제2 실시 형태에 따른 플라즈마 처리 장치에 대하여 설명한다. 이 플라즈마 처리 장치는, 제1 실시 형태에 따른 플라즈마 처리 장치(10)에 비하여, 메시 플레이트(50)의 온도를 조정하는 온도 조정부를 갖는 점에서 상위(相違)하며, 그 외의 점에서 동일하다. 이하, 상위점을 중심으로 설명한다.
도 3(A)를 참조하면, 제2 실시 형태에 따른 플라즈마 처리 장치(200)는, 온도 조정부(54)를 갖고 있다. 온도 조정부(54)는, 본 실시 형태에 있어서는, 메시 플레이트(50)에 부착된 히터(54a)(도 3(B))와, 히터(54a)로 전력을 공급하는 전원(54b)과, 지지 부재(51)를 지나 메시 플레이트(50)에 이르러, 메시 플레이트(50)의 온도를 측정하는 열전대(54c)와, 열전대(54c)로부터의 신호에 기초하여 전원(54b)으로부터 히터(54a)로 공급되는 전력을 제어하기 위한 신호를 전원(54b)으 로 출력하는 온도 조정기(54d)를 갖는다. 또한, 도시의 간편을 위해, 도 3에 있어서 전원(53), 배기 장치(41), 배관(42), 압력 제어 밸브(43) 및, 구동 장치(52) 등은 생략되어 있다.
도 3(B)를 참조하면, 메시 플레이트(50)의 외주부를 따라 히터(54a)가 부착되어 있다. 히터(54a)는, 예를 들면, 플라즈마 처리 장치(200)에서 사용되는 처리 가스에 대하여 내성을 갖는 시스 히터(sheath heater)나 리본 히터(ribbon heater)여도 좋다. 이러한 히터(54a)로 전력을 공급함으로써, 히터(54a)의 열이 메시 플레이트(50) 전체로 전반(傳搬; propagate)하여, 메시 플레이트(50)의 온도를 소정의 온도로 가열할 수 있다. 구체적으로는, 메시 플레이트(50)의 온도는, 예를 들면 실리콘막의 퇴적의 경우 200℃∼350℃가 바람직하다. 이에 따라, 메시 플레이트(50)로의 실리콘의 퇴적을 방지할 수 있어, 기판(S)상의 실리콘막의 퇴적 속도의 저하를 방지할 수 있다. 또한, 메시 플레이트(50)의 온도가 200℃ 이상인 경우, 고차(高次) 실란의 발생을 한층 더 억제하는 것이 기대되고, 따라서, 기판(S)상에 퇴적되는 실리콘막의 품질의 향상에 기여한다.
또한, 메시 플레이트(50)는, 온도 조정이 가능하게 구성되어 있는 점을 제외하고, 제1 실시 형태에 따른 플라즈마 처리 장치(10)의 메시 플레이트(50)와 동일하기 때문에, 이온이나 전자를 포착할 수 있다. 따라서, 제2 실시 형태에 따른 플라즈마 처리 장치(200)에 있어서도 제1 실시 형태에 따른 플라즈마 처리 장치(10)와 동일한 효과가 발휘된다.
<제3 실시 형태>
이어서, 본 발명의 제3 실시 형태에 따른 플라즈마 처리 장치에 대하여 설명한다.
도 4는, 제3 실시 형태에 따른 플라즈마 처리 장치(300)를 나타내는 개략도이다. 도 4를 도 1과 비교하면 분명한 바와 같이, 제3 실시 형태에 따른 플라즈마 처리 장치(300)는, 가스 공급부(샤워 플레이트)의 구조와, 가스 공급부와 메시 플레이트와의 위치 관계의 점에서, 제1 실시 형태에 따른 플라즈마 처리 장치(10)와 상위하며, 그 외의 점에서 동일하다. 이하, 상위점을 중심으로 설명한다.
도 4를 참조하면, 플라즈마 처리 장치(300)는, 플라즈마 생성 가스(전형적으로는 Ar 가스)를 처리 용기(11) 내에서 플라즈마 도입부(20)를 향하여 방출하는 샤워 플레이트(71)와, 처리 가스(실리콘막의 퇴적의 경우, 전형적으로는 SiH4 가스)를 처리 용기(11) 내에서 지지대(13)를 향하여 방출하는 샤워 플레이트(72)를 갖는다. 여기에서, 샤워 플레이트(71)는 플라즈마 도입부(20)와 메시 플레이트(50)와의 사이에 배치되고, 샤워 플레이트(72)는 메시 플레이트(50)와 지지대(13)와의 사이에 배치되어 있다.
샤워 플레이트(71, 72)는, 격자 형상으로 형성되고, 제1 실시 형태에 있어서의 샤워 플레이트(31)와 거의 동일한 평면 형상을 갖고 있다. 한편, 제1 실시 형태에 있어서의 샤워 플레이트(31)가 2개의 가스 공급 라인을 갖고 있었던 것에 대하여, 샤워 플레이트(71, 72)는 각각 1개의 가스 공급 라인을 갖고 있는 점에서, 샤워 플레이트(31)와 상위하다. 바꿔 말하면, 샤워 플레이트(71)는, 샤워 플레이 트(31)의 가스 통로(31A), 복수의 가스 토출공(31AH) 및, 가스 포트(31AR)에 상당하는 구조를 갖고, 샤워 플레이트(72)는, 샤워 플레이트(31)의 가스 통로(31B), 복수의 가스 토출공(31BH) 및, 가스 포트(31BR)에 상당하는 구조를 갖고 있다.
이러한 구성에 의하면, 가스 토출공(31AH)으로부터 상방으로 방출되는 플라즈마 생성 가스가, 플라즈마 도입부(20)에 의해 처리 용기(11) 내로 도입되는 마이크로파에 의해 여기되어 플라즈마가 생성되고, 여기된 플라즈마 생성 가스(활성종)는 확산 등에 의해, 처리 용기(11) 내를 하방을 향하여 흘러간다. 메시 플레이트(50)를 통과할 때, 이온이나 전자는 메시 플레이트(50)에 의해 포착되어 소멸된다. 이 때문에, 메시 플레이트(50)의 하방에서는, 플라즈마 생성 가스로부터 생긴 전기적으로 중성인 활성종의 농도가 높아져 있다.
한편, 메시 플레이트(50)의 하방에 배치되는 샤워 플레이트(72)의 가스 토출공(31BH)으로부터 하방으로 방출되는 처리 가스(SiH4 가스)는, 지지대(13)상의 기판(S)에 도달하기까지의 사이에, 상방으로부터 흘러오는 활성종과 충돌하여, SiH3 등의 분자종이 생성된다. 이와 같이 하여 생성된 SiH4 기원의 활성한 분자종이 기판(S)상에 도달하여, 기판(S)상에 실리콘막이 퇴적된다.
본 실시 형태에 따른 플라즈마 처리 장치(300)에 있어서도, 메시 플레이트(50)에 의해 이온이나 전자를 포착하여, 메시 플레이트(50)와 지지대(13)와의 사이의 라디칼 밀도를 상대적으로 높게 할 수 있기 때문에, 제1 실시 형태에 따른 플라즈마 처리 장치(10)와 동일한 효과가 발휘된다.
<제4 실시 형태>
계속해서, 본 발명의 제4 실시 형태에 따른 플라즈마 처리 장치에 대하여 설명한다.
도 5는, 제4 실시 형태에 따른 플라즈마 처리 장치(400)를 나타내는 개략도이다. 도 5를 도 4와 비교하면 분명한 바와 같이, 제4 실시 형태에 따른 플라즈마 처리 장치(400)는, 샤워 플레이트(71)와 메시 플레이트(50)와의 위치 관계의 점에서, 제3 실시 형태에 따른 플라즈마 처리 장치(300)와 상위하며, 그 외의 점에서 동일하다. 이하, 상위점을 중심으로 설명한다.
도 5를 참조하면, 제4 실시 형태에 따른 플라즈마 처리 장치(400)에서는, 메시 플레이트(50)가 플라즈마 도입부(20)와 샤워 플레이트(71)와의 사이에 배치되어 있다. 여기에서, 메시 플레이트(50)와 플라즈마 도입부(20)와의 사이의 거리는, 처리 용기(11) 내에 생성되는 플라즈마의 플라즈마 여기 영역의 두께 이상이면 바람직하다. 이에 따라, 메시 플레이트(50)를 플라즈마 여기 영역의 외측에, 즉 확산 플라즈마 영역에 배치할 수 있어, 플라즈마에 의한 메시 플레이트(50)로의 대미지를 저감할 수 있다. 단, 메시 플레이트(50)를 확산 플라즈마 영역의 외측에 배치할 필요는 없다. 이것은, 확산 플라즈마 영역에 있어서의 마이크로파 플라즈마의 전자 온도는 충분히 낮아, 메시 플레이트(50)를 배치해도, 샤워 플레이트(71, 72)로의 플라즈마 대미지는 거의 문제가 되지 않기 때문이다.
이 구성에 의하면, 가스 토출공(31AH)으로부터 상방으로 방출되는 플라즈마 생성 가스는, 메시 플레이트(50)를 통과하여, 플라즈마 도입부(20)의 근방에 도달 하고, 플라즈마 도입부(20)에 의해 처리 용기(11) 내로 도입되는 마이크로파에 의해 여기되어 플라즈마가 생성된다. 플라즈마에 의해 생긴 활성종, 이온 및, 전자는, 다시 메시 플레이트(50)를 통과하여 하방으로 흘러간다. 메시 플레이트(50)를 통과할 때, 이온이나 전자는 메시 플레이트(50)에 의해 포착되어 소멸된다. 이 때문에, 주로, 플라즈마 생성 가스로부터 생긴 라디칼 등의 전기적으로 중성인 활성종이 더욱 하방으로 흘러간다. 한편, 샤워 플레이트(72)의 가스 토출공(31BH)으로부터 하방으로 방출되는 처리 가스는, 지지대(13)상의 기판(S)에 도달하기까지의 사이에, 상방으로부터 흘러오는 라디칼 등과 충돌하여, 라디칼 등에 의해 SiH3 등의 분자종이 생성된다. 이와 같이 하여 생성된 SiH4 기원의 활성한 분자종이 기판(S)상에 도달하여, 기판(S)상에 실리콘막이 퇴적된다.
본 실시 형태에 따른 플라즈마 처리 장치(400)에 있어서도, 메시 플레이트(50)에 의해 이온이나 전자를 포착하여, 메시 플레이트(50)와 지지대(13)와의 사이의 라디칼 밀도를 상대적으로 높게 할 수 있기 때문에, 제1 실시 형태에 따른 플라즈마 처리 장치(10)와 동일한 효과가 발휘된다.
<제5 실시 형태>
다음으로, 본 발명의 제5 실시 형태에 따른 플라즈마 처리 장치에 대하여 설명한다.
도 6은, 제5 실시 형태에 따른 플라즈마 처리 장치(500)를 나타내는 개략도이다. 도 6을 도 1과 비교하면 분명한 바와 같이, 제5 실시 형태에 따른 플라즈마 처리 장치(500)는, 제1 실시 형태에 따른 플라즈마 처리 장치(10)의 메시 플레이트(50) 대신에, 메시 돔(mesh dome; 50D)이 이용되고 있는 점에서, 플라즈마 처리 장치(10)와 상위하며, 그 외의 점에서 동일하다.
도 6을 참조하면, 메시 돔(50D)은, 도전성의 재료로 제작되는 메시를 돔 형상으로 만곡시킴으로써 구성되어, 지지대(13)상에 배치되어 있다. 또한, 메시 돔(50D)은, 기판(S)의 직경보다도 큰 직경을 가지며, 이 때문에, 지지대(13)상에서 기판(S)을 덮도록 올려놓여진다. 메시 돔(50D)의 메시의 그물눈 간격은, 메시 플레이트(50)와 동일하게 결정되어도 좋다. 또한, 메시 돔(50D)은, 돔 형상의 만곡(곡률)을 적절히 조정함으로써, 지지대(13)의 상면과의 거리를 실질적으로 조절할 수 있다.
메시 돔(50D)은, 소정의 반송부에 의해 기판(S)을 지지대(13)상에 올려놓을 때에 기판(S)과 동시에 올려놓아도 좋다. 또한, 메시 돔(50D)은, 지지대(13)상에 배치되어 있기 때문에, 지지대(13)를 가열함으로써, 기판(S)과 동일하게 가열될 수 있다. 이 때문에, 본 실시 형태에 있어서는, 제2 실시 형태에 있어서의 온도 조정부(54)는 불필요하다.
메시 돔(50D)에 의해서도, 플라즈마 중의 이온이나 전자를 포착할 수 있기 때문에, 제1 실시 형태에 따른 플라즈마 처리 장치(10)와 동일한 효과가 발휘된다.
이상, 몇 가지 실시 형태를 참조하면서 본 발명을 설명했지만, 본 발명은 상기의 실시 형태에 한정되는 일은 없고, 첨부의 특허청구의 범위의 요지 내에 있어서 여러 가지 변경 및 개조가 가능하다.
예를 들면, 메시 플레이트(50)는, 도전성의 재료, 예를 들면 스테인리스 스틸이나 알루미늄 등의 금속에 의해 제작되지만, 다른 실시 형태에 따른 플라즈마 처리 장치에 있어서는, 비도전성의 재료, 예를 들면 알루미나, AlN 등의 세라믹에 의해 제작되어도 좋다. 메시 플레이트가 도전성을 갖고 있지 않아도, 이온이나 전자는 메시 플레이트에 충돌함으로써 포착되기 때문에, 이온이나 전자를 저감하는 효과가 기대된다.
또한, 다른 실시 형태에 있어서는, 1 또는 2 이상의 메시 플레이트를 형성해도 좋다. 이에 따라, 이온이나 전자를 보다 효과적으로 포착하는 것도 가능해진다.
또한, 상기의 실시 형태의 2 이상을 조합해도 좋다. 예를 들면, 제2 실시 형태에 따른 플라즈마 처리 장치(200)에 형성되어 있었던 온도 조정부(54)를 제3 내지 제5 실시 형태에 따른 플라즈마 처리 장치(300, 400, 500)에 형성하여, 이들의 플라즈마 처리 장치에 있어서 메시 플레이트(50)를 가열해도 좋은 것은 말할 필요도 없다.
또한, 본 발명의 제1 실시 형태에 따른 플라즈마 처리 장치(10)(도 1)에 있어서는, 샤워 플레이트(31)는, 2개의 독립된 가스 공급 라인을 포함하며, 하나의 라인으로부터 플라즈마 생성 가스를 상향으로 방출하고, 다른 라인으로부터 처리 가스를 하향으로 방출하도록 구성되어 있었지만, 이에 한정되는 일은 없다. 예를 들면, 샤워 플레이트(31) 대신에, 각각 1개의 가스 공급 라인을 포함하는 2개의 샤워 플레이트(예를 들면 샤워 플레이트(71, 72))를 준비하여, 플라즈마 도입부(20) 와 메시 플레이트(50)와의 사이에 있어서, 1개를 플라즈마 생성 가스용으로 이용하여 플라즈마 생성 가스를 상향으로 방출하도록 배치하고, 다른 1개를 처리 가스용으로 이용하여 처리 가스를 하향으로 방출하도록 배치해도 좋다.
또한, 전술한 모든 플라즈마 처리 장치(10; 200, 300, 400, 500)에 있어서, 샤워 플레이트(31; 71, 72)는 격자상의 형상을 갖고 있지만, 샤워 플레이트의 형상은 이에 한정되지 않고, 동심원 형상이라도 나선 형상이라도 좋다.
또한, 본 발명의 제4 실시 형태에 따른 플라즈마 처리 장치(400)(도 5)에 있어서, 샤워 플레이트(71, 72) 대신에, 제1 실시 형태에 따른 플라즈마 처리 장치(10)의 샤워 플레이트(31)를 준비하여, 이것을 메시 플레이트(50)와 지지대(13)와의 사이에 배치해도 좋다.
또한, 전술의 모든 플라즈마 처리 장치(10; 200, 300, 400, 500)에 있어서도, 가스 공급부로서 샤워 플레이트(31; 71, 72)가 이용되고 있었지만, 이에 한정되는 일은 없다. 샤워 플레이트(31; 71, 72) 대신에, 처리 용기(11)의 측벽으로부터 가스 노즐을 처리 용기(11) 내로 삽입하여, 가스 노즐로부터 플라즈마 생성 가스나 처리 가스를 처리 용기(11) 내로 공급하도록 해도 좋다. 이 경우, 가스 노즐과 메시 플레이트(50)와의 위치 관계는, 전술한 샤워 플레이트(31; 71, 72)와 메시 플레이트(50)와의 위치 관계와 동일해도 좋다. 또한, 가스 노즐을 사용하는 경우에는, 가스 노즐로부터의 가스를 균일한 농도로 기판(S)으로 유도하기 위해, 플라즈마 도입부(20)측과 지지대(13)측과의 사이에 비교적 큰 압력차를 생기게 하는 압력차 형성부를 플라즈마 도입부(20)와 지지대(13)와의 사이에 형성하는 것이 바람 직하다.
또한, 샤워 플레이트(31)의 가스 토출공(31BH)은, 가스 토출공(31AH)과 정반대의 수직 방향 하향으로 형성되어 있었지만, 도 7에 나타내는 바와 같이, 가스 통로(31B)에 연통하는 2개의 가스 토출공(31BH)을 가스 토출공(31AH)과 반대 방향으로 서로 소정의 각도를 가지고 형성해도 좋다. 이에 따라, 처리 가스가 수직 방향으로부터 빗나간 방향으로 방출되기 때문에, 처리 용기(11) 내의 처리 가스의 농도를 용이하게 균일하게 할 수 있다. 또한, 샤워 플레이트(72)에 있어서 가스 토출공(31BH)을 동일하게 형성해도 좋다.
또한, 전술의 실시 형태에 있어서는, 플라즈마 생성 가스와 처리 가스에 대하여 별도의 가스 공급부를 형성했지만, 마이크로파에 의해 처리 가스를 여기하여 플라즈마를 생성하는 것이 가능한 경우에는, 플라즈마 생성 가스용의 가스 공급부를 형성하는 일 없이, 처리 가스만을 처리 용기(11)로 공급하도록 해도 상관없다.
제5 실시 형태에 있어서의 메시 돔(50D)의 형상은, 돔 형상으로 한정되지 않고, 정부(頂部)에 상당하는 부분이 평면 형상이어도 좋고, 기판(S)에 접하지 않는 한에 있어서 오목 형상으로 변형되어 있어도 상관없다. 또한, 지지대(13)상에, 지지 부재를 통하여, 기판(S)에 접하지 않도록 메시를 올려놓아도 좋다.
또한, 메시 돔(50D)에 히터를 형성하여, 소정의 온도 조정부에 의해, 메시 돔(50D)의 온도를 제어하도록 해도 좋다. 이 히터는, 예를 들면, 제2 실시 형태에 있어서의 히터(54a)와 동일한 구성으로 할 수 있다. 이 경우, 메시 돔(50D)을 지지대(13)상에 올려놓는 것이 아니라, 소정의 지지 부재로 지지함으로써, 메시 돔(50D)의 온도를 지지대(13)의 온도와 다른 온도로 설정할 수 있도록 하면 바람직하다. 또한, 메시 돔(50D)의 온도를 지지대(13)의 온도와 다른 온도로 설정할 수 있으면, 예를 들면, 열전도률이 낮은 재료로 제작된 부재를 통하여 메시 돔(50D)을 지지대(13)에 올려놓아도 좋다. 또한, 메시 돔(50D)의 온도는, 처리 용기(11)의 내벽의 온도 이상이어도 좋고, 또한, 지지대(13)의 온도보다 높게 하면, 메시 돔(50D)으로의 퇴적을 억제할 수 있는 점에서 바람직하다.
또한, 안테나(20B)는, 레이디얼 라인 슬롯 안테나에 한정되지 않고, 다른 평면 안테나여도 좋다. 또한, 처리 용기(11) 중에 마이크로파를 도입할 수 있는 것이면, 안테나(20B) 대신에, 도파관을 이용해도 좋다.
상기의 실시 형태에 있어서는, 플라즈마 처리 장치로서, 실리콘막의 퇴적을 행하는 플라즈마 지원 박막 퇴적 장치를 예시했지만, 본 발명의 실시 형태에 따른 플라즈마 처리 장치는, 산화 실리콘막이나 질화 실리콘막의 퇴적을 행하는 플라즈마 지원 박막 퇴적 장치여도 좋다. 또한, 본 발명의 실시 형태에 따른 플라즈마 처리 장치는, 실리콘의 표면을 산화하여 산화 실리콘층을 형성하거나, 실리콘의 표면을 질화하여 질화 실리콘층을 형성하거나 하는 표면 개질 처리 장치여도 좋다. 이 장치는, 플라즈마 대미지를 한층 저감할 수 있기 때문에, 예를 들면, 게이트 절연막의 형성에 매우 적합하다. 또한, 본 발명의 실시 형태에 따른 플라즈마 처리 장치는, 처리 가스로서 에칭 가스를 이용하는 플라즈마 에칭 장치여도 좋다. 플라즈마 에칭 장치에 있어서는, 플라즈마 중의 이온이나 전자에 의해, 에칭 후의 하지층이 대미지를 받는다는 문제가 있지만, 본 발명의 실시 형태인 플라즈마 에칭 장 치에 따르면, 메시 플레이트에 의해 이온이나 전자를 포착할 수 있기 때문에, 하지층으로의 대미지를 저감할 수 있다.
도1 (A)는 본 발명의 제1 실시 형태에 따른 마이크로파 플라즈마 처리 장치를 나타내는 개략 구성도이며, 도1 (B)는 도 1(A)의 마이크로파 플라즈마 처리 장치의 레이디얼 라인 슬롯 안테나의 평면도이다.
도 2(A)는 도 1(A)의 마이크로파 플라즈마 처리 장치의 샤워 플레이트의 일 면을 나타내는 평면도이고, 도 2(B)는 도 2(A)의 A-A선을 따른 단면도이며, 도 2(C)는 도 2(A)의 샤워 플레이트의 다른 면을 나타내는 평면도이다.
도 3(A)는 본 발명의 제2 실시 형태에 따른 마이크로파 플라즈마 처리 장치를 나타내는 개략 구성도이며, 도 3(B)는 도 3(A)의 마이크로파 플라즈마 처리 장치의 메시 플레이트를 나타내는 상면도이다.
도 4는 본 발명의 제3 실시 형태에 따른 마이크로파 플라즈마 처리 장치를 나타내는 개략 구성도이다.
도 5는 본 발명의 제4 실시 형태에 따른 마이크로파 플라즈마 처리 장치를 나타내는 개략 구성도이다.
도 6은 본 발명의 제5 실시 형태에 따른 마이크로파 플라즈마 처리 장치를 나타내는 개략 구성도이다.
도 7은 본 발명의 실시 형태에 따른 마이크로파 플라즈마 처리 장치의 샤워 플레이트의 변형예를 나타내는 단면도이다.
(도면의 주요 부분에 대한 부호의 설명)
10, 200, 300, 400, 500 : 플라즈마 처리 장치
13 : 지지대
14 : 전원
15 : 온도 조정기
20 : 플라즈마 도입부
20B : 레이디얼 라인 슬롯 안테나
21 : 동축 도파관
23 : 매칭 회로
24 : 마이크로파 발생 장치
31, 71, 72 : 샤워 플레이트
31A, 31B : 가스 통로
31AH, 31BH : 가스 토출공
41 : 배기 장치
43 : 압력 조정기
50 : 메시 플레이트
53 : 전원
60 : 가스 공급원
S : 기판

Claims (11)

  1. 내부를 감압으로 유지하는 것이 가능한 처리 용기와,
    상기 처리 용기 내에 형성되어, 기판을 지지하는 지지대와,
    상기 처리 용기 내에 가스를 공급하는 가스 공급부와,
    마이크로파를 발생하는 마이크로파 발생부와,
    상기 지지대와 대향하여 배치되어, 상기 마이크로파 발생부에 의해 발생된 마이크로파를 상기 처리 용기 내에 도입하는 플라즈마 도입부와,
    상기 플라즈마 도입부와 상기 지지대와의 사이에 배치되는 메시 부재
    를 구비하는 마이크로파 플라즈마 처리 장치.
  2. 제1항에 있어서,
    상기 메시 부재의 온도를 조정하는 온도 조정부를 추가로 구비하는 마이크로파 플라즈마 처리 장치.
  3. 제1항에 있어서,
    상기 메시 부재에 전압을 인가하는 전원 장치를 추가로 구비하는 마이크로파 플라즈마 처리 장치.
  4. 제1항에 있어서,
    상기의 가스 공급부가,
    상기 가스 공급부의 내부에 형성되는 제1 가스 통로와,
    상기 제1 가스 통로에 연통하여, 제1 방향으로 개구하는 복수의 제1 가스 토출공과,
    상기 제1 가스 통로와는 별개로 상기 가스 공급부의 내부에 형성되는 제2 가스 통로와,
    상기 제2 가스 통로에 연통하여, 상기 제1 방향과 다른 제2 방향으로 개구하는 복수의 제2 가스 토출공을 구비하는 마이크로파 플라즈마 처리 장치.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 메시 부재가, 상기 가스 공급부와 상기 지지대와의 사이에 배치되는 마이크로파 플라즈마 처리 장치.
  6. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 메시 부재가, 상기 플라즈마 도입부와 상기 가스 공급부와의 사이에 배치되는 마이크로파 플라즈마 처리 장치.
  7. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 가스 공급부가 제1 부재와 제2 부재를 포함하고,
    상기 제1 부재가,
    상기 제1 부재의 내부에 형성되는 제1 가스 통로와,
    상기 제1 가스 통로에 연통하여, 제1 방향으로 개구하는 복수의 제1 가스 토출공을 포함하고,
    상기 제2 부재가,
    상기 제2 부재의 내부에 형성되는 제2 가스 통로와,
    상기 제2 가스 통로에 연통하여, 상기 제1 방향과 다른 제2 방향으로 개구하는 복수의 제2 가스 토출공을 포함하는 마이크로파 플라즈마 처리 장치.
  8. 제7항에 있어서,
    상기 플라즈마 도입부와 상기 지지대와의 사이에 있어서, 상기 제1 부재, 상기 메시 부재 및, 상기 제2 부재가, 상기 플라즈마 도입부로부터 상기 지지대로 향하는 방향을 따라 기재된 순서로 배치되는 마이크로파 플라즈마 처리 장치.
  9. 제7항에 있어서,
    상기 플라즈마 도입부와 상기 지지대와의 사이에 있어서, 상기 메시 부재, 상기 제1 부재 및, 상기 제2 부재가, 상기 플라즈마 도입부로부터 상기 지지대로 향하는 방향을 따라 기재된 순서로 배치되는 마이크로파 플라즈마 처리 장치.
  10. 제7항에 있어서,
    상기 플라즈마 도입부와 상기 지지대와의 사이에 있어서, 상기 제1 부재, 상 기 제2 부재 및, 상기 메시 부재가, 상기 플라즈마 도입부로부터 상기 지지대로 향하는 방향을 따라 기재된 순서로 배치되는 마이크로파 플라즈마 처리 장치.
  11. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 메시 부재가, 상기 지지대 상에 지지되는 상기 기판에 접하지 않도록 상기 지지대에 올려놓여지는 마이크로파 플라즈마 처리 장치.
KR1020090055884A 2008-06-25 2009-06-23 마이크로파 플라즈마 처리 장치 KR20100002139A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2008-166347 2008-06-25
JP2008166347A JP5222040B2 (ja) 2008-06-25 2008-06-25 マイクロ波プラズマ処理装置

Publications (1)

Publication Number Publication Date
KR20100002139A true KR20100002139A (ko) 2010-01-06

Family

ID=41445912

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090055884A KR20100002139A (ko) 2008-06-25 2009-06-23 마이크로파 플라즈마 처리 장치

Country Status (3)

Country Link
US (1) US20090320756A1 (ko)
JP (1) JP5222040B2 (ko)
KR (1) KR20100002139A (ko)

Families Citing this family (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000074127A1 (fr) * 1999-05-26 2000-12-07 Tokyo Electron Limited Dispositif de traitement au plasma
JP5660804B2 (ja) * 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR101253059B1 (ko) * 2011-01-17 2013-04-10 제주대학교 산학협력단 방전 플라즈마 처리장치
US10283321B2 (en) * 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
TW201239130A (en) * 2011-03-16 2012-10-01 I-Nan Lin Microwave plasma system
JP5851804B2 (ja) * 2011-11-09 2016-02-03 東京エレクトロン株式会社 前処理方法、グラフェンの形成方法及びグラフェン製造装置
US20130284093A1 (en) * 2012-04-30 2013-10-31 Semes Co., Ltd. Substrate treating apparatus
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150348755A1 (en) * 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same
KR20160002543A (ko) * 2014-06-30 2016-01-08 세메스 주식회사 기판 처리 장치
US20160013020A1 (en) * 2014-07-14 2016-01-14 Lam Research Corporation Systems and methods for producing energetic neutrals
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
JP6624833B2 (ja) * 2015-07-31 2019-12-25 東京エレクトロン株式会社 マイクロ波プラズマ源およびプラズマ処理装置
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6960813B2 (ja) 2017-09-20 2021-11-05 東京エレクトロン株式会社 グラフェン構造体の形成方法および形成装置
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
WO2019113478A1 (en) 2017-12-08 2019-06-13 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN111613508A (zh) * 2019-02-25 2020-09-01 北京北方华创微电子装备有限公司 进气装置及反应腔室
JP2024039508A (ja) * 2022-09-09 2024-03-22 東京エレクトロン株式会社 プラズマ処理装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4950376A (en) * 1988-06-21 1990-08-21 Agency Of Industrial Science & Technology Method of gas reaction process control
JP3068963B2 (ja) * 1992-10-16 2000-07-24 キヤノン株式会社 堆積膜作製装置
JPH06252096A (ja) * 1993-02-24 1994-09-09 Hitachi Ltd 半導体加工装置
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JP4255563B2 (ja) * 1999-04-05 2009-04-15 東京エレクトロン株式会社 半導体製造方法及び半導体製造装置
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7396431B2 (en) * 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
JP4664119B2 (ja) * 2005-05-17 2011-04-06 東京エレクトロン株式会社 プラズマ処理装置
JP2007042951A (ja) * 2005-08-04 2007-02-15 Tokyo Electron Ltd プラズマ処理装置
KR101046902B1 (ko) * 2005-11-08 2011-07-06 도쿄엘렉트론가부시키가이샤 샤워 플레이트 및 샤워 플레이트를 사용한 플라즈마 처리장치
JP5005999B2 (ja) * 2006-09-29 2012-08-22 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の使用方法

Also Published As

Publication number Publication date
JP2010010297A (ja) 2010-01-14
JP5222040B2 (ja) 2013-06-26
US20090320756A1 (en) 2009-12-31

Similar Documents

Publication Publication Date Title
KR20100002139A (ko) 마이크로파 플라즈마 처리 장치
JP5213150B2 (ja) プラズマ処理装置及びプラズマ処理装置を用いた製品の製造方法
US6830652B1 (en) Microwave plasma processing apparatus
KR100557666B1 (ko) 기판 지지 및 플라즈마 여기용 서셉터 및 그 서셉터를 포함하는 박막 증착 장치
CN101842881B (zh) 等离子体处理装置
US8138103B2 (en) Plasma CVD method, method for forming silicon nitride film and method for manufacturing semiconductor device
WO2011021539A1 (ja) プラズマ処理装置とプラズマ処理方法
US8607733B2 (en) Atomic layer deposition apparatus and atomic layer deposition method
KR20130114607A (ko) 플라즈마 처리 장치 및 방법
KR20130022378A (ko) 융기 특징부 상에 고도의 형상적응 비정질 카본 필름의 증착 방법
KR20070108929A (ko) 마이크로파 플라즈마 처리 장치
JPWO2009093459A1 (ja) 原子層成長装置および薄膜形成方法
WO2006038623A1 (ja) プラズマ成膜方法およびプラズマ成膜装置
US20100090315A1 (en) Film forming method, film forming apparatus, storage medium and semiconductor device
JP5119606B2 (ja) 半導体装置及び半導体装置の製造方法
JP2015050362A (ja) プラズマ処理装置
JP4426632B2 (ja) プラズマ処理装置
TW201415549A (zh) 電漿處理裝置及電漿處理方法
KR101139829B1 (ko) 다중 가스공급장치 및 이를 구비한 플라즈마 처리장치
JP2007258570A (ja) プラズマ処理装置
JP2008251838A (ja) プラズマ処理装置
JP4554712B2 (ja) プラズマ処理装置
KR100381915B1 (ko) 마이크로웨이브를 이용한 화학기상반응장치
KR20110096463A (ko) 다중 유도결합 플라즈마 처리장치 및 방법
US20110079826A1 (en) Semiconductor device, method for fabricating the same and apparatus for fabricating the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application