KR20090118891A - 위치 결정 장치 - Google Patents

위치 결정 장치 Download PDF

Info

Publication number
KR20090118891A
KR20090118891A KR1020090095230A KR20090095230A KR20090118891A KR 20090118891 A KR20090118891 A KR 20090118891A KR 1020090095230 A KR1020090095230 A KR 1020090095230A KR 20090095230 A KR20090095230 A KR 20090095230A KR 20090118891 A KR20090118891 A KR 20090118891A
Authority
KR
South Korea
Prior art keywords
stage
interferometer
axis
mirror
acceleration
Prior art date
Application number
KR1020090095230A
Other languages
English (en)
Other versions
KR100991028B1 (ko
Inventor
히로유키 세키구치
Original Assignee
캐논 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 캐논 가부시끼가이샤 filed Critical 캐논 가부시끼가이샤
Publication of KR20090118891A publication Critical patent/KR20090118891A/ko
Application granted granted Critical
Publication of KR100991028B1 publication Critical patent/KR100991028B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/404Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by control arrangements for compensation, e.g. for backlash, overshoot, tool offset, tool wear, temperature, machine construction errors, load, inertia
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q1/00Members which are comprised in the general build-up of a form of machine, particularly relatively large fixed members
    • B23Q1/25Movable or adjustable work or tool supports
    • B23Q1/26Movable or adjustable work or tool supports characterised by constructional features relating to the co-operation of relatively movable members; Means for preventing relative movement of such members
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/402Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by control arrangements for positioning, e.g. centring a tool relative to a hole in the workpiece, additional detection means to correct position
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/37Measurements
    • G05B2219/37287Fiber optic interferometer
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/37Measurements
    • G05B2219/37608Center and diameter of hole, wafer, object
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Human Computer Interaction (AREA)
  • Automation & Control Theory (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

스테이지(7)를 위치결정하는 위치 결정 장치는, 스테이지(7)의 위치를 계측하는 계측계 및 스테이지(7)의 가속도에 의거해서, 상기 계측계(3), (4)에 의해 계측된 값을 보정하는 보정기(15)를 포함한다.

Description

위치 결정 장치{POSITIONING APPARATUS}
본 발명은 위치결정장치에 관한 것이다.
근년, 정보화 사회의 발전에 수반해서, 예컨대 디바이스나 회로 등의 고집적화가 급속히 진행되고 있다. 이러한 고집적화는 미세패턴화 가공 기술의 발전에 의해 실현되었다. 예를 들면, 일본국 공개 특허 평10-289943호 공보에는 레이저 간섭계를 이용해서 스테이지를 제어하는 시스템이 개시되어 있다. 이 시스템은 스테이지의 하나의 구동 축이 1개의 계측 광속 축에 대응하도록 스테이지의 위치를 계측하는 간섭계를 내장하고 있다.
그러나, 이 시스템에 있어서 긴 스트로크로 스테이지를 이동시키기 위해서는, 큰 미러를 가진 간섭계를 스테이지에 부착할 필요가 있다. 이것에 의해 스테이지의 제어계의 동적 특성이 저하되게 된다. 예를 들어, 노광 장치에 포커스 방향의 계측을 행하기 위한 간섭계를 설정하는 것으로 가정하면, 투영 렌즈의 배치 관계의 관점으로부터, 스테이지의 가동 영역 전체를 1개의 간섭계의 광속 축으로 계측할 수 있도록 해당 간섭계의 광속 축을 배치하는 것은 기하학적으로 곤란하다.
이 문제를 해결하기 위해서, 일본국 공개특허 제2000-187338호 공보에는 스 테이지의 하나의 구동 축을 덮도록 복수의 간섭계를 이용해서 축외 얼라인먼트 계측시와 노광시 간에 간섭계를 전환(switch)함으로써, 그들의 미러를 경량화하는 것이 제안되어 있다. 예컨대, 2개의 간섭계를 전환해서 스테이지의 위치를 계측하기 위해서는, 2개의 간섭계가 동시에 위치 계측할 수 있는 스트로크를 결정한다. 이어서, 이 스트로크 내에서 스테이지의 위치를 계측하는 하나의 간섭계의 계측치는 다음에 선택될 다른 간섭계의 계측치로서 미리 설정된다.
스테이지 구동중에 복수의 간섭계를 전환하는 경우, 하나의 계측 간섭계의 계측치를 판독하여 이것을 다른 쪽 간섭계의 계측치로서 미리 설정할 때까지의 시간 간격 동안 이동 속도에 비례한 소정량의 위치 오차가 생긴다. 이 시간에 편차가 있으면, 이러한 오차는 불특정한 값으로 증가되어, 현재 위치에서의 오차값은 누적된다. 일본국 공개특허 제2003-254739호 공보에서는, 이 문제를 회피하기 위해서, 스테이지의 이동 속도와 전환 실행 시간과의 곱을 연산하고, 전환 전의 스테이지의 위치에 상기 곱을 더해서 얻어진 값을, 전환 후의 초기치로 설정하고 있다. 이것에 의해 정속에서의 전환시의 오차를 저감하는 것이 가능해진다.
불행하게도, 종래의 기술에서는 스테이지의 가속/감속 중에 간섭계 등의 계측기 간의 전환으로 인해 스테이지가 변형되기 때문에 오차를 초래한다.
예를 들어 반도체 노광 장치의 스테이지에서는, 수 ㎚의 전환 오차도 문제로 될 경우가 있다. 그러나, 스테이지의 가속/감속 동안, 스테이지 천정판이나 거기에 배치된 계측 기준 미러가 변형되는 것은 불가피하다. 미러가 변형되어 있는 상태에서 레이저 간섭계를 전환하면, 레이저빔이 조사된 미러의 형상이 가속시, 정속 시 및 정지시 간에 변화되고, 그 결과 전환 오차가 발생한다. 또, 가속/감속 동안의 전환 반복으로 인해 전환 오차가 누적되게 된다.
본 발명은 상기의 문제점을 고려해서 이루어진 것으로, 위치 결정 장치에 있어서 스테이지의 가속/감속으로 인한 계측 오차를 억제하는 것을 목적으로 한다.
본 발명에 따르면, 스테이지를 위치 결정하는 위치 결정 장치가 제공된다. 이 위치 결정 장치는 상기 스테이지의 위치를 계측하는 계측계; 및 상기 스테이지의 가속도에 의거해서, 상기 계측계에 의해 계측된 값을 보정하는 보정기를 포함한다.
본 발명에 따르면, 위치 결정 장치에 있어서, 스테이지의 가속/감속에 의해 생기는 계측 오차를 억제할 수 있다.
본 발명의 추가의 특징은 첨부 도면을 참조한 이하의 상세한 설명으로부터 명백해질 것이다.
이하, 첨부 도면을 참조하면서 본 발명의 바람직한 실시형태에 대해 설명한다.
[제 1 실시형태]
도 1은 바람직한 제 1 실시형태에 따른 위치 결정 장치를 나타낸 도면으로, 특히, 이 장치를 반도체 노광 장치의 웨이퍼 스테이지(7)에 적용한 경우를 예시한 것이다. 웨이퍼 스테이지(7)는 그 표면 위의 서로 직교하는 X방향 및 Y방향으로, 그리고 X방향 및 Y방향의 양 방향 모두와 직교하는 Z방향으로 이동될 수 있다. 웨이퍼 스테이지(7)는 Y미러(5), X미러(6) 및 웨이퍼 척(도시 생략)을 지지하고 있다. 도 1에는 설명의 편의상 X축, Y축 및 Z축 방향으로 구동가능한 웨이퍼 스테이지(7)를 나타냈지만, 6축 방향으로 구동하는 것이어도 무방하다. X미러(6)는 X축 간섭계(3) 또는 (4)로부터의 계측 광속을 반사해서, 웨이퍼 스테이지(7)의 X축 방향의 좌표 위치를 계측하는 데 이용된다. X축 간섭계(3) 및 (4)는 Y방향을 따라 서로 떨어져 배치되어 X방향에 있어서의 웨이퍼 스테이지(7)의 위치를 계측하는 계측기이다. Y미러(5)는 Y축 간섭계(1) 및 요잉(Yawing) 간섭계(2)로부터의 계측 광속을 반사해서, 웨이퍼 스테이지(7)의 Y축 방향의 좌표 위치를 계측하는 데 이용된다. 리니어 모터(XLM)(10)는 웨이퍼 스테이지(7)를 X방향으로 구동하고, X축 요 가이드(Yaw guide)(9)에 의해 안내된다. 리니어 모터(YLM)(고정자)(11)는 리니어 모터(YLM)(가동자)(12)를 Y방향으로 구동하고, Y축 요 가이드(8)에 의해 안내된다. 평면 가이드(13)는 웨이퍼 스테이지(7)를 안내하므로, 그의 Y좌표값에 따라서 웨이퍼 스테이지(7)의 X-좌표 위치를 계측하고 있는 X축 간섭계(3), (4)가 전환된다. 제어장치(14)의 전환기(16)는 X축 간섭계(3), (4) 간을 전환시킨다. 웨이퍼 스테이지(7)가 도 1에 도시된 Y축 간섭계(1) 근처에 위치될 경우, X축 간섭계(4)의 광속 축에 X미러(6)가 도달되어 있지 않기 때문에, X축 간섭계(3)가 웨이퍼 스테이지(7)의 X방향의 위치를 계측한다. 웨이퍼 스테이지(7)가 도 1에 도시한 Y축 간섭계(1)로부터 멀리 위치하는 경우에는, 동일한 이유에 의해 X축 간섭계(4)가 그의 X 방향의 위치를 계측한다. 이 제 1 실시형태에서는, Y방향이 웨이퍼 스테이지(7)가 이동하는 제 1 방향이며, X방향이 X축 간섭계(3), (4)가 웨이퍼 스테이지(7)의 위치를 계측하는 제 2 방향이다. X축 간섭계(3), (4)는 X방향, 즉 제 2 방향에 있어서의 웨이퍼 스테이지의 위치를 계측하는 계측계를 구성한다.
제 1 실시형태는 X방향의 높은 자유도를 위해 2개의 X축 간섭계 간을 전환하고 안정하고 정밀한 계측을 위해 현재 위치의 계측치를 계승하는 수단을 제공한다.
도 2는 도 1에 도시한 위치 결정 장치의 측면도이다. 렌즈통 지지부재(205)에 고정된 간섭계(204)는 웨이퍼 스테이지(7)의 위치를 계측한다. 도 2는 도 1을 참조해서 설명한 Y축 간섭계(1), 요잉 간섭계(2), X축 간섭계(3) 및 X축 간섭계(4)의 하나에 대응하며 측면으로부터 본 간섭계(204)를 나타낸다. 댐퍼(206)는 제진대(페데스탈)(203)로부터 부상한 렌즈통 지지부재(205)를 유지하여 바닥으로부터의 고주파 진동이 간섭계(204) 및 노광 장치의 투영 광학계(도시 생략)에 도달하는 것을 방지한다. 복수개의 간섭계(204)는 계측 광속의 축 방향 및 Y방향 위치에 대응해서 렌즈통 지지부재(205)에 배치되어 있다. 스테이지 정반(202)도 렌즈통 지지부재(205)와 마찬가지로, 바닥으로부터의 어떠한 고주파 진동도 웨이퍼 스테이지(7)에 전달되는 것을 방지한다. 렌즈통 지지부재(205)에는 투영 렌즈(207)가 탑재되고, 렌즈통 지지부재(205) 위에 놓인 레티클(208)의 패턴 상을 웨이퍼 스테이지(7) 위에 반입된 웨이퍼(도시 생략) 위에 투영한다.
도 3은 제 1 실시형태에 따른 위치 결정 장치에 있어서, 유효한 계측치를 가진 간섭계의 계측 광속 축과 웨이퍼 스테이지의 Y좌표와의 관계를 나타낸 차트이 다. 웨이퍼 스테이지(7)가 도 1에 도시한 Y축 간섭계(1)의 근처에 위치하는 경우에는 X축 간섭계(4)의 계측 광속 축은 X미러(6)에 닿지 않는다. 그러므로, X축 간섭계(3)만이 웨이퍼 스테이지(7)의 현재 위치를 계측한다(구간 (A)).
웨이퍼 스테이지(7)가 구동 스트로크의 중심 부근으로 이동한 경우에는, X축 간섭계(3), (4) 양쪽으로부터의 계측 광속이 X미러(6)에 닿는다. 따라서, X축 간섭계(3), (4)는 모두 스테이지 위치를 계측할 수 있다(구간 (B)). 이와 같이 해서, 웨이퍼 스테이지(7)가 구간(A)으로부터 구간(B)으로 이동한 경우, X축 간섭계(4)에 의해 계측된 현재 위치 정보는 불특정 상태로부터의 누적치이다. 이 정보는 웨이퍼 스테이지(7)의 현재 위치를 나타내는 계측치로서 의미를 갖지 않는다. 이것을 감안해서, 웨이퍼 스테이지(7)가 구간(A)으로부터 구간(B)으로 이동할 경우, X축 간섭계(4)는 X축 간섭계(3)로부터의 계측치를 계승한다. 예를 들어, X축 간섭계(3)에 유지된 현재 위치 정보는 X축 간섭계(4)에 강제적으로 미리 설정된다. 미리 설정된 직후, X축 간섭계(4)는 웨이퍼 스테이지(7)의 상대 이동량을 계승하여 계측한다. 이 동작에 의해, 웨이퍼 스테이지(7)의 Y스트로크 전체 면에 걸쳐서 X축 간섭계(3), (4)를 이용하여 올바른 계측치가 얻어진다. X축 간섭계(4)가 X축 간섭계(3)로부터 현재 위치 계측치를 계승하는 위치는, 계측치를 전송하는 X축 간섭계(3)와 그 계측치를 계승하는 X축 간섭계(4)의 양쪽 모두로부터의 계측 광속을 위치결정하는 동시에 X미러(6)에 닿는 적어도 하나의 좌표 위치로부터 선택될 필요가 있다. 이것은 X축 간섭계(3)가 X축 간섭계(4)로부터의 계측치를 계승하는 경우에도 마찬가지로 적용된다.
웨이퍼 스테이지(7)가 도 1에 도시한 Y축 간섭계(1)로부터 멀리 위치하는 경우에는 X축 간섭계(3)의 계측 광속 축은 X미러(6)에 닿지 않는다. 그러므로, X축 간섭계(4)만이 웨이퍼 스테이지(7)의 현재 위치를 계측한다(구간(C)). 마찬가지로, 웨이퍼 스테이지(7)가 구간(C)으로부터 구간(B)으로 이동할 경우, X축 간섭계(3)는 X축 간섭계(4)로부터 계측치를 계승한다.
참조 부호 (Y1), (Y2)는 각각 전환 위치, 즉, X축 간섭계(4)가 X축 간섭계(3)로부터 계측치를 계승하는 위치(Y1)와 X축 간섭계(3)가 X축 간섭계(4)로부터 계측치를 계승하는 위치(Y2)의 전환 위치이다. 위치(Y1), (Y2)는 서로 다른 위치인 것이 바람직하다. 이것에 의해 웨이퍼 스테이지(7)의 목표 위치가 전환 위치 근방에 지정되었을 때에 일어날 수 있는 채터링(chattering)(계측치를 유지하는 간섭계가 불필요하게 몇 번이나 전환되는 것)을 방지할 수 있다. 또, 주사 노광 장치는 제 1 실시형태에 따른 위치 결정 장치를 이용할 경우, 목표 위치가 Y축 방향으로 주사 노광 중에 간섭계 전환 위치와 일치하지 않도록 X방향의 스텝 사이즈에 따라 전환 위치(Y1), (Y2)를 변경해도 무방하다.
이하, 도 4 내지 도 6을 참조해서 해결해야 할 문제점을 설명한다. 도 4 내지 도 6은 웨이퍼 스테이지(7)가 Y방향을 따라 앞쪽으로 이동한 경우의 X축 간섭계 간의 전환을 순차 나타내는 도면이다. 도 4를 참조하면, X축 간섭계(3)만의 계측 광속 축이 X미러(6)에 닿고 있다. 이 상태에서, X축 간섭계(3)는 웨이퍼 스테이지(7)의 X방향의 위치를 계측한다. 즉, 도 4는 도 3에 도시된 영역(A)의 상태를 나타낸다. 도 4에 도시된 상태로부터 웨이퍼 스테이지(7)가 Y방향으로 앞쪽으로 이동하면, 도 5에 도시된 상태로 된다. 도 5를 참조하면, X축 간섭계(3), (4)의 양쪽 모두의 계측 광속 축이 X미러에 닿고 있다. 즉, 도 5는 도 3에 도시된 영역(B)의 상태를 나타내고 있다. 이때, X축 간섭계(3)로부터 X축 간섭계(4)로의 전환이 수행된다. 도 6은 웨이퍼 스테이지(7)가 도 4에 도시된 상태로부터 더욱더 Y방향으로 앞쪽으로 이동하는 상태를 나타낸다. 도 6을 참조하면, X축 간섭계(4)만의 계측 광속 축이 X미러에 닿고 있다. 즉, 도 6은 도 3에 도시된 영역(C)의 상태를 나타내고 있다.
도 4에 도시된 상태로부터 도 6에 도시된 상태로 웨이퍼 스테이지(7)가 Y방향을 따라 앞쪽으로 이동하면, 종래는 도 5에 도시된 상태에서 X축 간섭계(3)의 값을 X축 간섭계(4)에 그의 초기치로서 전달한다. 그러나, 웨이퍼 스테이지(7)가 그의 가속 혹은 감속 동안 도 5에 도시된 상태에 있는 경우, 리니어 모터로부터 힘을 받을 때 탄성적으로 변형된다. 스테이지 천정판 및 미러도 탄성적으로 변형된다. 도 5는 변형된 X미러(6)를 나타내고 있다. 도 5에서는 문제점을 알기 쉽게 하기 위해 크게 변형된 X미러(6)를 나타냈지만, 실제의 변형량은 수 ㎚이다. X미러(6)가 변형된 상태에서 X축 간섭계(3)로부터 X축 간섭계(4)로 전환이 수행되는 것으로 가정한다. 이 경우, X미러(6)가 변형된 상태로부터 복원되었을 때에, 웨이퍼 스테이지(7)의 X위치는 도 6에 나타낸 바와 같은 변형량만큼 어긋나 있다. 이것은 웨이퍼 스테이지(7)의 위치 오차로 된다. 예를 들어 반도체 노광 장치의 나노미터 오더의 위치 결정 정밀도가 요구되는 스테이지에서는, 이러한 작은 위치 오차도 문제가 된다.
도 7은 Y방향의 가속도와 X미러의 변형량과의 관계를 나타낸 그래프이다. 세로축은 X미러의 Y위치, 가로축은 변형량이다. 그 변형량은 가속도의 변화에 따라 변하며, 그 이유는 스테이지에 가해진 힘의 크기가 바뀌기 때문이다. 그 변형량은 또한 가속도의 방향에 따라서도 변한다. 또, 상기 변형량은 미러의 부착 방법, 스테이지의 구조 및 스테이지 천정판의 형상의 영향에 의해 미러의 위치에 따라서도 변한다.
도 8은 X미러의 각각의 위치에서의 가속도와 변형량과의 관계를 나타내고 있다. 세로축이 변형량, 가로축이 가속도이다. 도 3에 도시된 스테이지 위치(Y1)에서의 도 8에 도시된 선(311)은 X축 간섭계(3)의 계측 광속 축이 X미러에 닿는 X미러의 Y 위치(도 7에 도시된 위치(301))에서의 변형량과 가속도와의 관계를 나타내고 있다. 도 3에 도시된 스테이지 위치(Y2)에서의 도 8에 도시된 (312)은 X축 간섭계(3)의 계측 광속 축이 X미러에 닿는 X미러의 Y 위치(도 7에 도시된 위치(302))에서의 변형량과 가속도와의 관계를 나타내고 있다. 도 3에 도시된 스테이지 위치(Y1)에서의 도 8에 도시된 선(313)은 X축 간섭계(4)의 계측 광속 축이 X미러에 닿는 X미러의 Y 위치(도 7에 도시된 위치(303))에서의 변형량과 가속도와의 관계를 나타내고 있다. 도 3에 도시된 스테이지 위치(Y2)에서의 도 8에 도시된 선(314)은 X축 간섭계(4)의 계측 광속 축이 X미러에 닿는 X미러의 Y 위치(도 7에 도시된 위치(304))에서의 변형량과 가속도와의 관계를 나타내고 있다. 도 8의 그래프에 나타낸 바와 같이, 가속도는 미러의 변형량에 대해서 통상 거의 비례한다. 이 선의 기울기는 미러의 위치에 따라 바뀐다. 간섭계 전환 시의 가속도에 있어서의 X 축 간섭계(3)와 X축 간섭계(4) 간의 변형량의 차이는 전환 오차이다. 즉, 스테이지 위치(Y1)에서는 선(311)과 선(313) 간의 차이가 전환 오차인 반면, 스테이지 위치(Y2)에서는 선(312)과 선(314) 간의 차이가 전환 오차이다. 따라서, 간섭계 전환 시 가속도에 따라 이들 오차를 보정하면, 정밀한 간섭계 전환을 실시할 수 있다.
도 9는 가속도의 함수로서 보정량을 나타낸 그래프이다. 가로축이 가속도, 세로축이 보정량을 나타낸다. 도 9를 참조하면, (321)이 스테이지 위치(Y1)에서의 보정량을 나타낸다. 보정량(321)은 도 8에 도시한 선(311)과 선(313)과의 차이이다. 위치(Y1)에서 X축 간섭계(3)로부터 X축 간섭계(4)로의 전환을 수행할 경우, 이때의 가속도에 대응한 선(321)의 보정량을 X축 간섭계(3)의 값에 더하여, 얻어진 값을 X축 간섭계(4)의 초기치로서 설정한다. 제어장치(14)의 설정기(17)는 전환 전의 X축 간섭계(3)에 의해 계측한 스테이지 위치에 근거해서 전환 후의 X축 간섭계(4)의 초기치를 설정한다. 또, 제어장치(14)의 보정기(15)는 가속도에 대응하는 선(321)의 보정량을 산출해서 X축 간섭계(4)의 계측치를 보정한다. 마찬가지로, 위치(Y2)에서 X축 간섭계(4)로부터 X축 간섭계(3)로의 전환을 수행할 경우, 이때의 가속도에 대응하는 선(322)의 보정량을 X축 간섭계(4)의 값으로부터 차감하여, 얻어진 값을 간섭계(3)의 초기치로 설정한다.
도 9에 나타낸 바와 같이 가속도는 보정량에 대해서 통상 비례하므로, 이 관계를 나타내는 선의 기울기가 부여되는 한 계산은 간단하다. 또, 도 9에 도시한 가속도와 보정량과의 관계는 표로서 기억될 수 있다. 보정량을 표로 기억하기 위 해서는 가속도에 따라 보정량을 보간할 필요가 있다.
도 9에 도시한 가속도와 보정량과의 관계는 웨이퍼 스테이지(7)의 구조 해석에 의해 계산될 수 있더라도, 이러한 작은 양을 정확하게 계산하는 것은 매우 어렵다. 이 때문에 통상은 전환 오차를 계측할 수 있는 다른 위치 측정기를 이용해서 미리 계측해 두는 것이 바람직하다.
예를 들어, 도 1에 도시된 반도체 노광 장치에는 웨이퍼 스테이지(7)의 X미러의 절대 위치를 정확하게 계측할 수 있는 레이저 변위 계측기(80)가 구비되어 있다. X축 간섭계(3)로부터 X축 간섭계(4)로 전환할 때의 웨이퍼 스테이지(7)의 가속도를 변화시키면서, 레이저 변위 계측기(80)를 사용해서 전환 전후의 웨이퍼 스테이지(7)의 위치를 계측한다. 이 전환 전후의 스테이지 위치의 변화량을 웨이퍼 스테이지(7)의 위치의 오차로서 미리 계산한다. 이것에 의해, 가속도와 보정량과의 관계를 계산하는 것이 가능해진다. 이와 같이 해서 계산된 가속도와 보정량과의 관계를 근사식 혹은 표로서 기억해 둔다. 이 보정량을 사용해서, 상기 설명한 바와 같이 가속도에 대응한 간섭계 전환시 보정을 실시한다. 전환 오차를 계측하는 위치 계측기는 절대 위치를 정확하게 계측할 수 있는 한 레이저 변위 계측기로 한정되지 않는다. 또한, 계측기는 X미러를 계측하는 것에 한정되지 않고, 예를 들어, 스테이지 천정판 위에 형성된 기준 마크의 위치를 계측하는 스코프(scope)이어도 된다.
상기 제 1 실시형태에서는 Y방향의 가속도와 보정량과의 관계를 예시하고 있지만, X방향의 가속도에 대해서도 마찬가지의 보정 및 보정량의 계측을 적용할 수 있다.
본 제 1 실시형태에 따르면, 계측기를 전환할 경우 스테이지의 속도 및 가속도 등의 조건에 관계없이 전환 오차를 억제할 수 있으므로, 이 위치결정 장치를 사용하는 노광 장치는 쓰루풋(throughput) 및 정밀도를 향상할 수 있다.
[제 2 실시형태]
도 10은 본 발명의 바람직한 실시형태에 따른 위치 결정 장치의 다른 예를 나타낸 도면으로, 특히, 이 장치를 반도체 노광 장치의 웨이퍼 스테이지(7)에 적용한 경우를 예시하고 있다. 제 1 실시형태와 같은 참조 부호는 제 2 실시예와 동일한 기능을 가진 부분을 나타낸다. 도 10에 도시된 위치결정장치와 도 1에 도시된 위치결정장치와의 차이점은, 도 1에서 X축 방향으로 복수의 간섭계 축이 놓여있지만, 도 10에서는 Z축 방향으로 놓여 있다. 도 1에 도시된 Y미러(5)는 도 10에 있어서의 YZ1 미러(901)에 대응한다. YZ1 미러(901)는 도 10의 Z축 방향에 있는 제 1의 Z축 레이저 간섭계로부터의 계측 광속을 반사하는 바 미러(bar mirror)로서도 작용한다. 게다가, Z2 미러(902)는 YZ1 미러(901)의 반대쪽에 배치되어, 제 2의 Z축 레이저 간섭계로부터의 계측 광속을 반사한다. 광섬유(도시 생략)는 Z축 레이저 간섭계로부터의 계측 광속을 옵티컬 픽업(optical pickup)(903a), (903b)에 안내한다. 옵티컬 픽업 (903a), (903b)으로부터 출사한 계측 광속은 큐브 미러(904a), (904b)에 의해 Z축 방향으로 반사된다. Z축 광학계 마운트(905)는 XLM 위에 고정된다. 웨이퍼 스테이지(7)의 Y축을 구동하면, Z축 광학계 마운트(905)는 동시에 Y 방향으로 움직인다.
도 11은 도 10에 도시된 위치 결정 장치의 측면도이다. 큐브 미러(904a), (904b)에 의해 Z축 방향으로 반사된 Z축 간섭계로부터의 계측 광속은 삼각 미러(906a), (906b)에 의해 직각 방향으로 휘어, YZ1 미러(901) 및 Z2 미러(902)에 도달한다. 삼각 미러(906a), (906b)는 투영 렌즈(207)에 대해서 고정되어 있다. 웨이퍼 스테이지(7)는 Y방향으로 구동되므로, 계측 광속이 닿는 삼각 미러(906a), (906b)의 스폿 위치가 Y축 방향으로 이동한다. 또, 다른 삼각 미러(906b)는 투영 렌즈(207)의 지면의 뒤쪽에 대칭으로 배치되어, YZ1 미러 계측용의 간섭계로부터의 계측 광속을 휘게 한다. 본 제 2 실시형태에 있어서는, 투영 렌즈(207)를 스테이지 구동 스트로크의 중심 부근에 배치할 필요가 있다. 레이저 간섭계가 Z축 방향의 계측을 실시하면, 투영 렌즈(207)는 이 간섭계의 계측 광속 축을 차단한다. 그 때문에, 웨이퍼 스테이지(7)의 구동 스트로크 중에 간섭계의 전환을 실시할 필요가 있다.
본 제 2 실시형태가 제 1 실시형태와 다른 점은 계측 광속이 닿는 YZ1 미러와 Z2 미러의 위치가 임의로 변화하는 점이다. YZ1 미러와 Z2미러는 웨이퍼 스테이지(7)의 X 위치에 따라 전환된다. 이 메커니즘은 이하 도 12 내지 도 14를 참조해서 설명한다. 도 12는 웨이퍼 스테이지(7)가 X축을 따라 가장 왼쪽 위치에 존재하는 상태를 나타내고 있다. Z 계측점(910a)은 Z 간섭계로부터의 계측 광속이 닿는 Z2 미러(902)의 위치를 나타낸다. 도 13에 도시된 바와 같이 웨이퍼 스테이지(7)가 X 방향의 중앙으로 이동하면, Z 간섭계로부터의 계측 광속이 닿는 Z2 미러(902)의 위치는 Z 계측점(910b)으로 이동한다. 도 14에 나타낸 바와 같이 웨이 퍼 스테이지(7)가 X방향의 가장 오른쪽 위치로 이동하면, Z 간섭계로부터의 계측 광속이 닿는 Z2 미러(902)의 위치는 Z 계측점(910c)으로 이동한다. 도시하고 있지는 않지만, Z간섭계로부터의 계측 광속이 닿는 YZ1 미러의 위치도 웨이퍼 스테이지(7)의 X위치에 따라 변화한다.
도 7에 도시된 바와 같이, 가속도와 미러의 변형량과의 관계는 미러의 위치에 따라 크게 변화한다. 이것은 YZ1 미러 및 Z2 미러에 대해서도 마찬가지로 적용된다. 이 때문에, 웨이퍼 스테이지(7)의 X위치의 변화에 따라 Z간섭계의 전환시의 가속도와 변환 오차와의 관계는 변화한다. 제 1 실시형태에서 설명한 바와 같이, 가속도는 도 8에 나타낸 바와 같이 미러의 변형량에 대해서 거의 비례한다. 다만, 이 관계의 비례 계수는 미러의 위치에 따라 변화한다.
이 경우에도, 보정기(15)가 웨이퍼 스테이지(7)의 가속도 및 X위치에 따라 Z의 간섭계 전환의 초기치를 보정할 경우, 웨이퍼 스테이지(7)의 가속 동안에도 전환 오차를 최소한으로 억제할 수 있다. 본 제 2 실시형태에서도, 스테이지의 가속도 및 X위치와 전환 보정량과의 관계는 표 혹은 근사 함수로 저장되게 한다. 이들 스테이지의 가속도 및 X위치와 전환 보정량의 관계는 스테이지의 가속도 및 X위치를 변화시킴으로써, 다른 위치 계측 수단으로 미리 계측해 두는 것이 바람직하다. 본 제 2 실시형태의 경우, 이 위치 계측 수단이란, 반도체 노광 장치에서 통상 내장되는 포커스 센서이어도 무방하다. 포커스 센서는 웨이퍼 스테이지 위의 웨이퍼 혹은 기준 판의 Z위치를 측정할 수 있다. 물론 포커스 센서는 Z의 위치를 계측할 수 있는 한 다른 방식의 위치 센서, 예를 들어 정전 용량 센서나 레이저 변위 계측 기일 수도 있다.
도 15는 스테이지 가속도에 대한 전환 보정량의 보정 계수를 스테이지의 X위치의 함수로서 나타내고 있다. 가로축이 스테이지의 X위치, 세로축이 보정 계수이다. 보정 계수는 가속도에 대한 보정량의 비례 계수, 즉 (변환 보정량)/(가속도)이다. 도 15에 나타낸 관계는 스테이지의 X위치와 가속도를 변화시켜 Z간섭계를 전환시키고, 그때의 오차를 다른 Z위치 계측 수단으로 계측함으로써 산출할 수 있다. 도 15를 참조하면, 점은 계측치이고, 선은 계측치로부터 산출한 근사 함수의 근사 곡선이다. 본 발명에 따르면, 우선 Z간섭계를 전환할 때 웨이퍼 스테이지의 X위치로부터 상기 근사 함수를 이용해서 보정 계수를 산출한다. 이 산출된 보정 계수에 의거해서, 간섭계 전환시의 가속도에 대응한 보정량을 산출한다. 그 산출된 보정량을 사용해서 Z간섭계 전환 후의 위치를 보정할 경우, 스테이지 가속 동안에도 미러 변형에 의한 간섭계 전환 오차를 억제하는 것이 가능해진다.
[노광 장치의 실시형태]
이하, 본 발명에 따른 위치 결정 장치가 적용되는 예시적인 노광 장치를 설명한다. 노광 장치는, 도 16에 나타낸 바와 같이, 조명 장치(101), 레티클을 지지하는 레티클 스테이지(102), 투영 광학계(103), 및 웨이퍼를 지지하는 웨이퍼 스테이지(104)를 포함한다. 노광 장치는 레티클에 형성된 회로 패턴을 웨이퍼에 투영 노광하는 것으로, 스텝 앤드 리피트 투영 노광 방식 또는 스텝 앤드 스캔 투영 노광 방식이어도 무방하다.
조명 장치(101)는 회로 패턴이 형성된 레티클을 조명하고, 광원부와 조명 광 학계를 포함한다. 광원부는 예를 들어 광원으로서 레이저를 사용한다. 레이저는 파장 약 193 ㎚의 ArF 엑시머 레이저, 파장 약 248 ㎚의 KrF 엑시머 레이저, 파장 약 153 ㎚의 F2엑시머 레이저 등을 사용할 수 있다. 레이저의 종류는 엑시머 레이저로 한정되지 않고, 예를 들어, YAG 레이저를 사용해도 되며, 그 레이저의 개수도 한정되지 않는다. 광원에 레이저가 사용되는 경우, 레이저 광원으로부터의 평행 광속을 소망의 빔 형상으로 정형하는 광속 정형 광학계, 간섭성(coherent) 레이저 광속을 비간섭성 광속으로 변환하는 비간섭성 광학계를 사용하는 것이 바람직하다. 또, 광원부에 사용 가능한 광원은 레이저로 한정되는 것은 아니고, 하나 혹은 복수의 수은램프나 크세논램프 등의 램프도 사용 가능하다.
조명 광학계는 마스크를 조명하며, 예를 들어 렌즈, 미러, 광 적분기 및 조리개 등을 포함한다.
투영 광학계(103)는 예를 들어 복수의 렌즈 소자만을 포함하는 광학계, 복수의 렌즈 소자와 적어도 하나의 요면경을 포함하는 광학계, 복수의 렌즈 소자와 적어도 하나의 회절 광학 소자를 포함하는 광학계 또는 광학 소자가 모두 미러인 광학계 등을 사용할 수 있다.
리니어 모터는 예를 들어 레티클 스테이지(102) 및 웨이퍼 스테이지(104)를 이동시킬 수 있다. 상기 제 1 및 제 2 실시형태의 위치 결정 장치는 레티클 스테이지(102) 및 웨이퍼 스테이지(104)의 적어도 한쪽을 위치 결정할 수 있다. 노광장치가 스텝 앤드 스캔 투영 노광 방식의 것인 경우에는, 이들 스테이지는 서로 동 기해서 이동한다. 또, 레티클의 패턴을 웨이퍼에 위치 결정하기 위해서 웨이퍼 스테이지 및 레티클 스테이지의 적어도 한쪽에 별도로 작동기를 구비한다.
이 노광 장치는 예를 들어 반도체 집적회로 등의 반도체 디바이스나, 마이크로 머신, 박막 자기 헤드 등의 미세한 패턴이 형성된 디바이스의 제조에 이용될 수 있다.
[디바이스 제조의 실시형태]
다음에, 도 17 및 도 18을 참조해서, 상기 노광 장치를 이용한 디바이스 제조 방법의 실시형태를 설명한다. 도 l7은 디바이스(예를 들어, IC나 LSI 등의 반도체 칩, LCD, CCD 등)의 제조를 설명하기 위한 순서도이다. 여기에서는 반도체 칩의 제조 방법을 예로 들어 설명한다.
스텝 S1(회로설계)에서는 반도체 디바이스의 회로를 설계한다. 스텝 S2(마스크 제작)에서는 상기 설계한 회로 패턴에 의거해서 마스크를 제작한다. 스텝 S3(웨이퍼 제조)에서는 실리콘 등의 재료를 이용해서 웨이퍼를 제조한다. 전(前) 공정으로 불리는 스텝 S4(웨이퍼 프로세스)에서는 마스크와 웨이퍼를 이용해서 상기 노광장치에 의해 웨이퍼 위에 리소그라피에 의해 실제의 회로를 형성한다. 후 공정으로 불리는 스텝 S5(조립)에서는 스텝 S4에서 제작된 웨이퍼를 이용해서 반도체 칩을 형성하는 공정이며, 이 공정은 어셈블리(다이싱, 본딩), 패키징(칩 봉입) 등의 공정을 포함한다. 스텝 S6(검사)에서는 스텝 S5에서 작성된 반도체 디바이스에 대해 동작 확인 테스트, 내구성 테스트 등의 검사를 실시한다. 이들 스텝 후, 반도체 디바이스를 완성하고, 스텝 S7에서 출하한다.
도 18은 스텝 S4의 웨이퍼 프로세스의 상세를 예시한 순서도이다. 스텝 S11(산화)에서는 웨이퍼의 표면을 산화시킨다. 스텝 S12(CVD)에서는 웨이퍼의 표면에 절연막을 형성한다. 스텝 S13(전극 형성)에서는 웨이퍼 위에 전극을 증착에 의해 형성한다. 스텝 S14(이온 주입)에서는 웨이퍼에 이온을 주입한다. 스텝 S15(레지스트 처리)에서는 웨이퍼에 감광제를 도포한다. 스텝 S16(노광)에서는 노광 장치에 의해 마스크의 회로 패턴을 웨이퍼에 노광에 의해 전사한다. 스텝 S17(현상)에서는 노광된 웨이퍼를 현상한다. 스텝 S18(에칭)에서는 현상한 레지스트 상 이외의 부분을 에칭한다. 스텝 S19(레지스트 박리)에서는 에칭 후 남아 있는 불필요해진 레지스트를 제거한다. 이들 스텝을 반복함으로써, 웨이퍼 위에 다층구조의 회로 패턴이 형성된다.
이상, 본 발명을 예시된 실시형태를 참조해서 설명했지만, 본 발명은 이러한 개시된 실시형태로 한정되지 않는 것임을 이해할 수 있을 것이다. 이하의 특허청구범위의 범주는 모든 변형, 등가의 구성 및 기능을 망라하도록 최광의로 해석할 필요가 있다.
도 1은 제 1 실시형태에 따른 위치 결정 장치를 나타낸 도면;
도 2는 도 1에 나타낸 위치 결정 장치의 측면도;
도 3은 제 1 실시형태에 따른 위치 결정 장치에 있어서 유효한 계측치를 가진 간섭계와 Y좌표와의 관계를 나타낸 차트;
도 4는 웨이퍼 스테이지가 뒤에 존재하는 상태에서 해당 스테이지를 Y방향을 따라 앞쪽으로 이동시켰을 때의 X축 간섭계들 간의 전환을 나타낸 도면;
도 5는 웨이퍼 스테이지가 중앙에 존재하고 미러가 변형되는 한편 X축 간섭계가 전환되는 상태에서 스테이지를 Y방향을 따라 앞쪽으로 이동시켰을 때의 X축 간섭계들 간의 전환을 나타낸 도면;
도 6은 X축 간섭계 간의 전환 후, 웨이퍼 스테이지가 앞에 존재하여 X방향으로 오차가 생기고 있는 상태에서 스테이지를 Y방향을 따라 앞쪽으로 이동시켰을 때의 X축 간섭계들 간의 전환을 나타낸 도면;
도 7은 간섭계를 전환할 때에 스테이지의 가속도를 변화시켰을 때의, X미러의 Y방향 위치와 그의 변형량과의 관계를 나타낸 도면;
도 8은 X축 간섭계가 X미러 위치에 해당되는 각 위치마다의 스테이지의 가속도와 미러의 변형량과의 관계를 나타낸 그래프;
도 9는 간섭계를 전환하는 스테이지의 각 Y위치마다의 스테이지의 가속도와 전환을 위한 보정량과의 관계를 나타낸 그래프;
도 10은 제 2 실시형태에 따른 위치 결정 장치를 나타낸 도면;
도 11은 도 10에 나타낸 위치 결정 장치의 측면도;
도 12는 도 11에 나타낸 위치 결정 장치에 있어서 웨이퍼 스테이지가 가장 왼쪽의 위치에 존재하는 상태를 나타낸 도면;
도 13은 도 11에 나타낸 위치 결정 장치에 있어서 웨이퍼 스테이지가 중앙의 위치에 있는 상태를 나타낸 도면;
도 14는 도 11에 나타낸 위치 결정 장치에 있어서 웨이퍼 스테이지가 가장 오른쪽 위치에 존재하는 상태를 나타낸 도면;
도 15는 스테이지의 X위치와 보정 계수와의 관계를 나타낸 그래프;
도 16은 노광 장치를 설명하기 위한 도면;
도 17은 노광 장치를 사용한 디바이스의 제조를 설명하기 위한 순서도;
도 18은 도 17의 순서도에 나타낸 스텝 4의 웨이퍼 프로세스의 상세를 나타낸 순서도.
<도면의 주요부분에 대한 부호의 설명>
1: Y축 간섭계 2: 요잉 간섭계
3: X축 간섭계 4: X축 간섭계
5: Y미러 6: X미러
7: 웨이퍼 스테이지 8: Y축 요 가이드
9: X축 요 가이드 10: 리니어 모터(XLM)
11: 리니어 모터(YLM)(고정자) 12: 리니어 모터(YLM)(가동자)
13: 평면 가이드 14: 제어장치
16: 전환기 17: 설정기
203: 제진대 204: 간섭계
205: 렌즈통 지지부재 206: 댐퍼
207: 투영 렌즈 208: 레티클

Claims (9)

  1. 스테이지를 위치 결정하는 위치 결정 장치에 있어서,
    상기 스테이지의 위치를 계측하는 계측계; 및
    상기 스테이지의 진행방향의 가속도에 의거해서, 상기 계측계에 의해 계측된 값을 보정하는 보정기를 포함하는 것을 특징으로 하는 위치 결정 장치.
  2. 제 1항에 있어서, 상기 계측계는 제1 방향을 따라 서로 이간되어 있고 제2 방향에 있어서의 상기 스테이지의 위치를 계측하는 복수의 계측기를 포함하고;
    상기 위치 결정 장치는 상기 스테이지가 적어도 상기 제1 방향으로 이동할 때, 상기 스테이지의 위치를 계측하기 위한 상기 계측기를 전환하는 전환기를 더 포함하며;
    상기 보정기는, 상기 전환기가 상기 복수의 계측기를 전환할 때, 상기 보정에 의해 얻어진 값에 의거해서 상기 전환 후의 계측기에 의해 계측된 값을 보정하는 것을 특징으로 하는 위치 결정 장치.
  3. 제 2항에 있어서, 상기 복수의 계측기는 간섭계를 포함하고,
    상기 스테이지는 상기 복수의 간섭계로부터의 계측 광속을 반사하는 미러를 포함하는 것을 특징으로 하는 위치 결정 장치.
  4. 제 2항에 있어서, 상기 보정기는 상기 스테이지의 가속도 외에, 상기 제 1 방향에 있어서의 상기 스테이지의 위치에 의거하여 상기 보정을 실시하는 것을 특징으로 하는 위치 결정 장치.
  5. 제 2항에 있어서, 상기 스테이지는 해당 스테이지의 표면상의 서로 직교하는 X방향 및 Y방향으로 및 상기 X방향 및 Y방향에 직교하는 Z방향으로 이동 가능하고, 상기 제 2 방향은 Z방향인 것을 특징으로 하는 위치 결정 장치.
  6. 스테이지를 위치결정하는 위치결정장치에 있어서,
    계측광과 상기 스테이지에 설치된 미러를 이용해서 상기 스테이지의 위치를 계측하는 계측계 및
    상기 스테이지의 진행방향의 가속도에 의거해서 상기 계측계에 의해 계측된 값을 보정함으로써, 상기 스테이지가 가속 또는 감속하는 것에 기인한 상기 미러의 변형에 의한 상기 계측계의 계측오차를 저감하는 보정기를 가지는 것을 특징으로 하는 위치결정장치.
  7. 제 1항에 규정된 위치결정장치를 이용해서 레티클 스테이지 및 웨이퍼 스테이지의 적어도 하나가 위치 결정되는 것을 특징으로 하는 노광장치.
  8. 제 6항에 규정된 위치결정장치를 이용해서 레티클 스테이지 및 웨이퍼 스테 이지의 적어도 하나가 위치결정되는 것을 특징으로 하는 노광장치.
  9. 제 7항 또는 제8항에 규정된 노광 장치를 이용해서 웨이퍼를 노광하는 공정; 및
    상기 웨이퍼를 현상하는 공정을 포함하는 것을 특징으로 하는 디바이스 제조방법.
KR1020090095230A 2006-10-25 2009-10-07 위치 결정 장치 KR100991028B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006290262A JP2008108906A (ja) 2006-10-25 2006-10-25 位置決め装置
JPJP-P-2006-290262 2006-10-25

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020070107075A Division KR100933596B1 (ko) 2006-10-25 2007-10-24 위치 결정 장치

Publications (2)

Publication Number Publication Date
KR20090118891A true KR20090118891A (ko) 2009-11-18
KR100991028B1 KR100991028B1 (ko) 2010-10-29

Family

ID=39328547

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020070107075A KR100933596B1 (ko) 2006-10-25 2007-10-24 위치 결정 장치
KR1020090095230A KR100991028B1 (ko) 2006-10-25 2009-10-07 위치 결정 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020070107075A KR100933596B1 (ko) 2006-10-25 2007-10-24 위치 결정 장치

Country Status (4)

Country Link
US (1) US7907287B2 (ko)
JP (1) JP2008108906A (ko)
KR (2) KR100933596B1 (ko)
TW (1) TWI401767B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101432155B1 (ko) * 2013-06-14 2014-09-23 에이피시스템 주식회사 스테이지 스케일 보정 방법

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100631192B1 (ko) * 1999-08-19 2006-10-04 삼성전자주식회사 전자렌지 및 그 제어방법
US8044373B2 (en) * 2007-06-14 2011-10-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP5406510B2 (ja) * 2008-11-18 2014-02-05 キヤノン株式会社 走査露光装置およびデバイス製造方法
JP5206369B2 (ja) * 2008-11-27 2013-06-12 株式会社ニコン 干渉計システム、ステージ装置、露光装置及びデバイス製造方法
JP2012103584A (ja) * 2010-11-12 2012-05-31 Hitachi High-Technologies Corp プロキシミティ露光装置、プロキシミティ露光装置の基板位置決め方法、及び表示用パネル基板の製造方法
DE102012201393A1 (de) 2012-02-01 2013-08-01 Dr. Johannes Heidenhain Gmbh Positionsmesseinrichtung und Anordnung mit mehreren Positionsmesseinrichtungen
DE102012204572A1 (de) 2012-03-22 2013-09-26 Dr. Johannes Heidenhain Gmbh Positionsmesseinrichtung und Anordnung mit einer derartigen Positionsmesseinrichtung
JP6109049B2 (ja) * 2013-11-29 2017-04-05 キヤノン株式会社 処理装置、位置決め装置の制御方法、物品の製造方法
US9874435B2 (en) 2014-05-22 2018-01-23 Samsung Electronics Co., Ltd. Measuring system and measuring method
JP6689489B2 (ja) * 2015-03-27 2020-04-28 株式会社ニコン 移動体装置、露光装置、フラットパネルディスプレイの製造方法、及びデバイス製造方法
US20200011652A1 (en) * 2018-07-03 2020-01-09 Applied Materials, Inc. Interferometry system and methods for substrate processing
CN115763337B (zh) * 2023-01-10 2023-06-02 拉普拉斯(无锡)半导体科技有限公司 一种净化台放置舟的方法及其净化台

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3277581B2 (ja) * 1993-02-01 2002-04-22 株式会社ニコン ステージ装置および露光装置
JPH08286758A (ja) 1995-04-11 1996-11-01 Canon Inc 位置決め装置
JPH08293459A (ja) * 1995-04-21 1996-11-05 Nikon Corp ステージ駆動制御方法及びその装置
JP3320275B2 (ja) 1995-08-29 2002-09-03 キヤノン株式会社 露光装置
JPH10289943A (ja) 1997-04-16 1998-10-27 Canon Inc ステージ装置およびデバイス製造方法
EP1014199B1 (en) 1998-12-24 2011-03-30 Canon Kabushiki Kaisha Stage control apparatus, exposure apparatus and method of manufacturing a semiconductor device
JP2000187338A (ja) 1998-12-24 2000-07-04 Canon Inc 露光装置およびデバイス製造方法
JP2000208402A (ja) * 1999-01-14 2000-07-28 Canon Inc 除振装置
JP3907497B2 (ja) 2002-03-01 2007-04-18 キヤノン株式会社 位置決め装置及びその制御方法、並びに露光装置、並びにその制御方法により制御される露光装置により半導体デバイスを製造する製造方法
JP2003280744A (ja) * 2002-03-19 2003-10-02 Canon Inc 振動制御装置及びその制御方法、並びに、該振動制御装置を有する露光装置及び半導体デバイスの製造方法
JP3679776B2 (ja) 2002-04-22 2005-08-03 キヤノン株式会社 駆動装置、露光装置及びデバイス製造方法
JP2005203567A (ja) 2004-01-15 2005-07-28 Canon Inc 駆動装置、露光装置及びデバイス製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101432155B1 (ko) * 2013-06-14 2014-09-23 에이피시스템 주식회사 스테이지 스케일 보정 방법
TWI555605B (zh) * 2013-06-14 2016-11-01 Ap系統股份有限公司 校正平臺標尺的方法

Also Published As

Publication number Publication date
US7907287B2 (en) 2011-03-15
KR20080037542A (ko) 2008-04-30
US20080098813A1 (en) 2008-05-01
KR100933596B1 (ko) 2009-12-23
KR100991028B1 (ko) 2010-10-29
JP2008108906A (ja) 2008-05-08
TWI401767B (zh) 2013-07-11
TW200834796A (en) 2008-08-16

Similar Documents

Publication Publication Date Title
KR100933596B1 (ko) 위치 결정 장치
JP6035686B2 (ja) 露光装置及び露光方法、並びにデバイス製造方法
KR101409011B1 (ko) 이동체 구동 방법 및 이동체 구동 시스템, 패턴 형성 방법 및 장치, 노광 방법 및 장치, 그리고 디바이스 제조 방법
JP5516740B2 (ja) 移動体駆動方法、移動体装置、露光方法及び露光装置、並びにデバイス製造方法
KR20130105917A (ko) 이동체 구동 방법 및 이동체 구동 시스템, 패턴 형성 방법 및 장치, 노광 방법 및 장치, 그리고 디바이스 제조 방법
JP2013503458A (ja) 露光方法及び露光装置、並びにデバイス製造方法
KR20050025626A (ko) 위치계측방법, 위치제어방법, 노광방법 및 노광장치,그리고 디바이스 제조방법
JP2010258085A (ja) 面位置検出方法
JP6727554B2 (ja) 露光装置、フラットパネルディスプレイの製造方法、デバイス製造方法、及び露光方法
JP2010192744A (ja) 露光装置、露光方法、及びデバイス製造方法
JP2009302490A (ja) 露光装置及びデバイス製造方法
KR20080057167A (ko) 이동장치

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130926

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140924

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150923

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160926

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170925

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180928

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20191014

Year of fee payment: 10