KR20090029740A - 플라즈마 처리 챔버 내에서 언컨파인먼트를 감지하는 방법 및 장치 - Google Patents

플라즈마 처리 챔버 내에서 언컨파인먼트를 감지하는 방법 및 장치 Download PDF

Info

Publication number
KR20090029740A
KR20090029740A KR1020087031604A KR20087031604A KR20090029740A KR 20090029740 A KR20090029740 A KR 20090029740A KR 1020087031604 A KR1020087031604 A KR 1020087031604A KR 20087031604 A KR20087031604 A KR 20087031604A KR 20090029740 A KR20090029740 A KR 20090029740A
Authority
KR
South Korea
Prior art keywords
plasma
plasma processing
processing chamber
sensor
current
Prior art date
Application number
KR1020087031604A
Other languages
English (en)
Other versions
KR101366470B1 (ko
Inventor
안드레아스 피셔
데이비드 퍼클
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20090029740A publication Critical patent/KR20090029740A/ko
Application granted granted Critical
Publication of KR101366470B1 publication Critical patent/KR101366470B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B7/00Heating by electric discharge
    • H05B7/16Heating by glow discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R23/00Arrangements for measuring frequencies; Arrangements for analysing frequency spectra
    • G01R23/02Arrangements for measuring frequency, e.g. pulse repetition rate; Arrangements for measuring period of current or voltage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/304Controlling tubes by information coming from the objects or from the beam, e.g. correction signals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은, 플라즈마 처리 챔버 내에서 플라즈마 언컨파인먼트 컨디션을 검출하도록 구성된 보편적 플라즈마 언컨파인먼트 검출 시스템 및 그의 방법을 제공한다. 검출 시스템 및 방법은, 프로세스-독립 및 레시피-독립 방식으로 플라즈마 언컨파인먼트 컨디션의 존재를 신뢰성 있고 정확하게 검출하도록 설계된다.
플라즈마 언컨파인먼트 컨디션, 플라즈마 처리 챔버, DPCV, 복귀 RF 전류

Description

플라즈마 처리 챔버 내에서 언컨파인먼트를 감지하는 방법 및 장치{METHODS AND APPARATUS FOR SENSING UNCONFINEMENT IN A PLASMA PROCESSING CHAMBER}
발명의 배경
본 발명은, 일반적으로 기판 제조 기술에 관한 것으로, 더 상세하게는, 비-자기적으로 컨파인된 플라즈마를 이용하는 처리 기술에 관한 것이다. 더 상세하게는, 본 발명은, 플라즈마 처리 챔버 내에서, 컨파인된 플라즈마의 유지의 실패, 소위 언컨파인먼트 (un-confinement) 를 감지하는 방법 및 장치에 관한 것이다.
기판, 예를 들어, 평판 디스플레이 제조 시에 사용되는 것과 같은 유리 패널 또는 반도체 기판의 처리 시에, 프라즈마가 종종 사용된다. 플라즈마 챔버 내의 기판의 처리의 일부로서, 예를 들어, 기판은 복수의 다이, 또는 직사각형 영역으로 분할되는데, 이들 각각은 집적 회로가 될 것이다. 그 후, 기판은, 그 위에 전기적 컴포넌트들을 형성하기 위하여, 재료들이 선택적으로 제거 (에칭) 되고 퇴적 (deposition) 되는 일련의 단계들로 처리된다.
일반적으로, 플라즈마 챔버는, 챔버 벽 접촉을 최소화하기 위하여, 비-자기 방법 (예를 들어, 석영 컨파인먼트 링 등) 의 이용을 통하여 플라즈마를 컨파인할 수도 있다. 이것은, 오염 정도 및 메모리 효과를 줄이는데 유익하다. 예를 들어, 플라즈마 챔버 내의 많은 표면들은, 기판에 영향을 미칠 수도 있는 오염을 실질적으로 증가시키지 않으면서 표면 마모의 최소화를 돕는 플라즈마 저항 재료들 (예를 들어, 규소, 탄화규소, 질화규소, 석영 등) 로 구성된다. 그러나, 플라즈마에 대한 계속된 노출은, 보호 재료 (protective material) 를 공격하여 제거하기 쉬어, 종종 표면 입자 오염을 야기하며, 이로써 기판 수율을 낮춘다.
이제, 도 1a 를 참조하면, 용량성 커플링된 플라즈마 (CCP) 처리 시스템의 단순화된 도면이 도시된다. 도 1a 및 그의 대응물인 도 1b 가 단순화되는데, 보다 적절한 특징들을 과도하게 혼란스럽게 하지 않기 위해 본 발명과 관계가 없는 다수의 컴포넌트들은 생략된다. 통상의 CCP 구성은 보통 짧은 간격으로 분리된 2 개의 전극들로 구성되는데, 이 전극들은, 전기 회로 내의 커패시터와 사실상 유사한 방식으로 동작한다. 통상, 전력공급된 전극 (102) 은, 척으로 구성되며, 또한 송신선 보어 (transmission line bore; 119) 내에 위치된, 송신선 (118) 에 의해 RF 생성기 세트 (미도시) 에 커플링될 수도 있다.
전력공급된 전극 (102) 은, 기판 (104) 을 척 (102) 에 클램핑하기 위하여 정전 클램핑력을 제공하도록 구성된 일 세트의 양극과 일 세트의 음극을 포함하는, 바이어스 보상 회로 (미도시) 를 더 포함할 수도 있다. 예를 들어, 이러한 양극과 음극에 각각 공급된 +300V 의 양전압과 -300V 의 음전압의 세트가 기판 (104) 을 척 (102) 에 클램핑하도록 충분한 정전 클램핑력을 제공할 수도 있다. 바이어스 보상 회로는, 바이어스 보상 전압 (VB) 을 제공함으로써 플라즈마 처리 동안 기판에 걸쳐 일관된 클램핑력의 유지를 돕는다.
통상, 제 2 접지된 전극 (106) 이 플라즈마 (122) 위에 배치된다. 일반적으로, RF 복귀 경로는, 접지된 전극 (106) 으로부터, 챔버 라이너 (114), 접지 연장부 (ground extension; 116) 를 통하여, 그리고 송신선 보어 (119) 의 내부면을 따라, 플라즈마 챔버의 상부 내의 경로를 따라간다. 어떤 에칭 애플리케이션들은, 하위 주파수 RF 신호 (예를 들어, 2MHz) 에 대하여 상부 전극이 접지될 것을 요구할 수도 있다. 다른 에칭 애플리케이션은, 상위 주파수 RF 신호 (예를 들어, 27MHz 및/또는 60MHz) 에 대하여 상부 전극이 접지될 것을 요구할 수도 있다. 또 다른 에칭 애플리케이션은, RF 신호 주파수들 (예를 들어, 2MHz, 27MHz, 및 60MHz) 모두에 대하여 상부 전극이 접지될 것을 요구할 수도 있다. 접지된 전극 (106) 은, 플라즈마 가스가 가스 분배 시스템으로부터 플라즈마 챔버 내로 관통하게 하는 다공 실리콘 (perforated silicon) 의 보호 층을 더 포함할 수도 있다. 더욱이, 기판 (104) 은 보통, 척/RF-전력공급된 전극 (102) 상에 에지 링 (103) 과 함께 위치된다.
일반적으로, 바이어스 보상 전압인 VB 의 크기는, 기판의 표면 면적에 대한 접지 표면 면적 (보통, 접지된 전극) 의 비에 비례하며 :
Figure 112008089326561-PCT00001
여기서, N 은 통상 1 내지 4 이다.
또한, 컨파인먼트 링 세트 (117) 는, CCP 소스와 플라즈마 챔버 벽 사이에 위치될 수도 있으며, 또한, 기판 표면의 바로 위에 플라즈마를 고립시키도록 요구될 때 상승 및 하강될 수도 있다. 통상, 컨파인먼트 링 세트 (117) 는, 기판의 수평 외주 둘레에 위치되고, 또한 수직축을 따라 기판 위의 가변 거리에 위치된 일련의 석영 링으로 구성된다. 일반적으로, 특정 플라즈마 프로세스를 최적화하고 플라즈마 내의 압력을 제어하기 위하여, 각 컨파인먼트 링의 두께, 및 임의의 2 개의 링들 사이의 갭의 사이즈가 구성된다. 일부 구성에서, 컨파인먼트 링은 직경 및 두께에 차이가 있다. 예를 들어, 수직축을 따라 기판에 가깝게 위치된 컨파인먼트 링은, 기판으로부터 멀리 떨어진 컨파인먼트 링에 비해 직경이 작을 수도 있다. 일반적으로, 컨파인먼트 링 세트, 기판 및 전력공급된 전극에 의해 한정된 체적은, 플라즈마 처리 시스템 내의 플라즈마 공간으로 지칭될 수도 있다.
새로운 기판 재료의 구현은 물론, 기판 피쳐 사이즈를 더욱 줄이기 위한 반도체 산업에서의 현재의 동향은 현재의 제작 기술을 자극하고 있다. 예를 들어, 대형의 기판 (예를 들어, > 300mm) 에 대한 균일도 또는 프로세스 결과를 유지하기 위한 어려움이 증가되고 있다. 실질적으로 수직의 에칭 프로파일 및 고애스펙트비를 달성하기 위하여, 더 높은 프로세스 전력 및 더 낮은 압력이 요구될 수도 있다. 따라서, 이 결과로 발생한 플라즈마는, 컨파인하도록 요구될 수도 있다. 플라즈마가 언컨파인되면, 제어되지 않은 실질적인 전압의 증가의 결과로, 처리된 기판은 물론 플라즈마 챔버 자체에 모두 실질적인 손상이 발생할 수도 있다.
언컨파인된 플라즈마 손상을 최소화하기 위해, 컨파인된 경우에서의 웨이퍼의 정상-상태 바이어스 전압에 비례하는 바이어스 전압 임계값이 설정된다. 만약, 웨이퍼에서의 측정된 바이어스 전압이 바이어스 전압 임계값을 초과한다면, RF 가 셧-오프되고, 바로 플라즈마가 차단된다. 이 셧-오프 방법은, 플라즈마가 전극 면적비의 변경으로 인해 언컨파인된 상태에 있을 때 VB 가 상당히 증가한다는 사실을 이용한다. 언컨파인먼트가 발생할 때, 식 1 에 의하면, 접지 면적은, 도 1a 의 전극 (106) 의 면적에서 플라즈마 반응기의 전체 내면의 면적으로 증가한다.
그러나, 기존의 기술에서, 정상-상태 또는 안전한 VB 값에 대한 사전 지식이 요구되기 때문에 정확한 임계 전압을 결정하기가 어려울 수도 있다. 많은 경우에는, 특히, 새로운 레시피가 개발될 때, 이 임계값의 사전 (up-front) 추정이 매우 어려워, 종종, 바이어스 전압 임계값이 너무 낮게 설정되었다면 "오류 양성 (false positive)" 의 감지, 또는 바이어스 전압 임계값이 너무 높게 설정되었다면 비-검출, 그로 인한 플라즈마 챔버 손상의 감지 중 어느 하나를 야기할 수도 있다.
전술한 것을 고려하여, 플라즈마 처리 챔버 내에서 언컨파인먼트를 감지하는 방법 및 장치가 요구되고 있다.
발명의 개요
본 발명은, 일 실시형태에서, 플라즈마 처리 챔버 내에서 플라즈마 언컨파인먼트 컨디션을 검출하는 방법에 관한 것이다. 플라즈마 언컨파인먼트 컨디션 은, 플라즈마 처리 챔버 내의 설계된 플라즈마 컨파인먼트 체적 (DPCV; Designed Plasma Confinement Volume) 외부에서 소정의 사양을 초과한 플라즈마의 존재를 특징으로 한다. 이 방법은, 챔버 내의 선택된 위치에 센서를 제공하는 단계를 포함한다. 선택된 위치는, 플라즈마가 DPCV 내에서 소정의 사양 내에 컨파인된다면 플라즈마 처리 동안 제 1 레벨의 복귀 RF 전류를, 그리고 플라즈마가 플라즈마 처리 동안 DPCV 외부에서 소정의 사양을 초과하고 있다면 플라즈마 처리 동안 제 2 레벨의 복귀 RF 전류를 전도하도록 구성되는 플라즈마 처리 챔버 컴포넌트에 근접하게 배치되며, 센서 및 선택된 위치는, 제 1 상태와 제 2 상태 간의 판별가능한 변화를 갖는 전기적 파라미터를 제공하도록 구성되고, 제 1 상태는, 제 1 레벨의 복귀 RF 전류의 특징을 나타내고, 제 2 상태는, 제 2 레벨의 복귀 RF 전류의 특징을 나타낸다. 이 방법은, 센서에 커플링되는 센서 데이터 처리 장치를 제공하는 단계를 더 포함한다. 센서 데이터 처리 장치는, 전기적 파라미터에 응답하여, 플라즈마 처리 챔버가 플라즈마 언컨파인먼트 컨디션을 겪고있는지 여부를 나타내는 데이터 신호를 제공하도록 구성된다.
본 발명의 이러한 특징 및 다른 특징은, 본 발명의 상세한 설명 및 후속 도면들과 함께 이하 더 상세히 설명될 것이다.
도면의 간단한 설명
본 발명은 첨부 도면의 도형에서 제한이 아닌 예시로 도시되는데, 유사한 참조 부호는 유사한 엘리먼트를 지칭한다.
도 1a 는, 컨파인된 상태에서 복귀 RF 전류의 표시를 포함하는, 용량성 커플 링된 플라즈마 처리 챔버의 대표적이고 단순화된 도면이다.
도 1b 는, 언컨파인된 상태에서 복귀 RF 전류의 표시를 포함하는, 도 1a 의 플라즈마 처리 챔버의 대표적이고 단순화된 도면이다.
도 2 는, 본 발명의 일 실시형태에 따라, 보편적 플라즈마 언컨파인먼트 검출 시스템용 센서의 구현을 포함하는, 용량성 커플링된 플라즈마 처리 챔버의 단순화된 도면을 나타낸 것이다.
도 3 은, 본 발명의 일 실시형태에 따라, 센서 및 센서 데이터 처리 장치를 포함하는, 예시적인 보편적 플라즈마 언컨파인먼트 검출 시스템을 나타낸 것이다.
도 4 는, 본 발명의 일 실시형태에 따라, 센서/코일, 및 고주파수 변압기, 저항기, 및 트리거 신호 생성기를 포함하는 센서 데이터 처리 장치를 포함하는, 예시적인 보편적 플라즈마 언컨파인먼트 검출 시스템을 나타낸 것이다.
도 5 는, 본 발명의 일 실시형태에 따라, 예시적인 설치를 위해 컨파인된 상태 및 언컨파인된 상태에 대한 입력 RF 전력의 함수로서 센서 코일에서의 전압의 플롯을 나타낸 것이다.
도 6 은, 본 발명의 일 실시형태에 따라, 플라즈마 처리 챔버 내에서 플라즈마 언컨파인먼트 컨디션을 감지하는 단계들을 나타낸 것이다.
바람직한 실시형태들의 상세한 설명
이제, 첨부 도면에 도시된 것처럼 본 발명의 몇몇 바람직한 실시형태들을 참조로 본 발명이 상세히 설명될 것이다. 다음의 설명에서, 다수의 구체적인 상세가 본 발명의 완전한 이해를 제공하기 위하여 기술된다. 그러나, 당업자라 면, 본 발명이 이러한 구체적인 상세의 일부 또는 전부 없이 실시될 수도 있다는 것을 알 것이다. 다른 경우에, 널리 공지된 프로세스 단계들 및/또는 구조들은 설명되지 않는다.
본 발명의 실시형태들에 있어서, 플라즈마 처리 챔버 내에서 플라즈마 언컨파인먼트 컨디션을 검출하도록 구성되는 보편적 플라즈마 언컨파인먼트 검출 시스템 (Universal Plasma Unconfinement Detection System; UPUDS) 이 존재한다. 일반적으로 말하면, 실질적으로 모든 플라즈마 처리 챔버 내에는, 플라즈마가 생성되어 기판 처리를 위해 유지될 것으로 가정되는 DPCV (Designed Plasma Confinement Volume) 가 존재한다. 예를 들어, 일부 플라즈마 처리 시스템은, 생성된 플라즈마가 최상부 전극, 주변 포커스 링, 및 저부 전극 (에지 링을 포함할 수도 포함하지 않을 수도 있다) 에 의해 일반적으로 정의된 체적 내에 컨파인되도록 설계된다. 플라즈마가 DPCV 내에 컨파인될 때, 그 플라즈마는 컨파인된 상태 또는 컨파인된 컨디션에 있다고 한다. 이 컨파인된 상태에서, 플라즈마 처리 챔버 내이지만 이 DPCV 외부의 플라즈마 밀도는 매우 낮거나, 실질적으로 제로이다.
플라즈마가 언컨파인될 때, 전술된 DPCV 외부의 다양한 위치들에서의 플라즈마 밀도는 소정의 사양을 초과한다 (언급된 것처럼, 플라즈마가 컨파인된 상태에 있을 때 매우 낮거나 실질적으로 제로이기 쉽다). 언컨파인된 상태는, 앞에서 이미 설명된 이유들로 인해 바람직하지 않다. 본 발명의 실시형태들은, 이 언컨파인된 상태를 감지하도록 구성된다.
본 발명자들은, 컨파인된 상태에서, 복귀 RF 전류가 챔버에 대하여 소정의 설정된 경로들을 횡단한다고 생각한다. 컨파인된 상태에 대한 복귀 RF 전류 경로의 표시가 도 1a 에서 참조 부호 150 으로 도시된다. 한편, 언컨파인된 상태에서, DPCV 외부의 증가된 플라즈마의 존재는, 부가적인 및/또는 대안의 복귀 RF 전류 경로들을 생성한다. 언컨파인된 상태에 대한 복귀 RF 전류 경로들은, 도 1b 에서 참조 부호들 (160 및 170) 로 도시된다. 이러한 언컨파인된 상태의 복귀 RF 전류 경로들은, 매우 낮은 복귀 RF 전류 흐름을 미리 겪거나 실질적으로 겪지 않은 챔버 컴포넌트들을 횡단한다. 따라서, 이러한 챔버 컴포넌트들을 통하여 언컨파인된 플라즈마 컨디션의 특징을 나타내는 복귀 RF 전류 흐름들의 존재를 검출함으로써, 플라즈마 언컨파인먼트 상태의 발생을 검출하는 신뢰성 있는 기술이 달성된다.
일반적으로 말하면, 챔버 내의 사전-선택 센서 위치들에 위치된 센서들을 이용하여, 언컨파인된 플라즈마 컨디션의 특징을 나타내는 복귀 RF 전류 흐름의 존재가 검출될 수도 있다. 센서의 위치는, 플라즈마 컨파인먼트 상태와 플라즈마 언컨파인먼트 상태 간의 센서 신호 내의 판별가능한 변화를 제공하는 위치가 되도록 선택된다. 센서 신호 내의 변화는, 신호 처리 회로가, 플라즈마가 컨파인된 상태에 있는지 언컨파인된 상태에 있는지를 센서 신호로부터 판별할 수 있다면 "판별가능한" 것이라 한다.
신호 처리의 당업자는, 소정의 센서 신호로부터의 상태들의 검출이 신호 처리 회로의 능력 및 센서 감도 중 하나 또는 양자에 의존한다는 것을 쉽게 알 것이 다. 일반적으로, 센서 감도는, 플라즈마 처리 챔버 내의 센서의 적절한 선택 및/또는 센서의 위치지정에 의존할 것이다. 예를 들어, 매우 민감한 센서는, 센서 신호 내의 판별가능한 변화들을 생성하기 위해 가장 최적의 위치에 위치될 필요가 있을 수도 있다. 다른 예로서, 덜 민감한 센서는, 센서로 하여금 그 감지 능력을 최대로 활용하게 하기 위해 센서 위치가 잘 선택된다면, 센서 신호 내의 판별가능한 변화들을 여전히 생성할 수도 있다. 또 다른 예로서, 센서 능력 및/또는 센서 위치의 부족은, 플라즈마가 최적 미만의 (less-than-optimal) 센서 신호로부터 컨파인된 상태에 있는지 언컨파인된 상태에 있는지를 정확하게 확인할 수 있는 지능적 신호 처리 알고리즘에 의해 개선될 수도 있다. 따라서, 본 발명의 의도는, 일부 센서들 및 센서 위치들이 다른 센서들 및 센서 위치들에 비해 보다 바람직하지만 여기에 예로서 도시된 특정 센서들 및/또는 센서 위치들이 본 발명의 범위를 제한하고 있지 않다는 것이다.
일 실시형태에서, 보통은 컨파인된 상태에서 복귀 RF 전류 흐름을 아주 적게 겪거나 실질적으로 겪지 않은 챔버 컴포넌트에 대하여 비-접촉의 근접한 관계로 픽업 코일 (pick-up coil) 이 배치된다. 센서의 존재에 의해 유도된 오염 위험을 최소화하고/하거나 검출 감도를 최대화하기 위하여, 센서 위치는 DPCV 에 의해 한정된 중간 체적 외부에, 및/또는 DPCV 의 가시선 밖에 존재하는 것이 바람직하다 (그러나, 절대적으로 요구되는 것은 아니다). 복귀 RF 전류 측정을 위해 선택된 챔버 컴포넌트는, 일 실시형태에서는, 플라즈마가 언컨파인될 때 형성된 대안의 및/또는 부가적인 복귀 RF 전류 경로들 중 적어도 하나를 따라 배치되는 것이 바람 직하다. 플라즈마 언컨파인먼트 상태에서, 이 챔버 컴포넌트를 통한 대안의 및/또는 부가적인 전류 경로들의 존재는, 픽업 코일의 전압을 유도할 것이며, 여기서, 유도된 전압은 그 후 플라즈마 언컨파인먼트 상태를 검출하도록 (원한다면 적절한 변환, 증폭 및/또는 필터링 후에) 처리될 수 있다.
본 발명의 특징들 및 이점들은, 다음에 오는 도형 및 설명을 참조로 더 잘 이해될 수도 있다. 도 2 는, 본 발명의 실시형태들의 설명을 용이하게 하기 위한 용량성 커플링된 플라즈마 처리 챔버의 대표적이고 단순화된 도면이다. 본 발명의 실시형태들은, 도시된 플라즈마 처리 챔버의 특정 구성으로도, 플라즈마를 생성/유지하는데 사용된 특정 플라즈마 커플링 기술 (이를 테면, 용량성-커플링, 유도성-커플링, 마이크로파 등) 로도 제한되지 않는다는 것을 알아야 한다.
도 2 를 참조하면, DPCV (204) 를 가진 플라즈마 처리 챔버 (202) 가 도시되어 있다. 도 2 의 예에서, DPCV 는 일반적으로, 상부 전극 (206), 컨파인먼트 링 세트 (208), 및 하부 전극 (210)/에지 링 (212) 장치에 의해 한정된 체적 내에 컨파인된다. 상부 전극, 컨파인먼트 링, 하부 전극, 및 에지 링은, 반도체 산업에서 현재 입수가능한 각각의 상업상 적절한 컴포넌트들을 나타낸다.
이 예에서, 상부 전극 (206) 은 접지되는 한편, 하부 전극 (210) 은 RF 생성 시스템 (214) 내의 하나 이상의 RF 생성기에 커플링된다. RF 생성 시스템 (214) 은, 하나 이상의 RF 주파수들을 가진 RF 신호들을 하부 전극 (210) 에 제공하여 플라즈마 클라우드 (220) 를 생성하며, 이로써 하부 전극 (210) 상에 배치되어 있는 것으로 도시된 웨이퍼 (222) 를 처리 (예를 들어, 에칭, 스트립, 증착 등) 하도록 구성된다.
컨파인된 상태에서, 생성된 플라즈마의 대부분 또는 실질적으로 전부가 전술된 DPCV 내에 컨파인된다. 챔버 내이지만 DPCV 의 외부의 (예를 들어, 참조 부호들 (230, 232, 234, 236, 또는 238) 로 마크된) 다른 위치들은, 플라즈마가 컨파인된 상태에 있을 때 매우 낮거나 실질적으로 제로의 플라즈마 밀도를 겪는다. 컨파인된 상태에서, 복귀 RF 전류는, 설정된 컨파인된 상태의 복귀 RF 전류 경로들을 따라가며, 이들 중 하나는, 도 2 의 예에서 송신선 보어의 표면에 존재하고, 이는 참조 부호 240 으로 도시된다. 정확한 전류 경로는, 상이한 챔버 설계가 상이한 컴포넌트들 및/또는 상이한 컴포넌트 장치들을 사용하여 상이한 컨파인된 상태의 복귀 RF 전류 경로들을 야기할 수도 있기 때문에 본 발명의 주요한 특징이 아니라는 것을 주목하라. 두드러진 특징은, 컨파인된 상태에서 복귀 RF 전류 경로가 설정된다는 것이다.
플라즈마가 언컨파인될 때, 플라즈마는 DPCV 외부에 존재한다. 언컨파인된 플라즈마는, 복귀 RF 전류의 일부가 현재 따라가는 부가적인 또는 대안의 복귀 RF 전류 경로들의 생성을 야기한다. 일부 경우에, 언컨파인된 상태의 복귀 RF 전류 경로들은, 정규의 컨파인된 상태에서, 복귀 RF 전류 흐름을 아주 적게 겪거나 실질적으로 겪지 않은 컴포넌트 부품들을 횡단한다.
그 후, 영향을 받은 챔버 부품을 통하여 복귀 RF 전류 레벨의 변경을 검출하기 위해 센서가 사용될 수도 있다. 일 실시형태에서는, 센서로서 픽업 코일이 사용되며, 이 픽업 코일은, 언컨파인된 상태의 복귀 RF 전류가 현재 흐르는 챔버 부품에 근접하게 위치된다. 언컨파인된 상태에서의 더 높은 복귀 RF 전류는 코일에 걸린 더 높은 전압을 유도한다. 코일에서 유도된 전압의 크기를 측정함으로써, 센서 코일에 의해 제공된 유도된 전압의 값에 기초하여, 컨파인된 상태와 언컨파인된 상태 간을 판별하기 위해 센서 출력을 사용하는 것이 가능하다. 판별 업무는, 일 실시형태에서, 프로그램가능한 컴퓨팅 디바이스를 이용하여 또는 적절한 전용 회로에 의해 수행될 수도 있다.
센서 위치 (234) 는, 챔버 컴포넌트 (244) 에 근접하도록 선택된다. 챔버 오염을 막고 및/또는 센서에 대한 플라즈마-관련 손상을 최소화하기 위해, 센서는, 컨파인된 플라즈마 및/또는 언컨파인된 플라즈마로부터 (예를 들어, 컨파인된 상태와 언컨파인된 상태 사이에서 복귀 RF 전류 레벨의 변경을 감지하기 위한 센서의 능력을 과도하게 방해하지 않는 센서 차폐를 위해 제공된 챔버 구조물 또는 또 다른 구조물 이면에) 차폐될 수도 있다.
또한, 센서 위치 (234) 는, 바람직하게는, 컨파인된 상태 동안 센서 코일에서, 매우 낮은 유도 전압을 산출하거나 실질적으로 유도 전압을 산출하지 않는 위치인 것으로 선택된다. 한편, 센서 위치 (234) 는 또한, 언컨파인된 상태에서, 센서 코일에서, 판별가능하게 더 높은 유도된 전압을 산출하는 위치인 것으로 선택된다. 컨파인된 상태와 언컨파인된 상태 사이에서의 유도된 전압 레벨의 확실한 변경은, 플라즈마 언컨파인먼트 상태가 검출되는 정확도 및/또는 신뢰도의 향상을 도울 수도 있다.
언급한 것처럼, 센서 위치 (234) 는, 언컨파인된 상태에서 높은 레벨의 복귀 RF 전류를 겪는 챔버 부품에 근접 (이를 테면, 인접하지만 비-접촉 관계) 하게 배치된다. 예를 들어, 플라즈마가 언컨파인될 때 복귀 RF 전류에 대한 중심 (focal point) 의 역할을 하는 챔버 부품에 근접하게 배치된 센서는, 언컨파인된 상태에서 그 코일에 걸린 더 높은 유도된 전압을 겪어, 컨파인된 상태와 언컨파인된 상태 간을 판별하는 업무를 실질적으로 더 단순하고 및/또는 보다 정확하게 할 것이다. 당업자는, 소정의 플라즈마 처리 챔버 내에 많은 후보 센서 위치들이 존재할 수도 있다는 것을 알 것이며, 이들 중 일부 또는 전부가 사용될 수도 있다. 선택된 정확한 위치는, 특정 플라즈마 처리 챔버의 설계, 센서의 능력, 신호 처리 회로 및/또는 알고리즘의 능력 등에 의존한다.
도 3 은, 본 발명의 일 실시형태에 따라, 센서 (302) 및 센서 데이터 처리 장치 (304) 를 포함하는 예시적인 보편적 플라즈마 언컨파인먼트 검출 시스템 (300) 을 도시한 것이다. 센서 (302) 는, 유도 코일, 용량성 센서, 또는 이온 센서를 나타낼 수도 있다. 센서 데이터 처리 장치 (304) 는, 센서 (302) 에 의해 제공된 센서 신호로부터 언컨파인된 상태를 검출하기 위해 하드웨어에 내장된 회로 및/또는 프로그램가능한 회로를 포함한다.
도 4 는, 본 발명의 일 실시형태에 따라, 센서/코일 (402), 및 고주파수 변압기 (406), 저항기 (408), 및 트리거 신호 생성기 (420) 를 포함하는 센서 데이터 처리 장치 (404) 를 포함하는 예시적인 보편적 플라즈마 언컨파인먼트 검출 시스템 (400) 을 도시한 것이다. 코일 (402) 의 전압은, 도 4 의 절곡된 한 쌍의 도체들 (422) 과 같은 적절한 도체 경로를 통해 변압기 (406) 의 1 차 코일로 송신된 다. 도 1b 의 예를 참조하면, 전류의 픽업 코일은 참조 부호 174 로 도시되고, 절곡된 한 쌍은 참조 부호 172 로 도시된다. 또한, 도 1 에는, 자기장이 참조 부호 176 으로 도시된다.
다시, 도 4 를 참조하면, 변압기 (406) 의 2 차 코일에 걸린 전압은 저항기 (408) 를 통해 감지된다. 일 구현에서, 플라즈마 처리 챔버의 하부 전극은, 3 개의 개별 RF 주파수들 : 2MHz, 27MHz, 및 60MHz 를 이용하여 전력공급된다. 이 예시적인 구현에서, 센서 코일 (402) 의 인덕턴스는, 약 0.3micro-Henry 인 것으로 선택되며, 저항기 (408) 는 약 40ohms 이다. 트리거 신호 생성기 (420) 는, 저항기 (408) 를 통한 전위차로부터 언컨파인된 상태를 검출하도록 구성되며, 여기서, 전위차는, 센서 (402) 로부터의 센서 신호의 값을 반영한다.
도 5 는, 본 발명의 일 실시형태에 따라, 예시적인 설치를 위해 컨파인된 상태 (506) 와 언컨파인된 상태 (508) 에 대한 입력 RF 전력의 함수로서 센서 코일의 전압의 플롯을 나타낸 것이다. 도 5 에서 알 수 있는 것처럼, 언컨파인된 상태 (508a) 에서의 센서 코일의 전압과 컨파인된 상태 (506a) 에서의 센서 코일의 전압간의 차는, 100watts 의 저부 RF 전력에서 대략 80밀리볼트이다. 이러한 2 개의 전압들 (508a 및 506a) 의 비교적 큰 크기의 차가 센서 신호 처리 장치로 하여금 언컨파인된 상태를 신뢰성 있게 검출할 수 있게 한다. 그 차는, 프로세스 레시피가 변할 때 비교적 변경되지 않는다는 것을 알아야 한다. 따라서, 본 발명의 실시형태들은, 발명자들에 의해 보편적인 것으로 간주되고, 이는 언컨파인된 상태를 검출하기 위한 프로세스 레시피의 사전 지식을 요구하지 않는다.
도 5 를 참조하면, 센서 코일 전압의 차는, 저부 RF 전력 레벨이 증가할 때 증가하는 것이 바람직하다. 따라서, 300watts 의 저부 RF 전력에서, 언컨파인된 상태 (508b) 에서의 센서 코일의 전압과 컨파인된 상태 (506b) 에서의 센서 코일의 전압 간의 차는 대략 280밀리볼트이다. 500watts 의 전력에서, 언컨파인된 상태 (508c) 에서의 센서 코일의 전압과 컨파인된 상태 (506c) 에서의 센서 코일의 전압 간의 차는 대략 510밀리볼트이다. 따라서, 본 발명의 실시형태들은, 이방성 에칭 프로파일 및 고애스펙트비 에칭을 달성하기 위하여 높은 프로세스 전력 레시피를 사용하는 챔버 내에서 언컨파인된 상태를 검출하는데 매우 적합하다.
도 6 은, 본 발명의 일 실시형태에 따라, 플라즈마 처리 챔버 내에서 플라즈마 언컨파인먼트 컨디션을 감지하는 단계들을 나타낸 것이다. 단계 602 에서, 챔버 내의, 플라즈마 컨파인된 상태와 플라즈마 언컨파인된 상태 간의 판별가능한 변화를 갖는 센서 신호를 센서가 제공할 수 있게 하도록 선택된 위치에 센서가 제공된다. 단계 604 에서, 센서 신호를 수신하고, 또한 플라즈마 언컨파인먼트 컨디션이 챔버 내에 존재한다면 플라즈마가 언컨파인된다는 것을 나타내는 데이터 신호를 생성하기 위해 센서 데이터 처리 장치가 제공된다.
전술한 것으로부터 알 수 있는 것처럼, 본 발명의 실시형태들은, 챔버 내의 특정 프로세스 컨디션을 미리 알아야 할 필요 없이 플라즈마 처리 챔버 내의 플라즈마 언컨파인먼트 상태를 신속하고 신뢰성 있게 검출하는 것이 가능하게 한다. 그 후, 검출 결과는, 트리거 신호로서, 예를 들어, 플라즈마 처리 챔버의 셧다운을 자동으로 트리거링하여 챔버 컴포넌트들에 대한 추가 손상을 막는 것을 포함하 여, 플라즈마 언컨파인먼트 문제를 해결하는데 사용될 수도 있다.
본 발명이 몇몇 실시형태들에 의해 설명되었지만, 변경물, 치환물, 및 등가물이 존재하는데, 이는 본 발명의 범위 내에 있다. 예를 들어, 여기에, 예시로서 용량성-커플링된 플라즈마 처리 챔버가 참조되고 있지만, 본 발명의 실시형태들은 또한, 예를 들어, 유도성 커플링된 플라즈마 처리 챔버 및 마이크로파-커플링된 플라즈마 처리 챔버를 포함하는, 다른 유형의 플라즈마 처리 챔버 내에서 실시될 수도 있다. 또한, 예시된 예는, 플라즈마 언컨파인먼트 상태가 존재하는지 여부를 확인하기 위하여 챔버의 소정 부분에서 복귀 RF 전류의 증가를 검출하기 위해 픽업 코일을 사용하지만, 다른 유형의 센서가 또한 사용될 수도 있다. 예를 들어, 용량성 센서가 사용될 수도 있다. 일 실시형태에서, 커패시터는, 비아 암 (via arm) 상에 탑재될 수도 있으며, 2 개의 플레이트들 중 하나는, (예를 들어, 비아 암을 이용하여) 접지에 밀접하게 묶인다. 다른 커패시터 플레이트는, DPCV 의 외부이지만 언컨파인된 상태에서 플라즈마를 유지하는 것으로 알려진 공간에서 노출된다. 고-임피던스 저항기는, 컨파인된 상태에서 전하축적 (charge-up) 을 피하기 위해 제공될 수도 있다. 일단, 언컨파인먼트가 발생하면, 플라즈마는, 노출된 플레이트 상에 전하를 제공하여, 커패시터에 걸린 전압을 변경한다. 이 커패시터 플레이트에 걸린 전압의 변경은, 그 후, 커패시터 플레이트에 접속되는 외부 검출 회로에 의해 검출될 수도 있다. 대안물로서, 플라즈마 언컨파인먼트 상태 동안 플라즈마의 소정 부분에서 증가된 플라즈마 존재를 검출하기 위해 이온 밀도 검출기가 또한 사용될 수도 있다. 또한, 본 발명의 방법 및 장 치를 구현하는 많은 대안의 방식들이 존재한다는 것을 알아야 한다. 따라서, 다음의 첨부된 특허청구범위는, 본 발명의 진정한 사상 및 범위 내에 있는 모든 이러한 변경물, 치환물, 및 등가물을 포함하는 것으로 해석되어야 하는 것으로 의도된다.

Claims (22)

  1. 플라즈마 처리 챔버 내에서, 상기 플라즈마 처리 챔버 내의 설계된 플라즈마 컨파인먼트 체적 (DPCV; Designed Plasma Confinement Volume) 외부에서 소정의 사양을 초과한 플라즈마의 존재를 특징으로 하는 플라즈마 언컨파인먼트 컨디션 (plasma unconfinement condition) 을 검출하는 방법으로서,
    상기 플라즈마 처리 챔버 내의 선택된 위치에 센서를 제공하는 단계로서, 상기 선택된 위치는, 상기 플라즈마가 상기 DPCV 내에서 상기 소정의 사양 내에 컨파인된다면 플라즈마 처리 동안 제 1 레벨의 복귀 RF 전류를, 그리고 상기 플라즈마가 상기 플라즈마 처리 동안 상기 DPCV 외부에서 상기 소정의 사양을 초과하고 있다면 상기 플라즈마 처리 동안 제 2 레벨의 복귀 RF 전류를 전도하도록 구성되는 플라즈마 처리 챔버 컴포넌트에 근접하며, 상기 센서 및 상기 선택된 위치는, 제 1 상태와 제 2 상태 간의 판별가능한 변화를 갖는 전기적 파라미터를 제공하도록 구성되며, 상기 제 1 상태는, 상기 제 1 레벨의 복귀 RF 전류의 특징을 나타내고, 상기 제 2 상태는, 상기 제 2 레벨의 복귀 RF 전류의 특징을 나타내는, 상기 센서를 제공하는 단계; 및
    상기 센서에 커플링되는 센서 데이터 처리 장치를 제공하는 단계로서, 상기 센서 데이터 처리 장치는, 상기 전기적 파라미터에 응답하여, 상기 플라즈마 처리 챔버가 상기 플라즈마 언컨파인먼트 컨디션을 겪고있는지 여부를 나타내는 데이터 신호를 제공하도록 구성되는, 상기 센서 데이터 처리 장치를 제공하는 단계를 포함 하는, 플라즈마 언컨파인먼트 컨디션의 검출 방법.
  2. 제 1 항에 있어서,
    상기 선택된 위치는, 상기 플라즈마 처리 챔버 컴포넌트에 대하여 비-접촉하고 있는, 플라즈마 언컨파인먼트 컨디션의 검출 방법.
  3. 제 2 항에 있어서,
    상기 선택된 위치는, 상기 플라즈마 처리 챔버 컴포넌트에 인접한, 플라즈마 언컨파인먼트 컨디션의 검출 방법.
  4. 제 1 항에 있어서,
    상기 센서는, 전류-감지 코일인, 플라즈마 언컨파인먼트 컨디션의 검출 방법.
  5. 제 1 항에 있어서,
    상기 센서 데이터 처리 장치는,
    상기 전기적 파라미터로부터 판별가능한 전위차를 생성하도록 구성된 회로, 및
    상기 전위차로부터 상기 데이터 신호를 생성하는 소프트웨어-제어된 신호 생성기를 더 포함하는, 플라즈마 언컨파인먼트 컨디션의 검출 방법.
  6. 제 5 항에 있어서,
    상기 회로는, 변압기 및 저항기 중 적어도 하나를 포함하는, 플라즈마 언컨파인먼트 컨디션의 검출 방법.
  7. 제 6 항에 있어서,
    상기 센서 및 상기 선택된 위치는, 상기 제 1 레벨의 복귀 RF 전류가 상기 제 2 레벨의 복귀 RF 전류보다 낮도록 선택되는, 플라즈마 언컨파인먼트 컨디션의 검출 방법.
  8. 제 6 항에 있어서,
    상기 선택된 위치는, 상기 DPCV 에 대하여 직통 가시선 (direct line-of-sight) 이 아닌 위치를 나타내는, 플라즈마 언컨파인먼트 컨디션의 검출 방법.
  9. 제 1 항에 있어서,
    상기 플라즈마 처리 챔버는, 용량성 커플링된 플라즈마 처리 챔버를 나타내는, 플라즈마 언컨파인먼트 컨디션의 검출 방법.
  10. 제 1 항에 있어서,
    상기 플라즈마 처리 챔버는, 유도성 커플링된 플라즈마 처리 챔버를 나타내 는, 플라즈마 언컨파인먼트 컨디션의 검출 방법.
  11. 제 1 항에 있어서,
    상기 플라즈마 처리 챔버는, 마이크로파 에너지를 이용하여 상기 플라즈마 처리 챔버 내에 플라즈마를 생성하는 챔버를 나타내는, 플라즈마 언컨파인먼트 컨디션의 검출 방법.
  12. 플라즈마 처리 챔버, 및 상기 플라즈마 처리 챔버 내에서, 상기 플라즈마 처리 챔버 내의 설계된 플라즈마 컨파인먼트 체적 (DPCV; Designed Plasma Confinement Volume) 외부에서 소정의 사양을 초과한 플라즈마 존재를 특징으로 하는 플라즈마 언컨파인먼트 컨디션 (plasma unconfinement condition) 을 검출하는 장치를 포함하는 플라즈마 처리 시스템으로서,
    상기 플라즈마 처리 챔버 내의 선택된 위치에 배치된 센서로서, 상기 선택된 위치는, 상기 플라즈마가 상기 DPCV 내에서 상기 소정의 사양 내에 컨파인된다면 플라즈마 처리 동안 제 1 레벨의 복귀 RF 전류를, 그리고 상기 플라즈마가 상기 플라즈마 처리 동안 상기 DPCV 외부에서 상기 소정의 사양을 초과하고 있다면 상기 플라즈마 처리 동안 제 2 레벨의 복귀 RF 전류를 전도하도록 구성되는 플라즈마 처리 챔버 컴포넌트에 근접하며, 상기 센서 및 상기 선택된 위치는, 제 1 상태와 제 2 상태 간의 판별가능한 변화를 갖는 전기적 파라미터를 제공하도록 구성되고, 상기 제 1 상태는, 상기 제 1 레벨의 복귀 RF 전류의 특징을 나타내고, 상기 제 2 상 태는, 상기 제 2 레벨의 복귀 RF 전류의 특징을 나타내는, 상기 센서; 및
    상기 센서에 커플링된 센서 데이터 처리 장치로서, 상기 센서 데이터 처리 장치는, 상기 전기적 파라미터에 응답하여, 상기 플라즈마 처리 챔버가 상기 플라즈마 언컨파인먼트 컨디션을 겪고있는지 여부를 나타내는 데이터 신호를 제공하도록 구성되는, 상기 센서 데이터 처리 장치를 포함하는, 플라즈마 처리 시스템.
  13. 제 12 항에 있어서,
    상기 선택된 위치는, 상기 플라즈마 처리 챔버 컴포넌트에 대하여 비-접촉하고 있는, 플라즈마 처리 시스템.
  14. 제 13 항에 있어서,
    상기 선택된 위치는, 상기 플라즈마 처리 챔버 컴포넌트에 인접한, 플라즈마 처리 시스템.
  15. 제 12 항에 있어서,
    상기 센서는, 전류-감지 코일인, 플라즈마 처리 시스템.
  16. 제 12 항에 있어서,
    상기 센서 데이터 처리 장치는,
    상기 전기적 파라미터로부터 판별가능한 전위차를 생성하도록 구성된 회로, 및
    상기 전위차로부터 상기 데이터 신호를 생성하는 소프트웨어-제어된 신호 생성기를 더 포함하는, 플라즈마 처리 시스템.
  17. 제 16 항에 있어서,
    상기 회로는, 변압기 및 저항기 중 적어도 하나를 포함하는, 플라즈마 처리 시스템.
  18. 제 17 항에 있어서,
    상기 센서 및 상기 선택된 위치는, 상기 제 1 레벨의 복귀 RF 전류가 상기 제 2 레벨의 복귀 RF 전류보다 낮도록 선택되는, 플라즈마 처리 시스템.
  19. 제 17 항에 있어서,
    상기 선택된 위치는, 상기 DPCV 에 대하여 직통 가시선 (direct line-of-sight) 이 아닌 위치를 나타내는, 플라즈마 처리 시스템.
  20. 제 12 항에 있어서,
    상기 플라즈마 처리 챔버는, 용량성 커플링된 플라즈마 처리 챔버를 나타내는, 플라즈마 처리 시스템.
  21. 제 12 항에 있어서,
    상기 플라즈마 처리 챔버는, 유도성 커플링된 플라즈마 처리 챔버를 나타내는, 플라즈마 처리 시스템.
  22. 제 12 항에 있어서,
    상기 플라즈마 처리 챔버는, 마이크로파 에너지를 이용하여 상기 플라즈마 처리 챔버 내에 플라즈마를 생성하는 챔버를 나타내는, 플라즈마 처리 시스템.
KR1020087031604A 2006-06-28 2007-06-26 플라즈마 처리 챔버 내에서 언컨파인먼트를 감지하는 방법 및 장치 KR101366470B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/427,326 US7722778B2 (en) 2006-06-28 2006-06-28 Methods and apparatus for sensing unconfinement in a plasma processing chamber
US11/427,326 2006-06-28
PCT/US2007/072158 WO2008002938A2 (en) 2006-06-28 2007-06-26 Methods and apparatus for sensing unconfinement in a plasma processing chamber

Publications (2)

Publication Number Publication Date
KR20090029740A true KR20090029740A (ko) 2009-03-23
KR101366470B1 KR101366470B1 (ko) 2014-02-21

Family

ID=38846486

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087031604A KR101366470B1 (ko) 2006-06-28 2007-06-26 플라즈마 처리 챔버 내에서 언컨파인먼트를 감지하는 방법 및 장치

Country Status (6)

Country Link
US (1) US7722778B2 (ko)
JP (1) JP5296676B2 (ko)
KR (1) KR101366470B1 (ko)
CN (1) CN101479611B (ko)
TW (1) TWI448211B (ko)
WO (1) WO2008002938A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150301100A1 (en) * 2014-04-21 2015-10-22 Lam Research Corporation Using modeling for identifying a location of a fault in an rf transmission system for a plasma system

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7960670B2 (en) 2005-05-03 2011-06-14 Kla-Tencor Corporation Methods of and apparatuses for measuring electrical parameters of a plasma process
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
CN101970166B (zh) * 2007-12-13 2013-05-08 朗姆研究公司 等离子体无约束传感器及其方法
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
KR101606736B1 (ko) 2008-07-07 2016-03-28 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 플라즈마 불안정성을 검출하기 위한 패시브 용량성-결합된 정전식 (cce) 프로브 장치
CN102714167B (zh) 2008-07-07 2015-04-22 朗姆研究公司 用于检测等离子处理室内的原位电弧放电事件的被动电容耦合静电(cce)探针装置
US8650002B2 (en) * 2009-06-30 2014-02-11 Lam Research Corporation Determining plasma processing system readiness without generating plasma
US8901935B2 (en) * 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
JP2013511814A (ja) 2009-11-19 2013-04-04 ラム リサーチ コーポレーション プラズマ処理システムを制御するための方法および装置
US9478428B2 (en) 2010-10-05 2016-10-25 Skyworks Solutions, Inc. Apparatus and methods for shielding a plasma etcher electrode
US20120083129A1 (en) 2010-10-05 2012-04-05 Skyworks Solutions, Inc. Apparatus and methods for focusing plasma
CN102915902B (zh) * 2011-08-02 2015-11-25 中微半导体设备(上海)有限公司 一种电容耦合式的等离子体处理装置及其基片加工方法
KR102011535B1 (ko) * 2011-11-24 2019-08-16 램 리써치 코포레이션 가요성 있는 대칭적 rf 복귀 스트랩을 갖는 플라즈마 프로세싱 챔버
JP5808012B2 (ja) * 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
US9754769B2 (en) * 2015-09-15 2017-09-05 Lam Research Corporation Metrology methods to detect plasma in wafer cavity and use of the metrology for station-to-station and tool-to-tool matching
US20210280399A1 (en) * 2020-03-06 2021-09-09 Applied Materials, Inc. Capacitive sensors and capacitive sensing locations for plasma chamber condition monitoring

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4679007A (en) * 1985-05-20 1987-07-07 Advanced Energy, Inc. Matching circuit for delivering radio frequency electromagnetic energy to a variable impedance load
US5716534A (en) * 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US6174450B1 (en) * 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6221221B1 (en) * 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6242360B1 (en) * 1999-06-29 2001-06-05 Lam Research Corporation Plasma processing system apparatus, and method for delivering RF power to a plasma processing
DE60139868D1 (de) * 2000-06-16 2009-10-22 Ati Properties Inc Verfahren zum spritzformen, zerstäuben und wärmeaustausch
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
JP2002270598A (ja) * 2001-03-13 2002-09-20 Tokyo Electron Ltd プラズマ処理装置
US6583572B2 (en) * 2001-03-30 2003-06-24 Lam Research Corporation Inductive plasma processor including current sensor for plasma excitation coil
US6602381B1 (en) * 2001-04-30 2003-08-05 Lam Research Corporation Plasma confinement by use of preferred RF return path
JP2002334866A (ja) * 2001-05-09 2002-11-22 Tokyo Electron Ltd 被覆剤及びそれを施した耐プラズマ性部品
TWI239794B (en) * 2002-01-30 2005-09-11 Alps Electric Co Ltd Plasma processing apparatus and method
JP4030766B2 (ja) * 2002-01-30 2008-01-09 アルプス電気株式会社 プラズマ処理装置
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
JP3977114B2 (ja) * 2002-03-25 2007-09-19 株式会社ルネサステクノロジ プラズマ処理装置
JP4047616B2 (ja) * 2002-04-03 2008-02-13 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
AU2003245264A1 (en) * 2002-05-08 2003-11-11 Dana Corporation Plasma-assisted joining
US7083702B2 (en) * 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
US20050022736A1 (en) * 2003-07-29 2005-02-03 Lam Research Inc., A Delaware Corporation Method for balancing return currents in plasma processing apparatus
JP2005077248A (ja) * 2003-08-29 2005-03-24 Daihen Corp 高周波電源装置
US7001482B2 (en) * 2003-11-12 2006-02-21 Tokyo Electron Limited Method and apparatus for improved focus ring
JP4646525B2 (ja) * 2004-02-05 2011-03-09 ヤマザキマザック株式会社 プラズマ検出装置、及びプラズマ検出装置付きレーザ加工機
FR2875304B1 (fr) * 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
US7534301B2 (en) * 2004-09-21 2009-05-19 Applied Materials, Inc. RF grounding of cathode in process chamber
JP4149427B2 (ja) * 2004-10-07 2008-09-10 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
US7740736B2 (en) * 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US8080479B2 (en) * 2007-01-30 2011-12-20 Applied Materials, Inc. Plasma process uniformity across a wafer by controlling a variable frequency coupled to a harmonic resonator
US9074285B2 (en) * 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150301100A1 (en) * 2014-04-21 2015-10-22 Lam Research Corporation Using modeling for identifying a location of a fault in an rf transmission system for a plasma system
US10950421B2 (en) * 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system

Also Published As

Publication number Publication date
US7722778B2 (en) 2010-05-25
US20100096361A1 (en) 2010-04-22
JP5296676B2 (ja) 2013-09-25
WO2008002938A2 (en) 2008-01-03
TW200818993A (en) 2008-04-16
CN101479611B (zh) 2012-10-03
WO2008002938A3 (en) 2008-05-08
CN101479611A (zh) 2009-07-08
TWI448211B (zh) 2014-08-01
JP2009543298A (ja) 2009-12-03
KR101366470B1 (ko) 2014-02-21

Similar Documents

Publication Publication Date Title
KR101366470B1 (ko) 플라즈마 처리 챔버 내에서 언컨파인먼트를 감지하는 방법 및 장치
US10777393B2 (en) Process condition sensing device and method for plasma chamber
CN114361002B (zh) 在等离子体处理期间控制在基板的电压波形的系统与方法
KR101711685B1 (ko) 플라즈마 아크 검출, 절연 및 방지를 위한 시스템 및 방법
US7224568B2 (en) Plasma processing method and plasma processing apparatus
US6326794B1 (en) Method and apparatus for in-situ monitoring of ion energy distribution for endpoint detection via capacitance measurement
US6562187B2 (en) Methods and apparatus for determining an etch endpoint in a plasma processing system
US7199327B2 (en) Method and system for arc suppression in a plasma processing system
JP5319150B2 (ja) プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
KR101355728B1 (ko) 평면 이온 플럭스 프로빙 장치의 이용을 통해 유도된 파라미터를 이용한 플라즈마 처리의 제어
US7754615B2 (en) Method and apparatus for detecting endpoint in a dry etching system by monitoring a superimposed DC current
CN102484062A (zh) 测量晶片偏压的方法与装置
US10720313B2 (en) Measuring device, measurement method, and plasma processing device
KR20120097504A (ko) 플라즈마 프로세싱 시스템에서 플라즈마의 한정 상태를 검출하는 방법 및 장치
JP2014179576A (ja) プラズマ処理装置の制御方法
KR20140096299A (ko) 플라즈마 프로세싱 챔버 내 dc 바이어스 검출 시스템, 방법 및 장치
JP2010056114A (ja) プラズマ処理装置
US7993487B2 (en) Plasma processing apparatus and method of measuring amount of radio-frequency current in plasma
KR102103949B1 (ko) 비접촉식 메탈전극을 이용하는 정전용량 방식의 상태 측정 장치
KR20240093943A (ko) 플라즈마 프로세싱 챔버에서의 실시간 웨이퍼 전위 측정을 위한 방법 및 장치
JP2017069209A (ja) プラズマ処理装置の制御方法、プラズマ処理方法及びプラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170214

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180206

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190201

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20200212

Year of fee payment: 7