KR20080100153A - 낮은 K 금속간 유전체 및 에칭 스톱과의 통합을 위한 무전해 Co 합금막 상에서의 산화를 감소시키고 접착력을 강화시키는 방법 - Google Patents

낮은 K 금속간 유전체 및 에칭 스톱과의 통합을 위한 무전해 Co 합금막 상에서의 산화를 감소시키고 접착력을 강화시키는 방법 Download PDF

Info

Publication number
KR20080100153A
KR20080100153A KR1020080104689A KR20080104689A KR20080100153A KR 20080100153 A KR20080100153 A KR 20080100153A KR 1020080104689 A KR1020080104689 A KR 1020080104689A KR 20080104689 A KR20080104689 A KR 20080104689A KR 20080100153 A KR20080100153 A KR 20080100153A
Authority
KR
South Korea
Prior art keywords
layer
capping layer
depositing
dielectric
plasma
Prior art date
Application number
KR1020080104689A
Other languages
English (en)
Inventor
홍빈 팡
티모시 웨이드맨
팡 메이
야신 왕
아룰쿠마르 산무가선트람
크리스토퍼 디. 벤처
메훌 나이크
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080100153A publication Critical patent/KR20080100153A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

반도체 기판을 처리하는 방법 및 장치는, 기판상에 형성된 도전성 재료에 캡핑층을 증착하는 단계, 캡핑층 상에 형성된 산화물을 환원시키는 단계, 및 유전체 재료를 증착하는 단계를 포함한다. 반도체 기판을 처리하는 방법 및 장치는 기판 상에 형성된 도전성 재료에 캡핑층을 증착하는 단계, 캡핑층을 플라즈마에 노출시키는 단계, 약 100℃ 이상으로 기판을 가열하는 단계, 및 낮은 유전 상수 재료를 증착하는 단계를 포함한다.

Description

낮은 K 금속간 유전체 및 에칭 스톱과의 통합을 위한 무전해 Co 합금막 상에서의 산화를 감소시키고 접착력을 강화시키는 방법{IMPROVING ADHESION AND MINIMIZING OXIDATION ON ELECTROLESS CO ALLOY FILMS FOR INTEGRATION WITH LOW K INTER-METAL DIELECTRIC AND ETCH STEO}
본 발명은 반도체 기판 상에 소자들을 신뢰성있게 형성하는 프로세스에 관한 것이다.
현재, 구리 및 구리의 합금은 구리(Cu)가 낮은 저항률, 높은 전류 보유력, 및 높은 전기이동도 저항성을 갖기 때문에, 서브-미크론 상호접속 기술에 대한 선택적 금속이 되었다. 그러나, Cu의 긍정적 특성에도 불구하고, Cu 상호접속부는 구리 확산, 전기이동도 관련 결함, 및 산화 관련 결함을 야기시키는 경향이 있다. 통상적으로, 라이너 배리어층이 Cu 상호접속부의 측면 및 바닥을 둘러싸는데 이용되어 인접한 유전체층들로 Cu가 확산되는 것을 방지할 수 있다. Cu 상호접속부의 산화 및 전기이동도 관련 결함들은 Cu 상호접속부 표면 상에 예를 들어, 코발트 텅스텐 포스포러스(CoWP), 코발트 주석 포스포러스(CoSnP), 및 코발트 텅스텐 포스포러스 보론(CoWPB)의 얇은 캡핑층을 증착함으로써 크게 감소될 수 있다. 캡핑층 및 순차적 유전체층들을 증착한 후에, BEOL(back-end-of-the-line)층을 프로세싱하고 칩을 패키징하는 동안 약 8 시간의 주기 동안 400℃ 내지 450℃에 이르는 높은 프로세싱 온도는, 캡핑층과 유전체층 사이의 접착력이 약할 경우 캡핑층으로부터 순차적으로 증착되는 유전체층의 분리를 유도한다. 프로세싱 시퀀스 지연은 산화를 조장할 수 있다. 특히, 산화는 약 150Å 미만의 두께를 가지는 얇은 캡핑층들, 예를 들어, 70Å의 CoWP 또는 CoWPB 층에서 특히 문제시된다. Cu 상호접속부 위로 증착된 캡핑층의 표면에 대한 산화물 농도 감소 및 접착력 증가는 순차적으로 증착되는 유전체층들의 분리를 방지하기 위해 필요하다.
도 1(종래기술)은 피쳐가 형성된 단면을 나타낸다. 피쳐는 기판 표면(11)상에 형성된다. 유전체층(14)은 기판(11) 상에 증착된다. 비아(10)가 유전체층(14)에 형성된다. 배리어층(12)은 비아(10)에 증착된다. 구리 또는 다른 도전성 재료(13)가 배리어층(12) 상에 증착된다. 다음 도전성 재료(13)가 평탄화되고 캡핑층(22)이 도전성 재료(13) 상에 증착된다. 다음, 낮은 유전 상수를 가지는 유전체층(16)이 유전체층(14)과 캡핑층(22) 상에 증착된다. 캡핑층(22)과 유전체층(16) 사이에 인터페이스(17)가 형성된다. 다음, 추가의 유전체층(18)이 증착된다. 추가의 유전체층은 플로오로실리케이트 글라스(FSG), 비정질 실리콘, 실리콘 옥시카바이드, 또는 유전 상수 또는 다른 특성에 대해 선택된 다른 유전체일 수 있다. 유전체층(18, 16)은 하나의 연속적 유전체층 복합물(15)일 수 있다. 다음, 포토레지스트가 유전체층(18)의 표면에 증착된다. 포토레지스트가 패터닝되고 또한 하부 유전체 복합물(15)도 패터닝된다. 유전체층(16)이 패터닝됨에 따라, 캡핑층(22)과 유전체층(16) 사이의 인터페이스(17)는 보전성을 손실하여 변형될 수 있다. 특히, 캡핑층(22)과 유전체층(16) 사이의 접착력이 제한되는 경우, 캡핑층(2)과 유전체층(16)은 분리되어 소자 결함을 유도할 수 있다.
지금까지, 캡핑층이 증착되고 바로 유전체층 증착이 이루어졌다. 도 7(종래기술)은 캡핑층 상에 낮은 유전상수 막을 증착하는 프로세스(50)를 나타낸다. 기판은 단계(51)에서 산소 함유 분위기에서 예비가열된다. 다음 실란 또는 테트라에틸오쏘로실리케이트(Si(OC2H5)4), 테트라에틸옥시실란, 또는 TEOS와 같은 실리콘 함유 전구체가 단계(52)에서 챔버로 주입된다. 다음 플라즈마가 단계(53)에서 점화된다. 벌크 유전체층이 단계(54)에서 증착된다. 캡핑층(22)은 산화되기 쉽기 때문에, 상기 프로세스(50)는 캡핑층(22)의 산화를 유도하여 캡핑층(22)과 낮은 k 유전체층 사이의 접착력이 약화된다.
캡핑층과 유전체층 사이에 접착력을 강화시키기 위해서는 캡핑층을 증착하고 처리하는데 있어 개선된 방법 및 장치가 요구된다. 개선된 방법 및 장치는 구리 확산 배리어 특성이 강화된 캡핑층을 제공한다. 개선된 구리 확산 배리어는 또한 에칭 스톱일 수 있다. 따라서, 층(16)과 같은 유전체 캡핑층이 프로세스로부터 소거되고 낮은 k 유전체가 캡핑층상에 바로 증착될 수 있다.
본 발명의 목적은 캡핑층과 유전체층 사이에 접착력을 강화시키는 캡핑층 증착 방법 및 장치를 제공하는 것이다.
본 발명은 기판상에 형성된 도전성 재료에 캡핑층을 증착하는 단계, 캡핑층 상에 형성된 산화물을 환원시키는 단계, 및 유전체 재료를 증착하는 단계를 포함하는 반도체 기판을 처리하는 방법 및 장치를 제공한다. 또한 본 발명은 기판 상에 형성된 도전성 재료에 캡핑층을 증착하는 단계, 캡핑층을 플라즈마에 노출시키는 단계, 약 100℃ 이상으로 기판을 가열하는 단계, 및 낮은 유전 상수 재료를 증착하는 단계를 포함하는 반도체 기판을 처리하는 방법 및 장치를 제공한다.
상기 개시된 본 발명의 특징을 상세히 이해할 수 있도록, 본 발명의 보다 상세한 설명은 첨부된 도면에 도시되는 실시예들을 참조한다. 그러나, 첨부된 도면은 본 발명의 전형적인 실시예만을 나타내는 것으로, 본 발명의 범주를 제한하고자 하는 것은 아니며, 본 발명은 다른 등가의 효과적인 실시예를 구현할 수 있다.
본 발명의 실시예들은 최종 소자 성능을 바람직하게 개선하는, 캡핑층과 순차적으로 증착되는 유전체층 사이에 접착력을 강화시키는 신뢰성있는 상호접속부층을 형성하는 프로세스에 관한 것이다. 캡핑층의 표면을 따른 산화물 형성 방지는 접착력 및 최종 소자 성능 강화를 보조한다.
도 2는 피쳐가 형성된 실시예의 단면도이다. 도 2는 유전체층(14)에 형성된 배리어층을 갖는 상호접속 개구부내에 배치된 도전성 충진 재료(13)를 포함하는 상 호접속부(9)의 단면도를 나타낸다. 일 실시예에서, 유전체층(14)은 캘리포니아 산타 클라라의 어플라이드 머티어리얼스사에서 입수가능한 블랙 다이아몬드TM 막; 캘리포니아 샌어제이의 노벨루스 시스템스사에서 입수가능한 CORALTM 막; 네덜랜드 빌토벤의 ASM 인터내세널에서 입수가능한 AURORATM 막; 유기 실란 또는 유기실록산; 스핀 온 유전체; 카본 도핑 산화물; 실리케이트; 및 임의의 다른 적절한 재료와 같이, 낮은 유전 상수(low k)의 유전체 재료이다. 상호접속부(9) 및 다른 반도체 피쳐는 기판(11) 상에 증착된다. 본 발명의 실시예들에 유용한 기판은, 제한되지는 않지만, 결정성 실리콘(예를 들어, Si<100> 또는 Si<111>), 실리콘 산화물, 실리콘 게르마늄, 도핑 또는 비도핑 폴리실리콘, 도핑 또는 비도핑 실리콘, 및 실리콘 질화물을 포함한다. 다른 기판들은 베어(bare) 실리콘 웨이퍼, 또는 상부에 유전성, 도전성, 또는 배리어 성질을 가지며, 알루미늄 산화물 및 폴리실리콘을 포함하는 재료층과 같은 도전성 또는 비도전성 층이 형성되고 예비처리된 표면을 가지는 기판을 포함한다. 표면의 예비처리는 연마(예를 들어, CMP, 전기-연마), 패터닝, 에칭, 환원, 산화, 수산화, 어닐링 및 베이킹중 하나 이상을 포함할 수 있다. 기판 표면이란 용어는 임의 반도체 피쳐를 포함하는 것으로 이용되며, 비아의 상부, 하부 및/또는 측벽, 라인, 듀얼 다마신, 콘택 등과 같은 상호접속 피쳐의 노출된 표면을 포함한다.
트렌치 및 홀과 같이 다수의 전자 소자 결함이 유전체 재료(14)에 형성될 수 있다. 라이너 배리어층(12)은 도전성 충진 재료(13)와 유전체 재료(14)를 분리시키는데 이용된다. 라이너 배리어층(12)은 티타늄, 티타늄 질화물, 탄탈, 탄탈 질 화물, 탄탈 실리콘 질화물, 텅스텐 질화물, 실리콘 질화물, 및 이들의 조합물과 같은 재료를 포함할 수 있고, 이들은 통상적으로 물리적 기상 증착(PVD), 원자층 증착(ALD), 및 화학적 기상 증착(CVD) 기술에 의해 증착된다. 도전성 충진 재료(13)는 상호접속부(9) 구조물(예를 들어, 라이너 또는 비아)을 형성하기 위한 Cu 또는 Cu 합금이다. 도전성 충진 재료(13)는 일반적으로, 전기도금, 무전해 도금, CVD, PVD, ALD 및/또는 이들의 조합과 같은 증착 프로세스에 의해 증착된다. 도전성 충진 재료층은 도전성 표면(27) 및 유전체 표면(28)을 갖는, 도 2에 도시된 상호접속부(9) 구조물을 형성하기 위해, 전기화학적 연마 및/또는 CMP와 같은 기술에 의해 증착된 다음 연마 또는 레벨링될 수 있다. 일반적으로 도전성 표면(27)은 충진된 트렌치 표면 도전성 재료(13) 함유 홀 및 CMP 프로세스 이후 노출된 라이너 배리어층(26)으로 정의된다. 연마 후에, 유전체 표면(28)은 통상적으로 연마 잔류물 및 다르 오염물을 제거하기 위해 세정된다.
또한 도 2는 도전성 재료(13)상에 증착되는 캡핑층인 코발트-함유 합금층(22)을 포함하는 상호접속부(9)의 단면도이다. 코발트-함유 합금층(22)은 본 명세서에서 참조되며 2004년 10월 15일자로 출원된 미국 특허 출원 번호 10/967,644호에 개시된 도금 용액에 도전성 재료(13)를 노출시킴으로써 선택적으로 증착된다. 코발트-함유 합금층은 대략 원자층 내지 약 500Å, 바람직하게는 약 10Å 내지 약 300Å, 및 보다 더 바람직하게는 약 50Å 내지 약 200Å의 두께로 증착된다. 코발트-함유 합금층(22)은 몇가지 단계로 증착될 수 있다. 예를 들어, 기판 표면은 제 1 두께(예를 들어 100Å)를 가지는 제 1 층을 증착하기 위해 도금 용액의 제 1 볼 륨에 노출되고 기판 표면은 제 2 두께(약 100Å)를 가지는 제 2 층을 증착하기 위해 도금 용액의 제 2 볼륨에 노출되어 전체 코발트-함유 합금층을 형성한다.
코발트-함유 합금층은 코발트, 텅스텐 또는 몰리브덴, 포스포러스, 보론 및 이들의 조합물을 함유하는 다양한 조성물을 포함한다. 일반적으로, 코발트-함유 합금은 약 85% 내지 약 95% 범위의 코발트 농도, 약 1% 내지 약 6% 범위의 텅스텐 농도 또는 약 1 % 내지 약 6% 범위의 몰리브덴 농도, 및 약 1% 내지 약 12%, 바람직하게 약 3% 내지 약 9% 범위의 포스포러스 농도와 같이, 원자%이 조성을 포함한다. 가변적인 보론 양이 보란 환원제의 포함으로 인해 본 발명의 방법에 따라 마련된 코발트-함유 합금에 제공될 수 있다. 소정의 실시예에서, 텅스텐의 몰리브덴 치환은 코발트-함유 합금의 증착 동안 경제적으로 바람직할 수 있다.
코발트-함유 합금층내에서 포스포러스 및/또는 보론의 농도는 캡핑층이 증착된 최종 결정성 구조물에 영향을 미칠 수 있다. 일반적으로, 구리, 산소 또는 물의 확산을 방지하는 능력과 같은 배리어 특성은 캡핑층이 보다 비정질화 될수록 증가한다. 선택적으로, 포스포러스 또는 보론의 작용은 캡핑층을 통한 구리 확산을 방지하는 경향이 있는 입계들(grain boundaries)의 "스터핑(stuffing)"을 야기할 수 있다.
일반적으로, 산소는 유전체막이 증착되기 이전의 대기 환경에 캡핑층을 노출시킴으로써 코발트-함유 합금으로 원치않게 통합된다. 일반적으로 금속 산화물들은 코발트-함유 합금의 표면 부근에 있다. 상당량의 산소는 코발트-함유 합금에서 바람직하지 않으며, 이는 배리어 특성 및 도전성이 산소 농도 증가에 따라 감소되 기 때문이다. 순차적으로 증착되는 유전체 재료의 접착력이 감소될 수 있다. 본 발명의 일부 실시예에서, 코발트-함유 합금의 산소 농도는 약 5×1018 atoms/cm3 내지 약 5×1019 atoms/cm3 범위로 최소화된다. 낮은 산소 농도는 코발트-함유 합금의 보다 효과적인 환원으로 인해 하이포아인산염(hypophosphite) 소스 및 보란-기재 공동-환원제(co-reductant), 및 비교적 높은 비율의 금속 이온 대 환원제와 같은 전구체로부터 부분적으로 야기된다.
도 3은 피쳐가 형성된 추가 실시예의 개략도이다. 도 2의 피쳐는 추가의 프로세싱 단계들을 거치게 된다. 실리사이드층(24)은 캡핑층(22) 상에 선택적으로 증착될 수 있다. 실리사이드층(124)은 실리콘 함유 전구체로 형성될 수 있다. 바람직한 전구체는 실란(SiH4)이다. 도 3은 실리사이드층(24)의 표면에 증착된 유전체층(29)을 나타낸다. 유전체층은 플로오로실리케이트 글래스(FSG), 비정질 실리콘, 또는 유전상수 또는 다른 특성에 대해 선택된 다른 유전체일 수 있다.
도 4는 피쳐가 형성된 추가 실시예의 단면도이다. 도 4는 도 2와 비교된다. 그러나, 도 4는 캡핑층(22)의 상부 표면과 직접 접촉하는 유전체층(18)을 포함한다. 캡핑층(22)의 상부 표면은 캡핑층(22)과 유전체층(18) 사이의 인터페이스에 접착성을 강화시키기 위해 어닐링 또는 플라즈마 처리될 수 있다.
도 5는 피쳐가 형성된 추가 실시예의 단면도이다. 유전체 재료(14)는 듀얼 다마신 프로세스에 의해 증착되어, 상호접속 개구부(19)는 연속적이고 평활한 측벽을 갖지 않는 형상의 도전성 재료(13)를 갖게 된다. 캡핑층(22)이 도전성 재 료(13) 상에 선택적으로 증착된다. 유전체층(18)은 캡핑층(22) 상에 증착된다. 포토레지스트층(미도시)이 피쳐 상에 증착된다. 패터닝된 포토레지스트 아래의 재료를 제거하기 위해 패터닝 단계가 수행되며 포토레지스트는 기판 표면에서 제거된다. 도 5에 형성된 피쳐는 노출된 도전성 재료 표면 및 캡핑층(22) 및 유전체층(18)에 의해 함몰된 도전성 재료의 일부를 포함한다.
도 6은 증착 프로세스(100)의 실시예의 흐름도이다. 캡핑층은 단계(102)에서 도전성 재료 상에 증착된다. 본 명세서에서 참조되며 2005년 10월 15일자로 출원된 미국 특허 출원 10/967,099호 및 본 명세서에서 참조되며 2005년 1월 22일자로 출원된 미국 특허 출원 11/040,962호는 도전성 재료상에 캡핑층을 증착하는 상세한 프로세스를 개시하고 있다. 단계(104)에서 불순물을 제거하고 결정성 구조물을 강화시키기 위해 기판 어닐링이 선택적으로 수행될 수 있다.
단계(106)는 기판을 아르곤 또는 헬륨 플라즈마와 같은 불활성 가스 플라즈마, 암모니아 또는 수소 플라즈마와 같은 환원 가스, 또는 불활성 가스 및 환원가스, 암모니아 및 수소 가스들이 조합된 플라즈마에 노출시킴으로써 캡핑층 상에 형성된 산화물을 감소시킨다. 플라즈마 방법 및 장치는 본 명세서에서 참조되며 2004년 2월 17일자로 출원된 미국 특허 번호 6,905,965호에 개시된다.
도 6에서, 단계(108)는 선택적이다. 접착 강화층은 단계(108)에서 증착된다. 접착 강화층은 챔버에 실란(SiH4) 가스를 주입함으로써 250Å의 두께로 형성된다. 바람직하게, 약 3 내지 약 50Å의 단층이 증착된다. 실리콘-코발트 결합을 형성하는 임의의 실리콘 함유 전구체는 접착 강화층을 형성하는데 적합하다. 예를 들어, 실란은 약 100℃ 이상에서 실리콘을 증착하기 위해 열적으로 분해될 수 있다. 실리콘-코발트 결합의 형성은 캡핑층과 그 위에 증착되는 유전체 사이의 접착력을 증가시키는데 바람직하다.
선택적 실시예에서, 원하는 물리적 및 전기적 조건을 충족시키는 막을 형성하기 위해 TEOS 증착이 이용된다. 기판상에서 이용되는 예시적인 전형적 PECVD TEOS 프로세스는 기판이 약 0.5 내지 약 3 Torr 범위내의 전체 가스 압력, 및 약 100℃ 내지 약 550℃ 범위의 기판 온도를 이용하여 챔버내의 산소 및 캐리어 가스(예를 들어 헬륨)와 함께 테트라에틸옥실란을 흘려보냄으로써 형성된 플라즈마에 노출되는 방법으로 실시된다. 바람직하게, 챔버 압력은 약 1 Torr이고 기판 온도는 약 400℃ +/- 50℃이다. 13.56MHz의 주파수에서 약 1,000 와트의 RF 전력이 원하는 기판 프로세싱 공간에서 가스 분포 플레이트로 전달된다. TEOS 증착 프로세스에 의해 형성된 실리콘 이산화물은 금속간 유전체막들로서 반도체 산업에서 통상적으로 이용되고 있다. TEOS 증착 프로세스는 유전체층을 증착하기 위해 통상적으로 테트라에틸오쏘실리케이트를 함유하는 가스 혼합물과 같은 유전체층 형성 가스를 이용하여 수행된다. TEOS를 이용한 증착을 위한 전형적인 프로세스의 예는 1995년 10월 31일자로 "Chemical Vapor Deposition Method for Forming SiO2"란 명칭으로 출원된 미국 특허 번호 5,462,899호, 및 본 명세서에서 참조되며 2002년 9월 17일자로 "Deposition of TEOS Oxide Using Pulsed RF Plasma"란 명칭으로 출원 된 미국 특허 번호 6,451,390호에 보다 상세히 개시된다.
단계(109)는 캡핑층과 결합되는 능력에 대해 선택된 유전체층을 증착한다. 층의 유전상수는 최종 소자 성능을 강화시키도록 조절될 수 있다. 바람직하게, 층은 약 50Å 내지 약 800Å의 두께로 증착되며 약 5 이하의 유전상수를 가지는 질소 함유 실리콘 카바이드 또는 실리콘 카바이드와 같은 낮은 유전상수 재료이다. 낮은 k 재료의 예로는 프로세스에 의해 형성된, 캘리포니아 산타 클라라의 어플라이드 머티어리얼스사로부터 상업적으로 입수가능한 BLOKTM 유전체 재료이다.
단계(110)는 단계(109)에서 증착된 층과 같이, 유전 특성에 대해 선택된 유전체층을 증착한다. 유전체층은 실리콘 산화물-기재 재료를 포함할 수 있다. 유전체 재료의 예로는 실리콘 산화물, PSG, PBSG와 같은 도핑된 실리콘 산화물, 및 카본 도핑 실리콘 산화물이 포함된다. 예시적인 낮은 k 재료는 캘리포니아 산타 클라라의 어플라이드 머티어리얼스사로부터 상업적으로 입수가능한 블랙 다이아몬드TM 유전체 재료이다. 2005년 6월 3일자로 출원된 미국 특허 출원 번호 11/145,432호는 낮은 k 재료를 증착하는 프로세스를 개시하며 이는 본 명세서에서 참조된다.
도 8은 증착 프로세스(110A)의 실시예의 흐름도이다. 단계(122)는 차후 증착 프로세스를 위한 기판을 마련하기 위해 약 100℃ 내지 약 500℃로 기판을 예비가열한다. 실리콘 또는 다른 실리콘 함유 재료가 단계(124) 동안 챔버에 주입된다. 다음 RF 플라즈마가 단계(126)에서 점화된다. 플라즈마가 점화됨에 따라, 산 소가 챔버에 부가되어 유전체 증착이 개시된다. 다음 단계(130)에서, 벌크 유전체층이 증착된다. 최종 형성된 피쳐는 도 3의 피쳐와 유사하다.
도 9는 증착 프로세스(110B)의 추가 실시예의 흐름도이다. 도 9의 프로세스는 도 8의 프로세스와 유사하지만, 플라즈마를 점화시키기 이전에 실리콘 함유 전구체를 주입하는 단계는 없다. 기판은 단계(122)에서 불활성 분위기에서 예비가열된다. 플라즈마는 단계(127) 동안 점화된다. 실리콘 함유 전구체 및 산소가 챔버에 주입되어 단계(128)에서 캡핑층의 표면을 따라 코발트 실리콘과의 결합이 형성된다. 단계(130)에서 기판 표면에 대해 벌크층이 증착된다.
도 10은 증착 프로세스(110C)의 추가 실시예의 흐름도이다. 도 10의 프로세스는 도 9의 프로세스와 비교된다. 그러나 선택적인 실리콘 함유 전구체 및 산화제 모두가 플라즈마가 스트라이킹(striking)되기 이전에 기판 표면에 제공되도록 첨가된다. 기판은 단계(122) 동안 가열된다. 실리콘 함유 전구체 및 산화제가 단계(125) 동안 챔버에 첨가된다. 선택적 산화제는 중간의 산화 능력을 위해 선택된다. 산소 및/또는 일산화 질소가 산화제로서 이용될 수 있다. 단계(129)에서 플라즈마가 점화된다. 다음, 벌크 유전체가 단계(130)에서 증착된다.
도전성 재료 상의 캡핑층은 전자이동도 및 확산을 방지하기 때문에 바람직하다. 캡핑 또는 에칭 스톱층과 같은 소정의 유전체층의 필요성이 소거될 수 있다. 아르곤 또는 헬륨은 플라즈마 기재 프로세싱 단계들에 대해 바람직한 가스이다. 상승된 온도에 산소 노출로 코발트 산화물이 형성되는 것을 방지하는 캡핑층 보호는 순차적인 습식 세정 프로세스 동안 캡핑층으로부터의 에칭 가능성이 감소되기 때문에 중요하다. 또한 포토레지스트를 제거하는 애싱 프로세스는 산화를 감소시키기 위해 보다 낮은 산소 농도 및 보다 낮은 온도를 이용하도록 조절되어야 한다. 선택적 애싱 프로세스에서 수반되는 암모니아와 같은 환원 가스가 포토레지스트를 제거하기 위해 산소 대신 이용될 수 있다. 캡핑층의 증착 이전의 프로세싱 단계들은 산화물 형성을 방지한다.
지금까지 본 발명의 실시에들에 대해 개시되었지만, 본 발명의 기본 범주내에서 다른 추가적인 실시예들이 구현될 수 있으며, 본 발명의 기본 범주는 하기의 특허청구범위에 의해 한정된다.
본 발명에 따라 캡핑층과 유전체층 사이의 접착력이 강화된다.
도 1(종래기술)은 피쳐가 형성된 개략도,
도 2는 피쳐가 형성된 실시예의 단면도,
도 3은 피쳐가 형성된 추가 실시예의 단면도,
도 4는 피쳐가 형성된 추가 실시예의 단면도,
도 5는 피쳐가 형성된 추가 실시예의 단면도,
도 6은 증착 프로세스 실시예의 흐름도,
도 7(종래기술)은 증착 프로세스 실시예의 흐름도,
도 8은 증착 프로세스의 실시예의 흐름도,
도 9는 증착 프로세스의 추가 실시예의 흐름도,
도 10은 증착 프로세스의 추가 실시예의 흐름도.

Claims (10)

  1. 반도체 기판 처리 방법으로서,
    상기 기판 상에 형성된 전도성 재료 위에 코발트를 포함하는 캡핑층을 증착하는 단계;
    상기 캡핑층을 어닐링하는 단계;
    상기 캡핑층 상에 접착 강화층(adhesion promoting layer)을 증착하는 단계; 및
    상기 접착 강화층 상에 벌크 유전체를 증착하는 단계
    를 포함하고, 상기 접착 강화층을 증착하는 단계는,
    (a) 100℃를 초과하도록 상기 기판을 가열하는 단계;
    (b) 상기 캡핑층을 실리콘 함유 프리커서에 노출시키는 단계;
    (c) 플라즈마를 점화시키는 단계; 및
    (d) 상기 기판을 산화제에 노출시키는 단계
    를 포함하는,
    반도체 기판 처리 방법.
  2. 반도체 기판 처리 방법으로서,
    상기 기판 상에 형성된 전도성 재료 위에 코발트를 포함하는 캡핑층을 증착하는 단계;
    상기 캡핑층을 어닐링하는 단계;
    상기 캡핑층 상에 접착 강화층을 증착하는 단계; 및
    상기 접착 강화층 상에 벌크 유전체를 증착하는 단계
    를 포함하고, 상기 접착 강화층을 증착하는 단계는,
    (a) 100℃를 초과하도록 상기 기판을 가열하는 단계;
    (b) 불활성 가스를 포함하는 플라즈마를 점화시키는 단계; 및
    (c) 상기 캡핑층을 실리콘 함유 프리커서와 산소에 노출시키는 단계
    를 포함하는,
    반도체 기판 처리 방법.
  3. 반도체 기판 처리 방법으로서,
    상기 기판 상에 형성된 전도성 재료 위에 코발트를 포함하는 캡핑층을 증착하는 단계;
    상기 캡핑층을 어닐링하는 단계;
    상기 캡핑층 상에 접착 강화층을 증착하는 단계; 및
    상기 접착 강화층 상에 벌크 유전체를 증착하는 단계
    를 포함하고, 상기 접착 강화층을 증착하는 단계는,
    (a) 100℃를 초과하도록 상기 기판을 가열하는 단계;
    (b) 상기 캡핑층을 실리콘 함유 프리커서와 산화제에 노출시키는 단계; 및
    (c) 플라즈마를 점화시키는 단계
    를 포함하는,
    반도체 기판 처리 방법.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 캡핑층은 10Å 내지 500Å의 두께를 갖는 것을 특징으로 하는 반도체 기판 처리 방법.
  5. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 방법은, 아르곤 플라즈마, 헬륨 플라즈마, 수소 플라즈마 및 암모니아 플라즈마로 이루어진 그룹에서 선택된 플라즈마에 상기 캡핑층을 노출시킴으로써, 상기 어닐링 동안 상기 캡핑층 상에 형성되는 산화물을 감소시키는 단계를 더 포함하는 것을 특징으로 하는 반도체 기판 처리 방법.
  6. 제 5 항에 있어서,
    상기 캡핑층의 산소 농도는 5×1018 atoms/cm3 내지 5×1019 atoms/cm3 범위로 최소화되는 것을 특징으로 하는 반도체 기판 처리 방법.
  7. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 접착 강화층은 250Å까지의 두께를 갖는 것을 특징으로 하는 반도체 기판 처리 방법.
  8. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 실리콘 함유 프리커서는 기체상태의 실란 또는 TEOS인 것을 특징으로 하는 반도체 기판 처리 방법.
  9. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    코발트-실리사이드가 형성되는 것을 특징으로 하는 반도체 기판 처리 방법.
  10. 제 1 항 또는 제 3 항에 있어서,
    상기 산화제는 산소, 일산화질소(nitrous oxide), 또는 이들의 조합물들을 포함하는 것을 특징으로 하는 반도체 기판 처리 방법.
KR1020080104689A 2005-10-28 2008-10-24 낮은 K 금속간 유전체 및 에칭 스톱과의 통합을 위한 무전해 Co 합금막 상에서의 산화를 감소시키고 접착력을 강화시키는 방법 KR20080100153A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US73117005P 2005-10-28 2005-10-28
US60/731,170 2005-10-28
US11/329,785 2006-01-10
US11/329,785 US20070099417A1 (en) 2005-10-28 2006-01-10 Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020060104898A Division KR20070045986A (ko) 2005-10-28 2006-10-27 낮은 K 금속간 유전체 및 에칭 스톱과의 통합을 위한무전해 Co 합금막 상에서의 산화를 환원시키고 접착력을강화시키는 방법

Publications (1)

Publication Number Publication Date
KR20080100153A true KR20080100153A (ko) 2008-11-14

Family

ID=37996974

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020060104898A KR20070045986A (ko) 2005-10-28 2006-10-27 낮은 K 금속간 유전체 및 에칭 스톱과의 통합을 위한무전해 Co 합금막 상에서의 산화를 환원시키고 접착력을강화시키는 방법
KR1020080104689A KR20080100153A (ko) 2005-10-28 2008-10-24 낮은 K 금속간 유전체 및 에칭 스톱과의 통합을 위한 무전해 Co 합금막 상에서의 산화를 감소시키고 접착력을 강화시키는 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020060104898A KR20070045986A (ko) 2005-10-28 2006-10-27 낮은 K 금속간 유전체 및 에칭 스톱과의 통합을 위한무전해 Co 합금막 상에서의 산화를 환원시키고 접착력을강화시키는 방법

Country Status (3)

Country Link
US (2) US20070099417A1 (ko)
KR (2) KR20070045986A (ko)
TW (1) TW200722543A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140080454A (ko) * 2012-12-20 2014-06-30 램 리써치 코포레이션 열 처리 및/또는 솔벤트 처리에 의한 다공성 유전체 k 값 복원

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008091900A1 (en) * 2007-01-26 2008-07-31 Applied Materials, Inc. Uv curing of pecvd-deposited sacrificial polymer films for air-gap ild
KR20090108721A (ko) * 2007-01-29 2009-10-16 어플라이드 머티어리얼스, 인코포레이티드 신규한 공기 갭 통합 방법
US20090017624A1 (en) * 2007-07-09 2009-01-15 Chih-Hung Liao Nodule Defect Reduction in Electroless Plating
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
DE102008059650B4 (de) * 2008-11-28 2018-06-21 Globalfoundries Inc. Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
US20130323930A1 (en) * 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US20140024213A1 (en) * 2012-07-18 2014-01-23 Globalfoundries Inc. Processes for forming integrated circuits with post-patterning treament
JP5932604B2 (ja) * 2012-10-24 2016-06-08 株式会社東芝 半導体装置及びその製造方法
US9761489B2 (en) 2013-08-20 2017-09-12 Applied Materials, Inc. Self-aligned interconnects formed using substractive techniques
US9368448B2 (en) 2013-12-20 2016-06-14 Applied Materials, Inc. Metal-containing films as dielectric capping barrier for advanced interconnects
JP6284144B2 (ja) * 2014-02-14 2018-02-28 マクセルホールディングス株式会社 電鋳品及びその製造方法
US9583380B2 (en) 2014-07-17 2017-02-28 Globalfoundries Inc. Anisotropic material damage process for etching low-K dielectric materials
US9443956B2 (en) 2014-12-08 2016-09-13 Globalfoundries Inc. Method for forming air gap structure using carbon-containing spacer
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9768058B2 (en) 2015-08-10 2017-09-19 Globalfoundries Inc. Methods of forming air gaps in metallization layers on integrated circuit products
US9633896B1 (en) 2015-10-09 2017-04-25 Lam Research Corporation Methods for formation of low-k aluminum-containing etch stop films
KR102217242B1 (ko) 2017-03-08 2021-02-18 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US10276505B2 (en) 2017-03-08 2019-04-30 Samsung Electronics Co., Ltd. Integrated circuit device and method of manufacturing the same
US20210167019A1 (en) * 2017-09-01 2021-06-03 Intel Corporation Metal interconnects, devices, and methods
US20190148150A1 (en) * 2017-11-13 2019-05-16 Applied Materials, Inc. Methods for forming capping protection for an interconnection structure

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH086181B2 (ja) * 1992-11-30 1996-01-24 日本電気株式会社 化学気相成長法および化学気相成長装置
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US20010049181A1 (en) * 1998-11-17 2001-12-06 Sudha Rathi Plasma treatment for cooper oxide reduction
US6271122B1 (en) * 1999-07-12 2001-08-07 Advanced Micro Devices, Inc. Method of compensating for material loss in a metal silicone layer in contacts of integrated circuit devices
JP2001144090A (ja) * 1999-11-11 2001-05-25 Nec Corp 半導体装置の製造方法
US6407435B1 (en) 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
US6451390B1 (en) * 2000-04-06 2002-09-17 Applied Materials, Inc. Deposition of TEOS oxide using pulsed RF plasma
US20020034867A1 (en) * 2000-04-10 2002-03-21 Chi-Tung Huang Method for manufacturing self-aligned silicide layer
US6458722B1 (en) * 2000-10-25 2002-10-01 Applied Materials, Inc. Controlled method of silicon-rich oxide deposition using HDP-CVD
KR100398037B1 (ko) * 2000-12-05 2003-09-19 주식회사 하이닉스반도체 플래쉬 메모리 제조 방법
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6573606B2 (en) * 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
JP3820975B2 (ja) 2001-12-12 2006-09-13 ソニー株式会社 半導体装置及びその製造方法
US6638871B2 (en) * 2002-01-10 2003-10-28 United Microlectronics Corp. Method for forming openings in low dielectric constant material layer
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
KR100475931B1 (ko) 2002-07-02 2005-03-10 매그나칩 반도체 유한회사 반도체 소자의 다층 배선 형성방법
KR100888199B1 (ko) 2002-07-19 2009-03-12 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US6624024B1 (en) * 2002-08-29 2003-09-23 Micron Technology, Inc. Method and apparatus for a flash memory device comprising a source local interconnect
US6803297B2 (en) * 2002-09-20 2004-10-12 Applied Materials, Inc. Optimal spike anneal ambient
US6897131B2 (en) * 2002-09-20 2005-05-24 Applied Materials, Inc. Advances in spike anneal processes for ultra shallow junctions
US6839507B2 (en) * 2002-10-07 2005-01-04 Applied Materials, Inc. Black reflector plate
US20050085031A1 (en) * 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050147762A1 (en) * 2003-12-30 2005-07-07 Dubin Valery M. Method to fabricate amorphous electroless metal layers
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US7220687B2 (en) * 2004-06-25 2007-05-22 Applied Materials, Inc. Method to improve water-barrier performance by changing film surface morphology
US20060062897A1 (en) * 2004-09-17 2006-03-23 Applied Materials, Inc Patterned wafer thickness detection system
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140080454A (ko) * 2012-12-20 2014-06-30 램 리써치 코포레이션 열 처리 및/또는 솔벤트 처리에 의한 다공성 유전체 k 값 복원

Also Published As

Publication number Publication date
US7910476B2 (en) 2011-03-22
TW200722543A (en) 2007-06-16
US20070099417A1 (en) 2007-05-03
KR20070045986A (ko) 2007-05-02
US20090029544A1 (en) 2009-01-29

Similar Documents

Publication Publication Date Title
KR20080100153A (ko) 낮은 K 금속간 유전체 및 에칭 스톱과의 통합을 위한 무전해 Co 합금막 상에서의 산화를 감소시키고 접착력을 강화시키는 방법
US7425506B1 (en) Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
TWI402887B (zh) 用以整合具有改良可靠度之超低k介電質之結構與方法
EP2259303B1 (en) Interfacial capping layers for interconnects
EP2065927B1 (en) Integration and manufacturing method of Cu germanide and Cu silicide as Cu capping layer
US9478460B2 (en) Cobalt selectivity improvement in selective cobalt process sequence
US6656840B2 (en) Method for forming silicon containing layers on a substrate
US7491638B2 (en) Method of forming an insulating capping layer for a copper metallization layer
US7718548B2 (en) Selective copper-silicon-nitride layer formation for an improved dielectric film/copper line interface
US20070197023A1 (en) Entire encapsulation of Cu interconnects using self-aligned CuSiN film
US7678699B2 (en) Method of forming an insulating capping layer for a copper metallization layer by using a silane reaction
US20090305498A1 (en) Semiconductor device comprising a copper alloy as a barrier layer in a copper metallization layer
US20110081500A1 (en) Method of providing stable and adhesive interface between fluorine-based low-k material and metal barrier layer
US20060281299A1 (en) Method of fabricating silicon carbide-capped copper damascene interconnect
US7977791B2 (en) Selective formation of boron-containing metal cap pre-layer
US6713407B1 (en) Method of forming a metal nitride layer over exposed copper
WO2007020684A1 (ja) 半導体集積回路装置およびその製造方法
US8211795B2 (en) Method of forming a dielectric cap layer for a copper metallization by using a hydrogen based thermal-chemical treatment
JP4655725B2 (ja) 半導体装置の製造方法
US20120276301A1 (en) Adhesion improvement of dielectric barrier to copper by the addition of thin interface layer
US20060040490A1 (en) Method of fabricating silicon carbide-capped copper damascene interconnect
US20110081503A1 (en) Method of depositing stable and adhesive interface between fluorine-based low-k material and metal barrier layer
US7902669B2 (en) Semiconductor device and method for manufacturing the same
JP2006147895A (ja) 半導体装置の製造方法
KR20070081265A (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid