KR20080046734A - 캡슐화 필름의 투과율 향상 방법 - Google Patents

캡슐화 필름의 투과율 향상 방법 Download PDF

Info

Publication number
KR20080046734A
KR20080046734A KR1020087009011A KR20087009011A KR20080046734A KR 20080046734 A KR20080046734 A KR 20080046734A KR 1020087009011 A KR1020087009011 A KR 1020087009011A KR 20087009011 A KR20087009011 A KR 20087009011A KR 20080046734 A KR20080046734 A KR 20080046734A
Authority
KR
South Korea
Prior art keywords
substrate
layer
processing system
silicon
amorphous carbon
Prior art date
Application number
KR1020087009011A
Other languages
English (en)
Other versions
KR101135775B1 (ko
Inventor
태 경 원
샌제이 야다브
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080046734A publication Critical patent/KR20080046734A/ko
Application granted granted Critical
Publication of KR101135775B1 publication Critical patent/KR101135775B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/046Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material with at least one amorphous inorganic material layer, e.g. DLC, a-C:H, a-C:Me, the layer being doped or not
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • C23C28/42Coatings including alternating layers following a pattern, a periodic or defined repetition characterized by the composition of the alternating layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/80Constructional details
    • H10K59/87Passivation; Containers; Encapsulations
    • H10K59/873Encapsulations
    • H10K59/8731Encapsulations multilayered coatings having a repetitive structure, e.g. having multiple organic-inorganic bilayers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • H10K50/80Constructional details
    • H10K50/84Passivation; Containers; Encapsulations
    • H10K50/844Encapsulations
    • H10K50/8445Encapsulations multilayered coatings having a repetitive structure, e.g. having multiple organic-inorganic bilayers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Optics & Photonics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electroluminescent Light Sources (AREA)
  • Laminated Bodies (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Manufacture Of Macromolecular Shaped Articles (AREA)

Abstract

기판 상에 탄소 함유 재료 층을 증착하는 방법은 탄소 함유 재료 층을 위한 전구체 혼합물을 처리 챔버 내측으로 분배하는 단계와, 상기 탄소 함유 재료 층을 실리콘으로 도핑하는 단계, 및 상기 탄소 함유 재료 층을 저온에서 증착하는 단계를 포함한다. 일면에서, 가시 광선 스펙트럼의 모든 파장에서 상기 탄소 함유 재료 층의 개선된 광 투과율이 얻어진다. 또한, 캡슐화 층을 증착하는 방법이 사용된 하부층의 열적 안정성으로 인해 저온 증착 공정을 필요로 하는 다수의 디스플레이 분야를 위해 제공된다. 상기 캡슐화 층은 하나 또는 그 이상의 배리어 재료 층과 하나 또는 그 이상의 비정질 탄소 재료 층을 포함할 수 있다. 상기 비정질 탄소 재료는 열적 응력을 감소시키고 증착된 필름이 기판으로부터 박리되는 것을 방지할 수 있다.

Description

캡슐화 필름의 투과율 향상 방법 {METHOD TO IMPROVE TRANSMITTANCE OF AN ENCAPSULATING FILM}
본 발명의 실시예들은 일반적으로 화학 기상 증착 공정을 이용하여 박막 필름을 증착하는 것에 관한 것이다. 특히, 본 발명은 대형 기판 상에 박막 필름을 증착시키는 공정에 관한 것이다.
유기 발광 다이오드(OLED) 디스플레이는 액정 디스플레이(LCD)에 비교해서, 빠른 응답 시간, 커다란 시야각, 높은 명암비, 경량, 낮은 구동 전압 및 가요성 기판으로의 변경가능성의 측면에서 디스플레이 분야에서 최근에 그 관심이 증대되고 있다. OLED의 실제 적용은 도 1에 도시한 바와 같이, 두 개의 전극(102,104) 사이에 샌드위치식으로 끼여 기판(101) 상에 적층되는 유기질 재료 층을 이용함으로써 가능해 졌다. 예를 들어, 과거의 단일 유기질 층에 비해서 단극(구멍) 트랜스포트를 위한 하나의 층과 전기발광(electroluminescence)을 위한 다른 하나의 층을 포함하는 두 개의 유기질 층이 OLED 디스플레이용 작동 전압을 낮추기 위해 애노드(anode) 층과 캐소드(cathode) 층과 함께 사용될 수 있다. 캐소드 층은 일반적으로, 금속 재료를 포함하며 애노드 층은 상부 방출 장치 또는 바닥 방출 장치 내에 각각 빛을 방출하기 위해 OLED 장치의 상부 또는 기판 다음의 바닥 상에 증착되 는 인듐 주석 산화물(ITO) 재료와 같은 투명한 재료를 포함할 수 있다. 유기질 박막 트랜지스터(TFT) 장치, 능동형 매트릭스 장치, 및 다른 장치들도 TFT 구조와 같은 추가의 구조를 포함할 수 있다.
몇 년 전에, 디스플레이 장치 내의 층들은 다른 기능을 하는 각각의 층을 갖는 다중 층들로 구현되었다. 예를 들어, 유기질 층의 스택(stack)은 구멍 주입 층, 구멍 이송 층, 방출 층, 전자 이송 층, 및 전자 주입 층을 포함할 수 있다. OLED 전지를 제조하는데에는 전술한 5개의 유기질 층이 모두 필요한 것은 아니다. 예를 들어, 몇몇의 경우에 단지 구멍 이송 층과 방출 층만이 필요하다. 적절한 전압(통상적으로 수 볼트)이 전지에 가해지면, 분사된 양 전하와 음전하가 광(전계발광)을 생성하도록 방출 층 내에서 재결합한다. 유기질 층의 조직과 애노드 및 캐소드 층의 선택은 방출 층 내의 재결합 공정을 최대화하여 OLED 장치로부터의 광 출력을 최대화하도록 설계된다.
OLED에 사용되는 유기 재료 이외에도 다수의 폴리머 재료들이 소형 모듈, 가요성 유기 발광 다이오드(FOLED) 및 폴리머 발광 다이오드(PLED) 디스플레이용으로 발전되어 왔다. 이러한 다수의 유기질 및 폴리머 재료들은 기판 상의 복잡한 다층 장치의 제조에 적용성이 있어서 박막 평판 디스플레이(FPD), 가요성 디스플레이, 전기 펌프식 유기질 레이저, 및 유기질 광 증폭기와 같은 다수의 투명한 다색 디스플레이 분야에 이상적으로 적용될 수 있게 한다. 디스플레이 장치의 수명은 유기질 또는 폴리머 재료의 열화로 인한 EL 효율의 감소 및 구동 전압의 증가, 무 방출 흑점(dark spot)의 형성, 및 약 55 ℃ 또는 그 이상의 고온에서 유기질 층의 결정 질화, 예를 들어 구멍 이송 재료의 결정화에 의해 제한된다. 그러므로, 이들 재료에 대해 약 100 ℃ 또는 그 이하와 같은 저온 증착 공정이 필요하다.
또한, 재료의 열화와 흑점 형성의 문제점에 대한 주요 이유는 습기와 산소의 침투이다. 예를 들어, 습윤 대기에의 노출은 종종 방출 층으로서 사용되는 8-하이드록시퀴놀린 알루미늄(Alq3)의 결정질 조직의 형성을 유도하여 캐소드의 박층화를 초래하며, 그에 따라 시간이 지남에 따라 무 방출 흑점 성장을 초래한다. 또한, 공기 또는 산소에의 노출은 캐소드의 산화를 초래하며, 일단 유기질 재료가 물 또는 산소와 반응하면 유기질 재료는 부실화된다. 현재, 대부분의 디스플레이 제작자들은 물과 산소의 침식으로부터 장치 내의 유기질 재료를 보호하기 위한 캡슐화 층으로서 금속-캔 또는 글라스-캔 재료를 사용한다. 금속 또는 글라스 재료는 UV-경화 에폭시를 사용하여 리드(lid)와 같은 기판에 부착된다. 그러나, 습기는 에폭시를 통해 용이하게 침투되어 장치를 열화시킬 수 있다.
플라즈마 강화된 화학 기상 증착(PECVD)에 의해 준비되는 무기질 재료, 예를 들어 실리콘 질화물(SiN), 실리콘 산질화물(SiON) 및 실리콘 산화물(SiO)과 같은 다른 재료들이 습기, 공기 및 상기 장치에 대한 부식 이온에 대한 효율적인 캡슐화/배리어 층으로서 사용될 수 있다. 예를 들어, 도 1에 도시된 바와 같이, 캡슐화/배리어 층(105)이 전그(102)과 그 하부의 유기질 재료(103)를 보호하기 위해 기판(101) 상부에 증착된다. 그러나, 최종 필름이 덜 조밀하고 높은 결함성 핀홀 조직을 가지므로 저온 증착 공정을 사용하여 차수성(water-barrier) 무기질 캡슐화 재료를 생성하는 것이 매우 어렵다. 유기질 재료 층 내의 잔류 습기의 존재에 의해 비록 캡슐화된 장치에서도 Alq3 결정화 공정을 촉진시킬 수 있다는 점은 중요하다. 또한, 캐소드와 유기질 재료와 접촉하게 될 OLED 장치 내측으로의 잠입과 캡슐화 중에 포획될 산소와 습기는 빈번한 OLED 파손 결함의 원인인 흑점 형성을 초래한다. 그러므로, 양호한 캡슐화/배리어 필름은 또한 낮은 수증기 투과율(WVTR)도 필요로 한다.
박막 무기질 실리콘 질화물(SiN)과 관련된 재료들이 캡슐화/배리어 층으로서 사용될 때 다른 문제점들이 또한 유발된다. 캡슐화 층이 산소 및 물의 양호한 배리어로서의 역할을 하도록 얇게 증착될 때, 캡슐화 층은 보통 경질이고 깨지기 쉬우며 너무나 두꺼워 기판 표면에 부착되기 어려워 특히 고온과 습도에 의한 응력 받는 조건 하에서 기판 표면으로부터 크랙을 형성하거나 박리된다. 캡슐화 층이 접착력과 열적 안정성을 향상시키기 위해 얇게 형성되면, 습기 배리어로서의 충분한 역할을 못하게 된다. 따라서, 추가의 응력 완화 층 또는 다른 조정이 필요하게 된다. 예를 들어, 차수성과 열 응력 성능을 개선하고 장치 하부층을 보호하기 위해 이전에는 하나 또는 그 이상의 무기질 캡슐화/배리어 층과 교대하는 하나 또는 그 이상의 저 유전체 상수 재료를 사용해 왔다. 그러나, 양호한 차수성을 가짐에도 불구하고, 저 유전체 상수 재료는 매우 투명하지 못하며 광 투과율도 다층으로 사용되는 경우에 악화 된다. 그와 같이, 교대하는 저 유전체 상수 재료 층들은 캡슐화 다층을 통해 방출되는 광을 필요로 하는 몇몇의 적용에 호환될 수 없다. 도 2는 가시 광선 내의 투과 광과 상이한 두께의 저 유전체 상수 재료 필름 사이의 직접적인 상관 관계를 입증하는 그래프이다. 가장 두꺼운 필름을 나타내는 선(240)과 가장 얇은 필름을 나타내는 선(210)에 있어서, 상기 4 개의 유전체 상수 재료 필름의 투과율은 상기 선(210,220,230,240)들에 대한 낮은 파장에서 특히 열악하며, 이는 이들 파장에서 광 투과율의 품질에 직접적인 영향을 끼쳐서 저질 컬러의 디스플레이를 초래한다.
따라서, 대형 기판에 대해 개선된 투과율을 갖는 다층 응력 완화 재료와 캡슐화/배리어 재료를 증착하는 방법이 여전히 필요하다.
본 발명의 실시예들은 일반적으로, 기판 상에 캡슐화 필름을 증착하는 방법 및 장치를 제공한다. 일 실시예에서, 기판 처리 장치 내에 놓인 기판 상에 다층 캡슐화 필름을 형성하는 방법은 제 1 실리콘 함유 화합물을 상기 기판 처리 시스템의 내측으로 분배함으로써, 복수의 실리콘 함유 무기질 배리어 층을 상기 기판의 표면 상에 증착시키는 단계를 포함한다. 상기 방법은 탄소 함유 화합물, 제 2 실리콘 함유 화합물, 및 질소 함유 화합물을 포함하는 전구체 혼합물을 상기 기판 처리 시스템의 내측으로 분배함으로써, 약 200 ℃ 또는 그 이하의 기판 온도에서 하나 또는 그 이상의 탄소 함유 재료 층을 상기 하나 또는 그 이상의 실리콘 함유 무기질 배리어 층들 사이에 증착시키는 단계를 더 포함한다.
다른 실시예에서, 기판 처리 시스템 내의 기판 위에 있는 다층 캡슐화 필름 내의 비정질 탄소 층을 형성하는 방법은 상기 비정질 탄소 층을 위한 수소 함유 전구체를 분배하는 단계와, 상기 비정질 탄소 층의 필름 균일도를 개선하도록 채용되는 수소 가스를 상기 기판 처리 시스템의 내측으로 분배하는 단계, 및 가시 광선 스펙트럼의 모든 파장에서 상기 비정질 탄소 층의 광 투과율을 약 80 % 또는 그 이상으로 개선하도록 채용되는 실리콘 함유 전구체와 질소 함유 전구체를 상기 기판 처리 시스템의 내측으로 분배하는 단계를 포함한다. 상기 방법은 상기 기판의 온도를 약 150 ℃ 또는 그 이하의 온도로 제어하는 단계와, 상기 기판의 표면 상에 상기 비정질 탄소 층을 증착시키도록 플라즈마를 생성하는 단계, 및 상기 비정질 탄소 층의 소정 두께가 얻어질 때까지 상기 비정질 탄소 층을 상기 기판 상에 증착하는 단계를 더 포함한다.
또 다른 실시예에서, 하나 또는 그 이상의 실리콘 함유 무기질 배리어 재료 층과 탄소 함유 재료 층을 갖는 캡슐화 층을 기판 처리 시스템 내의 기판 상에 증착하는 방법이 제공된다. 상기 방법은 실리콘 함유 무기질 배리어 층을 위한 제 1 전구체 혼합물과 수소 가스를 상기 기판 처리 시스템의 내측으로 분배하는 단계와, 상기 기판의 온도를 약 150 ℃ 또는 그 이하의 온도로 제어하는 단계, 및 상기 실리콘 함유 무기질 배리어 층을 상기 기판 표면 상에 증착하도록 플라즈마를 생성하는 단계를 포함한다. 상기 방법은 탄소 함유 재료 층을 위한 제 2 전구체 혼합물을 분배하는 단계와, 상기 기판의 온도를 약 150 ℃ 또는 그 이하의 온도로 제어하는 단계와, 상기 탄소 함유 재료 층을 상기 실리콘 함유 무기질 배리어 층의 표면 상에 증착하도록 플라즈마를 생성하는 단계를 더 포함한다. 상기 제 2 전구체 혼합물은 수소 함유 전구체, 실리콘 함유 전구체, 및 질소 함유 전구체를 포함한다. 상기 캡슐화 층은 상기 캡슐화 층의 약 15000 Å 또는 그 이상의 두께가 얻어질 때까지 상기 기판 상에 증착된다.
본 발명의 또 다른 실시예에서, 기판 처리 시스템 내의 기판 위에 있는 다층 캡슐화 필름 내의 비정질 탄소 층을 형성하는 방법은 탄화수소 함유 전구체를 상기 비정질 탄소 층을 위한 제 1 유동률로 분배하는 단계, 및 상기 비정질 탄소 층의 필름 균일도를 개선하도록 채용되는 수소 가스를 상기 기판 처리 시스템의 내측으로 분배하는 단계를 포함한다. 상기 방법은 실리콘 함유 전구체를 제 2 유동률로 그리고 질소 함유 전구체를 제 3 유동률로 상기 기판 처리 시스템의 내측으로 분배하는 단계와, 상기 기판의 온도를 약 150 ℃ 또는 그 이하의 온도로 제어하는 단계, 및 상기 비정질 탄소 층을 상기 기판 표면 상에 증착하기 위한 시간 주기 동안 상기 기판 처리 시스템의 내측에 플라즈마를 생성하는 단계를 포함하며, 상기 제 1 유동률과 제 2 유동률의 비율은 기판의 표면 상에 비정질 탄소 층을 증착하고 상기 비정질 탄소 층을 실리콘으로 도프하도록 4 : 1 또는 그 이상이다.
본 발명의 또 다른 실시예에서, 캡슐화 층을 기판 상에 증착하는 장치가 제공된다. 상기 장치는 처리 챔버와, 상부에 상기 기판을 지지하도록 상기 처리 챔버 내에 배열되는 기판 지지대와, 상기 처리 챔버의 내측에 플라즈마를 제공하도록 상기 처리 챔버에 연결되는 RF 소오스와, 상기 처리 챔버에 연결되는 실리콘 함유 화합물 공급 소오스와, 상기 처리 챔버에 연결되는 질소 함유 화합물 공급 소오스와, 상기 처리 챔버에 연결되는 수소 가스 공급 소오스와, 상기 처리 챔버에 연결되는 수소 함유 전구체 화합물 공급 소오스, 및 상기 기판의 처리 중에 기판의 온도를 약 200 ℃ 또는 그 이하의 온도로 제어하도록 상기 처리 챔버에 연결되며, 하나 또는 그 이상의 실리콘 함유 무기질 배리어 층과 상기 하나 또는 그 이상의 실리콘 함유 무기질 배리어 층들 사이에 하나 또는 그 이상의 탄소 함유 재료 층을 갖는 상기 캡슐화 층을 증착하도록 채용되는 제어기를 포함한다.
본 발명의 전술한 특징들이 더 상세히 이해될 수 있도록, 위에서 간단히 요약한 본 발명에 대해 실시예들을 참조하여 더욱 상세한 설명하며, 이들 중의 몇몇 실시예들은 첨부 도면에 도시되어 있다. 그러나, 첨부 도면은 단지 본 발명의 전형적인 실시예들만을 도시한 것이므로 본 발명의 범주를 한정하는 것이라고 이해해서는 않되며, 본 발명의 다른 동등한 유효한 실시예들이 있을 수 있다고 이해해야 한다.
도 1은 상부에 증착된 캡슐화 층을 갖는 OLED 장치의 개략적인 횡단면도이며,
도 2는 가시광선의 상이한 파장에서의 투과시 낮은 유전체 상수 재료 층의 두께에 따른 결과를 나타내는 그래프이며,
도 3은 본 발명의 실시예에 따른 기판 처리 시스템 내측의 기판 상에 가시광선 스펙트럼 내의 개선된 투과율로 탄소 함유 재료 층을 형성하는 예시적인 방법을 나타내는 흐름도이며,
도 4는 본 발명의 하나의 방법에 따라 개선된 투과율로 하나 또는 그 이상의 탄소 함유 재료 층을 갖는 다층 캡슐화 필름의 일 실시예를 도시하는 개략적인 횡 단면도이며,
도 5는 본 발명의 실시예에 따른 기판 처리 시스템 내측의 기판 상에 다층 캡슐화 필름을 형성하는 하나의 방법을 나타내는 흐름도이며,
도 6은 본 발명에 따른 처리 챔버의 일 실시예를 도시하는 개략적인 횡단면도이며,
도 7은 본 발명의 방법을 사용하여 증착된 예시적인 카본 함유 재료 층을 위한 가시광선의 상이한 파장에서의 개선된 광 투과율을 나타내는 그래프이며,
도 8은 본 발명의 방법에 의해 증착된 3 개 층의 비정질 탄소 필름과 4 개 층의 실리콘 질화물 무기질 배리어 필름을 갖는 하나의 예시적인 다층 캡슐화 필름을 도시하는 도면이다.
본 발명은 일반적으로 기판과 기판 상에 증착된 필름/층 사이의 차수(water-barrier)과 열적 안정성을 개선하는 방법에 관한 것이다. 본 발명은 필름의 표면 거칠기를 감소시키는 수소 가스를 사용하여 기판 표면 상의 부드러운 필름을 제공하고 높은 필름 균일도를 제공하는 것에 관한 것이다. 증착된 필름의 부드러운 표면은 또한, 대기로부터 필름 내측으로 수분과 산소의 침투를 방지하여 훨씬 낮은 WVTR(수증기 투과율) 값을 나타낸다. WVTR은 평판 디스플레이(FPD) 산업에서 차수 성능을 나타내는 중요한 변수이다. 또한, 본 발명은 디스플레이 장치와 같은 장치의 수명을 현저히 개선/증가시키기 위해 기판의 표면 상에 캡슐화/배리어 층을 증착하는 방법 및 장치를 제공한다.
또한, 본 발명은 도 2에 도시한 바와 같이 430 내지 570 nm 범위의 낮은 파장에서 관찰되는 낮은 광 투과율을 극복할 수 있으며, 대형 기판 표면 상에 약 200 ℃ 또는 그 이하의 온도와 같은 저온에서 탄소 함유 재료 층을 증착하는 방법에 관한 것이다. 따라서, 본 발명은 400 내지 900 nm 범위와 같은 가시광선 스펙트럼의 모든 파장에서 약 80 % 또는 그 이상으로 탄소 함유 재료 층의 광 투과율을 증가시키는 것에 관한 것이다.
탄소 함유 재료 층은 다른 재료들 중에도 비정질 탄소 재료, 실리콘-도프된 탄소 재료, 다이아몬드형 탄소 재료, 탄소-도프된 실리콘 함유 재료일 수 있다. 탄소 함유 재료 및/또는 비정질 탄소 재료는 캡슐화 층의 필름 균일도, 필름 접착력, 및 열적 안정성을 개선하기 위해 캡슐화 층의 부분들로서 사용될 수 있다. 따라서, 탄소 함유 재료 또는 비정질 탄소 재료 중의 하나 또는 그 이상의 층이 다른 것들 중에도 OLED 장치와 같은 디스플레이 장치의 차수성을 개선하기 위해 접착 개선 층 또는 열 응력 완화 층으로서의 기능을 하도록 기판 표면 상에 증착될 수 있다.
본 발명은 또한, 기판의 표면으로 물과 산소의 확산을 방지하는데 사용될 수 있는 단층 또는 다층 캡슐화 필름도 제공한다. 단층 캡슐화 필름은 다른 것들 중에도 실리콘 질화물, 실리콘 산질화물, 실리콘 산화물, 실리콘 탄화물과 같은 실리콘 함유 무기질 배리어 재료일 수 있다. 다층 캡슐화 필름은 하나 또는 그 이상의 배리어 층과 하나 또는 그 이상의 탄소 함유 재료 층을 포함할 수 있다. 하나 또는 그 이상의 탄소 함유 재료 층은 캡슐화 층 및/또는 하나 또는 그 이상의 배리어 층의 접착성과 열적 안정성을 개선하는 역할을 한다.
일 실시예에서, 하나 또는 그 이상의 탄소 함유 재료 층이 하나 또는 그 이상의 배리어 층들 사이에 증착된다. 예를 들어, 적어도 하나의 비정질 탄소 층과 적어도 하나의 배리어 층의 교대 층들이 디스플레이 장치와 같은 기판의 표면 상에 증착된다.
다른 실시예에서, 제 1 배리어 층이 제 1 비정질 탄소 층 이전에, 양호한 차수성을 제공하도록 기판의 표면 상에 증착된다. 또 다른 실시예에서, 다층 캡슐화 필름은 실리콘 함유 무기질 배리어 재료의 최종 층이 다층 캡슐화 필름의 양호한 차수성을 제공하기 위해 증착되도록 기판 표면의 상부에 증착된다.
본 발명의 기판들은 반도체 웨이퍼 제작 및 평판 디스플레이 제작을 위한 원형 또는 다각형일 수 있다. 평판 디스플레이용 장방형 기판의 표면적은 적어도 약 300 mm × 약 400 mm와 같은 약 500 ㎟ 또는 그 이상, 예를 들어 약 120,000 ㎟ 또는 그 이상일 수 있다. 또한, 본 발명은 OLED, FOLED, PLED, 유기질 TFT, 능동형 매트릭스, 수동형 매트릭스, 상부 방출 장치, 하부 방출 장치, 태양 전지 등과 같은 어떠한 장치에도 적용될 수 있으며, 다른 것들 중에도 실리콘 웨이퍼, 유리 기판, 금속 기판, 플라스틱 필름(예를 들어, 폴리에틸렌 테레프탈레이트(PET), 폴리에틸렌 나프탈레이트(PEN) 등), 플라스틱 에폭시 필름 중의 어느 것일 수 있다. 개선된 광 투과율과 그에 따라 투명한 장치 상부의 캡슐화/배리어 필름은 상부 방출 장치와 능동형 매트릭스 장치에 특히 필요하다.
적어도 하나의 탄소 함유 재료 층의 증착
본 발명의 일면은 가시 광선 스펙트럼의 모든 파장, 예를 들어 400 nm 내지 900 nm 범위의 파장에서 개선된 광 투과율로 탄소 함유 재료 층을 증착하는 방법을 제공한다. 광 투과율의 개선은 약 430nm 내지 570 nm 범위의 파장에서 아주 현격하다. 약 4 미만의 유전체 상수(k)를 갖는 탄소 함유 재료 층의 일 예는 비정질 탄소 재료이다. 탄소 함유 재료의 다른 예는 다른 것들 중에도 탄소 함유 저 유전체 상수 재료, 실리콘 도프된 탄소 재료, 탄소 도프된 실리콘 재료, 다이아몬드형 탄소 재료가 포함된다.
도 3은 본 발명의 일 실시예에 따른 증착 방법(300)을 나타내는 흐름도이다. 단계(310)에서, 기판은 기판 상에 비정질 탄소 재료 층과 같은 탄소 함유 재료를 증착하기 위해 증착 처리 챔버의 내측에 놓인다.
단계(320)에서, 비정질 탄소 재료의 전구체 혼합물이 처리 챔버의 내측으로 분배된다. 상기 탄소 함유 재료를 증착하는데 아주 다양한 가스 혼합물이 사용될 수 있으며 그러한 가스 혼합물의 비한정적인 예들이 이후에 제공된다. 일반적으로, 가스 혼합물은 하나 또는 그 이상의 탄소 함유 화합물 및/또는 탄화수소 화합물을 포함할 수 있다. 적합한 유기질 탄소 함유 화합물은 지방족 유기질 화합물, 환상 유기질 화합물, 또는 이들의 조합물을 포함할 수 있다. 지방족 유기질 화합물은 하나 또는 그 이상의 탄소 원자를 포함하는 선형 또는 분기형 구조를 가진다. 유기질 탄소 함유 화합물은 유기질 족 내에 탄소 원자를 포함한다. 유기질 족은 그의 기능성 유도체 이외에 알킬, 알키닐, 사이클로헥제닐(cyclohexenyl), 및 아릴족을 포함할 수 있다. 탄소 함유 전구체/화합물이 예를 들어, 약 400 mm ×약 500 mm 의 기판 크기에 대해 약 100 sccm 내지 약 500 sccm과 같은 약 10 sccm 또는 그 이상의 유동률로 분배될 수 있다.
예를 들어, 탄소 함유 화합물은 화학식 CXHY를 가질 수 있으며, 여기서 X는 1 내지 8 범위이고 Y는 2 내지 18 범위이며, 예로서 아세틸렌(C2H2), 에탄(C2H6), 에텐(C2H4), 프로필렌(C3H6), 프로핀(C3H4), 프로판(C3H8), 메탄(CH4), 부탄(C4H10), 부틸렌(C4H8), 부타디엔(C4H6), 벤젠(C6H6), 톨루엔(C7H8), 이들의 조합물이 포함되나 이에 한정되지는 않는다. 이와는 달리, 예를 들어, C3F8 또는 C4F8와 같은 탄소 함유 화합물의 부분 또는 완전 플루오르화 유도체가 플루오르화 비정질 탄소 층을 증착하는데 사용될 수 있으며, 이는 비정질 플루오르 탄소 층으로서 설명될 것이다. 탄화 수소 화합물과 탄화 수소 화합물의 플루오르화 유도체의 조합물이 비정질 탄소 층 또는 비정질 플루오르 탄소 층을 증착하는데 사용될 수 있다.
단계(330)에서, 실리콘 함유 전구체 및 질소 함유 전구체는 비정질 탄소 층을 증착하고 비정질 탄소 층의 광 투과율을 개선하기 위해 처리 챔버로 분배될 수 있다. 비정질 탄소 층을 증착하기 위한 예시적인 실리콘 함유 전구체는 다른 것들 중에도 실란(SiH4), SiF4, 및 Si2H6가 포함될 수 있다. 실리콘 함유 전구체/화합물은 예를 들어 약 20 sccm 내지 약 80 sccm 또는 약 50 sccm과 같은 약 10 sccm 또는 그 이상의 유동률로 분배될 수 있다.
일 실시예에서, 탄소 함유 화합물의 유동률은 대부분의 탄소 함량과 소부분 의 실리콘을 갖는 탄소 함유 재료 층을 증착하기 위해 실리콘 함유 화합물의 유동률보다 크며, 바람직하게 그 비율은 약 4 : 1 또는 그 이상과 같이 약 3 : 1 또는 그 이상이다. 다른 실시예에서, 실리콘 함유 전구체는 최종 탄소 함유 재료를 도프시키는데 사용되어서 탄소 함유 재료의 광 투과율을 개선한다.
비정질 탄소 층을 증착하기 위한 예시적인 질소 함유 전구체는 다른 것들 중에도 암모늄(NH3), 질소 가스(N2), 암모늄과 질소의 조합물, 질소 산화물(N2O), 산화 질소(NO), 및 이들의 조합물을 포함할 수 있다. 예를 들어, 비정질 탄소 층을 증착하고 비정질 탄소 층의 광 투과율을 개선하기 위한 질소 함유 전구체는 처리 챔버에 연결된 다양한 가스 소오스로부터 분배되는 암모늄(NH3) 또는 질소 가스(N2)일 수 있거나, 이와는 달리 암모늄(NH3)과 질소 가스(N2)의 혼합물일 수 있다. 질소 함유 전구체/화합물은 예를 들어, 약 200 sccm 내지 약 5000 sccm, 바람직하게 약 500 sccm 내지 약 1500 sccm과 같은 100 sccm 또는 그 이상의 유동률로 분배될 수 있다. 일 실시예에서, 질소 함유 전구체는 탄소 함유 재료의 광 투과율을 개선하는데 사용된다.
실리콘 함유 전구체와 질소 함유 전구체가 소오스 가스로서 첨가될 때, 더욱 투명한 비정질 탄소 필름이 얻어진다. 따라서 광 투과율은 가시 광선의 모든 파장에서 약 90% 또는 그 이상, 약 95% 또는 그 이상, 또는 약 97% 또는 그 이상과 같은 약 80% 또는 그 이상으로 개선된다. 대조적으로, 실리콘 함유 전구체와 질소 함유 전구체가 추가되지 않은, 증착된 저 유전체 상수의 비정질 탄소 재료는 매우 투명하지 못하며 가시 광선 스펙트럼의 특히 낮은 파장에서의 광 투과율이 낮게 된다.
또한, 다양한 가스가 비정질 탄소 층의 특성을 변경시키도록 가스 혼합물에 추가될 수 있다. 다른 것들 중에도 약 100 sccm 내지 약 6000sccm 범위와 같은 약 5sccm 또는 그 이상의 유동률로 공급되는 불활성 가스(예를 들어, 헬륨, 아르곤, 네온, 제논, 그립톤 등)가 비정질 탄소 층의 밀도와 증착률을 제어하는데 사용된다. 또한, 질소 가스와 암모늄의 추가는 비정질 탄소 층의 수소 비율을 제어하여 반사도와 같은 비정질 탄소 층의 특성을 제어하는데 사용될 수 있다. 예를 들어, 수소 가스는 처리 챔버 내측으로 분배되어 필름 균일도를 개선한다(% 균일도 측정값의 감소와 표면 거칠기의 감소). 수소 가스가 소오스 가스로서 추가되면, 약 ±5% 또는 그 이하, 또는 약 ±3% 또는 그 이하와 같은 약 ±10% 또는 그 이하의 필름 균일도가 얻어진다. 대조적으로, 수소 가스가 추가되지 않으면 증착된 비정질 탄소 재료는 약 ±15% 내지 약 ±35% 범위의 필름 균일도 측정값을 갖는 매우 거칠고 불균일하다.필름 균일도를 개선하기 위한 질소 가스의 추가가 없으면, 다층으로 증착될 때 스텝 커버리지에 관한 훨씬 더 현저한 영향력이 있다.
개선된 필름 균일도(부드럽고 균일한 필름 표면)를 갖는 비정질 탄소 재료는 스텝 커버리지를 약 80% 또는 그 이상, 또는 약 95% 또는 그 이상으로 상당히 개선하며, 또한 다층 필름 스택에서의 실리콘 함유 무기질 배리어 층들 사이의 접착력도 개선한다.
단계(340)에서, 전기장이 가해지고 플라즈마가 처리 챔버의 내측에 발생된 다. 전기장은 고주파(RF) 전력, 초고주파 전력과 같은 전력을 처리 챔버에 가함으로써 발생될 수 있다. 전력원은 유도 또는 용량적으로 처리 챔버에 연결될 수 있다. 단일 13.56 ㎒ 고주파 전력원으로부터 전력이 처리 챔버에 가해짐으로써 약 0.14 와트/㎠ 내지 약 8.6 와트/㎠ 범위, 또는 약 100 와트/㎠ 내지 약 6000와트/㎠의 전력 밀도에서 플라즈마를 생성한다. 바람직하게 약 0.25 와트/㎠ 내지 약 0.6 와트/㎠ 범위의 전력 밀도가 플라즈마를 발생시키도록 처리 챔버로 공급된다. RF 전력은 약 0.01 ㎒ 내지 300 ㎒ 범위의 주파수에서 공급될 수 있다. RF 전력은 연속적으로 또는 짧은 지속 사이클로 공급될 수 있다. RF 전력은 화합물의 용해를 증가시키도록 처리 챔버에 연결된다. 상기 화합물은 증착 챔버로 진입하기 이전에 초고주파 챔버 내에서 용해될 수 있다. 그러나, 각각의 변수들은 상이한 기판 크기와 다양한 챔버 내에서의 플라즈마 처리를 수행하도록 변경될 수 있다.
탄소 함유 화합물, 실리콘 함유 전구체, 질소 함유 전구체, 및/또는 수소 가스가 상이한 공급원으로부터 가스 분배 시스템을 통해 처리 챔버의 내측으로 주입된다. 가스 분배 시스템은 일반적으로 비정질 탄소 층이 증착되는 기판으로부터 약 900 mils와 같은 약 180 mils 내지 약 2000 mils 범위로 이격되어 있다. 또한, 처리 챔버의 압력은 약 1.5 Torr와 같이, 약 100 milliTorr 내지 약 20 Torr로 유지된다.
단계(350)에서, 높은 광 투과율을 갖는 비정질 탄소 재료가 약 -20℃ 내지 약 100 ℃ 범위, 바람직하게 약 20℃ 내지 약 80℃ 범위로 유지되는 기판의 온도와 같이 약 200 ℃ 또는 그 이하의 기판 온도에서 기판 상에 증착된다. 바람직한 비정질 탄소 층이 일 실시예에서 약 200 sccm과 같은 약 100 sccm 내지 약 5000 sccm 범위의 유동률로 플라즈마 처리 챔버로 아세틸렌을 공급함으로써 증착된다. 실란도 약 50 sccm과 같은 약 10 sccm 내지 약 200 sccm 범위의 유동률로 공급된다. 암모늄 및/또는 질소 가스는 약 1000sccm과 같은 약 300 sccm 내지 약 2000 sccm 범위의 유동률로 추가된다. 수소 가스도 약 200 sccm 내지 약 600 sccm 범위와 같이 약 100 sccm 내지 약 2500 sccm 범위의 유동률로 처리 챔버로 추가된다.
전술한 단계들은 탄소 함유 재료에 대한 소정의 두께가 얻어질 때까지 반복된다. 전술한 공정 변수들은 약 1000 Å/분 내지 약 2000 Å/분 범위와 같이 약 500 Å/분 또는 그 이상의 범위에서 비정질 탄소 층에 대한 통상적인 증착률을 제공하며, 미국 산타클라라 소재의 어플라이드 머티리얼즈로부터 이용가능한 종래의 평행판 고주파(RF) 플라즈마 개선된 화학 기상 증착(PECVD) 시스템의 화학 기상 증착 챔버에서 수행될 수 있다.
증착된 비정질 탄소 재료는 약 10% 수소 내지 약 60% 수소 범위인 조정가능한 탄소 : 수소 비율을 갖는 탄소와 수소를 포함한다. 비정질 탄소 층의 수소 비율을 제어하는 것은 각각의 최적 특성, 에칭 선택도, 및 화학 기계적 폴리싱 저항 특성을 조정하는데 바람직하다. 특히, 수소 함량이 감소되면, 애스-증착(as-deposited) 층의 최적 특성, 예를 들어 굴절 지수(n) 및 흡수 계수(k)가 증가한다. 유사하게, 수소 함량이 감소되면, 비정질 탄소 층의 에칭 저항이 증가한다.
또한, 비정질 탄소 재료를 증착하기 위한 탄화 수소 함유 전구체와 실리콘 함유 전구체의 유동률은 탄소 함량이 증착된 비정질 탄소 재료 내의 실리콘 함량보 다 크게 되도록 예를 들어, 4 : 1 또는 그 이상의 비율로 조절될 수 있다. 또한, 경험적인 결과들에 의해 실리콘 함유 전구체와 질소 함유 전구체의 존재하에 비정질 탄소 재료를 증착하는 것에 의해 최종 필름의 광 투과율을 개선할 뿐만 아니라 최종 비정질 필름 내의 C-H 결합을 감소시키고 N-H 결합 및 Si-C 결합을 증가시킨다는 것이 입증되었다. 따라서, 공유 결합의 조성뿐만 아니라 비정질 탄소 재료의 증착 중에 상이한 형태의 원자들 사이의 비율을 탄소 함유 가스 혼합물 이외에 실리콘 함유 전구체, 질소 함유 전구체, 및/또는 수소 가스를 첨가하고 비정질 탄소 재료의 광 투과율을 개선하도록 유동률을 조정함으로써 제어하는 것이 바람직하다.
본 발명의 실시예는 다른 것들 중에도 기판 크기, 챔버 조건 등에 따라 전술한 임의의 공정 변수/편차들의 가감도 포함한다고 이해해야 한다. 본 발명의 실시예들은 전술한 대로의 공정 순서대로 수행될 필요는 없다고 이해해야 한다. 예를 들어, 수소 가스는 전구체 혼합물이 챔버 내측으로 분배되기 이전에 처리 챔버의 내측으로 분배될 수 있으며 몇몇 경우에 단계(320,330)는 동시에 수행될 수 있다.
캡슐화 필름의 증착
본 발명의 일면은 탄소 함유 재료 층과 실리콘 함유 무기질 배리어 층을 교대로 증착하는 방법을 제공한다. 도 4는 본 발명의 실시예에 따라 개선된 투과율을 갖는 하나 또는 그 이상의 탄소 함유 재료 층을 갖는 다층 캡슐화 필름을 포함하도록 본 발명의 방법을 사용하여 제작된 예시적인 디스플레이 장치(50)를 도시한다. 디스플레이 장치(500)는 기판(501)과 장치(502)를 포함할 수 있다. 약 1000 Å 또는 그 이상의 두께를 갖는 다층 재료 층을 포함할 수 있는 캡슐화 필름(510) 이 본 발명의 방법을 사용하여 증착됨으로써 물/수분 및 공기가 기판(501)과 장치(502)의 내측으로 침투하는 것을 방지하며 전압이 가해졌을 때 장치(502)로부터 광을 방출하기 위한 높은 광 투과율도 제공한다.
상기 장치(502)는 폴리에틸렌테레프탈레이트(PET) 또는 폴리에틸렌테레프탈레이트(PEN)과 같은 플라스틱 또는 유리로 형성될 수 있는 투명한 애노드 층을 포함한다. 투명한 애노드 층의 예는 약 200 Å 내지 약 2000 Å 범위의 두께를 갖는 인듐-주석-산화물(ITO)이다. 또한, 유기질 또는 중합체 재료의 다층이 증착되고 장치(502) 내에서 패턴화된다. 예를 들어, 디아민, 나프틸-대체 벤지딘(NPB), 또는 N,N'-디페닐-N,N'-비스(3-메틸페닐)-(1,1'-비페닐)-4,4'-디아민(TPD)와 같은 약 200 Å 내지 약 1000 Å 두께의 구멍-이송 층이 장치(502) 내에 포함될 수 있다.
방출 층이 장치(502) 내에 증착될 수 있다. 방출 층을 위한 재료는 통상적으로 형광성 금속 킬레이트 착물의 부류에 속한다. 한 예는 8-하이드록실퀴놀린 알루미늄(Alq3)이다. 방출 층의 두께는 통상적으로 약 200Å 내지 1500 Å 범위이다. 방출 층이 증착된 후에, 이들 유기질 층은 패턴화된다. OLED 디스플레이는 통상적으로 잉크-젯 프린팅 또는 증발 방법에 의해 기판의 예비-패턴화된 표면 상에 증착된다. 금속, 금속 혼합물 또는 금속 합금일 수 있는 캐소드 층도 장치(502)에 증착되어 패턴화될 필요가 있다. 캐소드 재료의 예는 약 1000 Å 내지 약 3000 Å 범위의 두께를 갖는 마그네슘(Mg), 은(Ag) 및 알루미늄의 합금이다.
장치(502)는 캡슐화될 필요가 있는 임의의 형태의 디스플레이 장치일 수 있 다. 예를 들어, 상기 장치(502)는 다른 것들 중에도 OLED, FOLED, PLED, 유기질 TFT, 태양 전지, 상부 방출 장치, 하부 방출 장치, 능동형 매트릭스, 수동형 매트릭스일 수 있다. 캡슐화 필름(510)은 OLED 디스플레이 장치와 같은 장치(502)의 구성이 완료된 후에 기판 표면의 상부 상에 증착된다. 본 발명의 캡슐화 필름(510)의 예시적인 재료는 약 2000 Å 내지 약 50000 Å 범위와 같이 약 500 Å 내지 약 50000 Å 범위의 두께로 증착되는 무기질 질화 필름, 무기질 산화물 필름, 및 중합체형 유기질 필름의 박막 층을 포함한다. 예를 들어, 다른 것들 중에도 실리콘 질화물(SiN), 실리콘 산질화물(SiON), 실리콘 산화물(SiO), 및 실리콘 카바이드(SiC)가 캡슐화 재료로서 사용될 수 있다.
본 발명의 실시예에 따라, 기판(501)의 표면 상의 장치(502) 위에 증착되는 캡슐화 필름(510)은 무기질 질화물, 무기질 산화물 필름 및 중합체형 유기질 재료 등과 같은 하나 또는 그 이상의 배리어/캡슐화 재료 층; 및 다수의 탄소 함유 재료, 중합체형 유기질 재료, 및 예를 들어 비정질 탄소, 다이아몬드형 탄소, 실리콘 도프된 카본 재료, 탄소 도프된 실리콘 함유 재료 등의 저 유전체 상수 재료와 같은 하나 또는 그 이상의 추가 재료 층을 캡슐화 층 내에 포함하여 캡슐화 층을 유연화하고 접착성을 개선한다.
일 실시예에서, 본 발명의 방법을 사용하여 증착된 개선된 광 투과율을 갖춘 적어도 하나의 배리어 층과 적어도 하나의 비정질 탄소 재료 층을 갖는 다층 캡슐화 필름은 적어도 하나의 비정질 탄소 재료 층의 증착 중에 실리콘 함유 전구체와 질소 함유 전구체를 첨가함으로써 제공된다. 다른 실시예에서, 적어도 하나의 배 리어 층과 적어도 하나의 비정질 탄소 재료 층을 갖는 다층 캡슐화 필름은 빈약한 접착성과 열적 불안정성으로 인한 장치(502)의 표면으로부터의 박리나 크랙이 발생됨이 없이, 장치(502) 내측으로의 물과 다른 가스 또는 액체의 확산과 장치(502)의회로 단락을 방지하도록 장치(502)의 상부 상에 증착된다. 도 4에 도시한 바와 같이, 캡슐화 필름(510)은 하나 또는 그 이상의 배리어 층(511,512,513) 등과 하나 또는 그 이상의 탄소 함유 재료 층(521,522)의 교대 층을 포함한다.
하나의 일면에서, 본 발명은 하나 또는 그 이상의 배리어 층(511,512,513)들 사이에 증착되는 비정질 탄소 재료의 다층과 같은 하나 또는 그 이상의 탄소 함유 재료 층(521,522)을 제공한다. 다른 일면에서, 기판 표면의 상부에 증착되는 다층 캡슐화 필름의 최종 층은 배리어 층(513)과 같은 배리어 층이다. 상기 최종 층은 예시적인 디스플레이 장치(500)의 최종 표면을 위한 양호한 물과 산소 배리어로서의 역할을 하도록, 본 발명의 방법을 사용하여 증착되는 다른 것들 중에도 실리콘 질화물, 실리콘 산질화물, 실리콘 산화물, 및 실리콘 탄화물과 같은 배리어 재료를 포함한다.
상기 장치(502)의 상부에 있는 제 1 층은 탄소 함유 재료 층 또는 배리어 층일 수 있다. 바람직한 실시예에서, 본 발명은 예시적인 디스플레이 장치(500)에 차수 성능을 개선하도록 배리어 층일 수 있는 장치(502)의 상부 상에 증착되는 제 1 층을 제공한다. 예를 들어, 배리어 층(511)과 같은 제 1 배리어 층은 탄소 함유 재료 층(521)과 같은 탄소 함유 재료 층 및/또는 접착력 개선 층 이전에 증착될 수 있다. 따라서, 탄소 함유 재료 층은 다층 캡슐화 필름이 약 8000 Å 또는 그 이상 의 충분한 두께로 증착되도록 인접 배리어 층들 사이의 접착력을 개선하도록 배리어 층의 상부에 증착된다.
도 5는 본 발명의 일 실시예에 따른 증착 방법(600)의 흐름도이다. 먼저, 기판이 기판 상에 캡슐화 필름(510)과 같은 재료 층을 증착하기 위해 기판 처리 시스템의 처리 챔버 내에 놓인다. 상기 방법(600)은 기판 상에 장치를 형성하는 단계를 포함한다. 예시적인 장치는 다른 것들 중에도 OLED, PLED, 및 FOLED를 포함하나 이에 한정되지는 않는다.
단계(602)에서, 실리콘 함유 배리어 층과 같은 배리어 층을 위한 제 1 전구체 혼합물이 기판 처리 시스템의 내측으로 분배된다. 제 1 전구체 혼합물은 실란(SiH4), SiF4, 및 Si2H6와 같은 하나 또는 그 이상의 실리콘 함유 가스를 포함할 수 있다. 제 1 전구체 혼합물은 다른 것들 중에서도 암모니아(NH3), 질소 산화물(N2O), 산화 질소(NO), 및 질소 가스(N2)와 같은 하나 또는 그 이상의 질소 함유 가스를 더 포함한다. 제 1 전구체 혼합물은 또한 탄소 함유 가스 및/또는 산소 함유 가스도 포함한다.
예를 들어, 실리콘 질화물 배리어 층은 실란, 암모니아, 및/또는 질소 가스의 혼합물과 같은 실리콘 함유 가스와 질소 함유 가스의 혼합물로부터 증착될 수 있다. 다른 예로서, 실리콘 산질화물 배리어 층이 실란, 질소 산화물, 및/또는 산화 질소의 혼합물과 같은, 실리콘 함유 가스, 산소 함유 가스, 및 질소 함유 가스의 혼합물로부터 증착될 수 있다.
단계(604)에서, 수소 가스는 기판 처리 시스템의 내측으로 분배되며 실리콘 함유 무기질 배리어 층은 단계(606)에서 약 200 ℃ 또는 그 이하의 기판 온도에서 기판의 표면 상에 증착된다. OLED 장치와 같은 디스플레이 장치를 위한 기판 처리 중에 기판 온도는 OLED 장치 내의 유기질 재료의 다층의 열적 안정성으로 인해 낮은 온도로 유지될 필요가 있다. 일반적으로, 약 100 ℃ 또는 그 이하, 약 80 ℃ 또는 그 이하, 또는 약 20 ℃ 내지 약 80 ℃ 범위의 온도와 같이 약 150 ℃ 또는 그 이하의 온도가 바람직하다.
수소가 존재하면 증착된 실리콘 함유 무기질 배리어 층의 표면 거칠기가 감소되어서, 약 40 Å 내지 약 70 Å으로부터 약 15 Å 또는 그 이하, 바람직하게 약 10 Å 또는 그 이하의 온도와 같이 약 40 Å 또는 그 이하로 표면 거칠기의 감소를 초래한다. 감소된 표면 거칠기(부드러운 표면)를 갖는 배리어 층은 배리어 층 내측으로의 물의 침투를 방지하여 임의의 재료 바닥을 위한 양호한 캡슐화 층(예를 들어, 디스플레이 장치에 사용되는 유기질 및/또는 중합체 재료)을 형성한다. 수소 가스의 도입은 90%의 상대 습도 및 약 38 ℃에서 측정했을 때 일당 약 1 ×10-3 g/㎡ 내지 약 1 ×10-4 g/㎡ 범위와 같이 약 ×10-2 g/㎡ 미만의 수증기 투과율로 물의 침투를 방지한다.
단계(608)에서, 탄소 함유 재료를 위한 제 2 전구체 혼합물이 동일한 또는 상이한 기판 처리 시스템의 내측으로 분배된다. 바람직하게, 탄소 함유 재료 층이 기판 처리량을 증가시키기 위해 배리어 층 증착 시스템과 동일한 기판 처리 시스템 에서 처리된다. 또한, 기판은 기판 처리 시스템의 내외측으로 기판의 취급할 때 작동의 용이성과 공기 및 습기 노출에 대한 가능성을 감소시키기 위해 배리어 층 및/또는 탄소 함유 재료를 증착하기 위한 기판 처리 시스템과 동일 또는 상이한 처리 챔버 내에 놓일 수 있다.
제 2 전구체 혼합물은 다른 것들 중에도 아세틸렌(C2H2), 에탄(C2H6), 에텐(C2H4), 메탄(CH4),프로필렌(C3H6), 프로핀(C3H4), 프로판(C3H8), 부탄(C4H10), 부틸렌(C4H8), 부타디엔(C4H6), 벤젠(C6H6), 톨루엔(C7H8)과 같은 하나 또는 그 이상의 탄소 함유 화합물을 포함할 수 있다.
탄소 함유 재료 층은 다른 것들 중에도 비정질 탄소 재료, 다이아몬드형 탄소 재료, 실리콘 도프된 탄소 재료, 및 탄소 도프된 실리콘 함유 재료일 수 있다. 예를 들어, 비정질 탄소 층은 아세틸렌(C2H2)과 같은 탄소 함유 화합물의 혼합물로부터 증착될 수 있다.
단계(610)에서, 실리콘 함유 화합물과 질소 함유 화합물이 기판 처리 시스템의 내측으로 분배되며, 비정질 탄소 층과 같은 탄소 함유 재료 층이 단계(612)에서 약 200 ℃ 또는 그 이하의 기판 온도에서 기판 표면 상에 증착된다. 또한, 수소 가스와 상이한 질소 함유 가스의 조합물이 비정질 탄소 층을 증착하기 위해 기판 처리 시스템의 내측으로 분배될 수 있다. 약 100 ℃ 또는 그 이하, 약 80 ℃ 또는 그 이하, 또는 약 20 ℃ 내지 약 80 ℃ 범위의 온도와 같이 약 150 ℃ 또는 그 이하의 기판 온도가 바람직하게 사용된다.
실리콘 함유 화합물과 질소 함유 화합물의 존재로 증착된 비정질 탄소 층의 광 투과율을 가시 광선의 모든 파장에서 약 90% 또는 그 이상과 같이 약 80% 또는 그 이상으로 개선한다. 따라서, 증착된 비정질 탄소 층은 광의 방출을 위해 투명한 필름을 필요로 하는 적용 분야에 적합한, 종래 기술의 필름보다 훨씬 더 투명하게 한다.
수소가스의 존재로 증착된 탄소 함유 재료 층의 필름 균일도를 약 ± 15% 내지 약 ± 35%로부터 약 ± 5% 또는 그 이하, 또는 ±3 % 또는 그 이하와 같이 약 ± 10% 또는 그 이하로 개선함으로 알 수 있다. 또한, 개선된 필름 균일도를 갖는 탄소 함유 재료 층은 추가의 다층이 양호한 스텝 커버리지로 증착될 수 있도록 증착된 탄소 함유 재료 층의 스텝 커버리지를 상당히 개선함을 알 수 있다. 예를 들어, 캡슐화 필름의 층에 대해 약 80% 또는 그 이상, 예를 들어 약 95% 또는 그 이상의 스텝 커버리지가 얻어진다.
단계(614)에서, 실리콘 함유 무기질 배리어 층과 비정질 탄소 재료 층을 갖는 캡슐화 필름의 예정된 두께가 달성되면, 증착 공정은 단계(616)에서 종결될 수 있다. 그러나, 캡슐화 층의 예정된 두께가 달성되지 않으면, 단계(602,604,606,608,610,612)의 조합 중에 어느 하나가 반복될 수 있다. 예를 들어, 하나 또는 그 이상의 실리콘 함유 무기질 배리어 층과 하나 또는 그 이상의 탄소 함유 재료 층이 증착된 후에 소정 두께가 달성되면, 방법(600)은 실리콘 함유 무기질 배리어 층 또는 탄소 함유 재료 층이 마지막으로 증착되는 경우에 종결될 것이다.
캡슐화 층의 두께는 변동될 수 있다. 예를 들어, 약 1000 Å 또는 그 이상, 예를 들어 20000 Å 내지 약 60000 Å 범위와 같은 약 10000 Å 또는 그 이상의 두께가 바람직할 수 있다. 장치(502)를 위한 캡슐화 필름의 두께는 공기와 습기에 대한 차단 성능과 관련되어 있으며, 이는 곧 장치(502)의 수명 연장과 관련이 있다. 본 발명의 방법을 사용하여, 장치(502)에 대한 수명은 약 45일 또는 그 이상, 또는 약 60일 또는 그 이상과 같이 약 40일 또는 그 이상이다.
또한, 비정질 탄소 재료의 투과율이 개선되고 실리콘 함유 무기질 배리어 층이 일반적으로 높은 광 투과율을 가지므로, 실리콘 함유 무기질 배리어 층과 비정질 탄소 재료 층을 갖는 캡슐화 필름의 전체 광 투과율은 현저히 개선된다. 그 결과로, 상기 캡슐화 필름은 장치(502)의 수명을 연장하기 위한 양호한 두께와 매우 투명하게 형성될 수 있어서, 가시 광선을 대부분 통과시킬 수 있다.
일면에 있어서, 본 발명의 방법을 사용하여 증착된 단일 배리어 층이 본 발명의 디스플레이 장치를 위한 캡슐화 필름으로서 사용될 수 있다. 예를 들어, 약 10000 Å의 두께를 갖는 단일 실리콘 질화물 배리어 층이 캡슐화 필름으로서 사용될 수 있다. 다른 일면에서, 본 발명은 적어도 하나의 실리콘 함유 무기질 배리어 층과 적어도 하나의 탄소 함유 재료 층을 갖는 다층 캡슐화 필름을 제공한다. 실리콘 함유 무기질 배리어 층은 약 2000 Å 내지 약 8000 Å 범위와 같은 약 1000 Å 내지 약 10000 Å 범위의 두께를 가질 수 있다. 탄소 함유 재료 층은 약 1000 Å 내지 약 10000 Å 범위의 두께를 가질 수 있다. 탄소 함유 재료의 존재로 개선된 열적 안정성을 갖는 인접 배리어 층들 사이의 접착력을 증가시킴으로써 충분한 두께로 실리콘 함유 무기질 배리어 층을 다층을 형성하는 것이 가능해진다.
본 발명의 하나의 예시적인 캡슐화 필름은 약 3000 Å 내지 약 30000 Å 범위의 전체 두께로, 두 개의 실리콘 질화물 층과 상기 실리콘 질화물 층 사이의 비정질 탄소 재료 층을 포함할 수 있다. 본 발명의 다른 예시적인 캡슐화 필름은 약 9000 Å 내지 약 90000 Å 범위의 전체 두께로, 5 개의 실리콘 질화물 층과 상기 5 개의 실리콘 질화물 층들 사이의 4 개의 비정질 탄소 재료 층을 포함할 수 있다.
기판 표면은 각각의 층이 증착되기 이전 또는 이후에 플라즈마로 세정될 수 있다. 예를 들어, 하나 또는 그 이상의 세정 가스가 처리 챔버로 공급될 수 있으며 RF 전력 공급원 또는 초고주파 전력 공급원으로부터의 전기장이 세정 플라즈마를 발생시키도록 가해질 수 있다. 세정 가스는 다른 것들 중에도 산소 함유 가스(예를 들어, 산소, 이산화 탄소), 수소 함유 가스(예를 들어, 수소 가스), 질소 함유 가스(예를 들어, 암모니아, 질소 산화물), 불활성 가스(예를 들어, 헬륨, 아르곤)을 포함할 수 있으나, 이에 한정되지 않는다. 수소 함유 가스의 예로는 다른 것들 중에도 수소 가스(H2) 및 암모니아(NH3)를 포함하나, 이에 한정되지 않는다. 또한, 챔버가 세정가스로부터 발생된 플라즈마에 의해 세정되면, 세정 가스는 선택적으로 캐리어 가스와 함께 분배되어 챔버의 내측으로 공급될 수 있다. 예시적인 캐리어 가스로는 다른 것들 중에도 헬륨과 아르곤과 같은 불활성 가스가 포함된다. 예를 들어, 인-시츄 산소 플라즈마는 챔버 벽, 가스 분배 판, 이전의 기판 처리 및 기판 제거와 관련된 모든 곳에 있는 재료와 같이 처리 챔버 내측에 있는 임의의 재 료를 제거하도록 생성될 수 있다.
본 발명의 실시예는 상기 단계들을 전술한 바와 같은 순서로 수행할 필요가 없음을 이해해야 한다. 예를 들어, 수소 가스는 전구체 혼합물이 챔버의 내측으로 분배되기 이전에 분배될 수 있으며, 몇몇의 경우에 단계(602,604)는 동시에 수행될 수 있다. 유사하게, 단계(608,610)들도 동시에 수행될 수 있다.
적어도 하나의 실리콘 함유 배리어 층의 증착
하나 또는 그 이상의 실리콘 함유 무기질 배리어 층이 처리 챔버의 내측으로 분배되는 전구체 혼합물로부터 증착된다. 상기 전구체는 기판 상의 캡슐화 층으로서 다른 것들 중에도 실리콘 질화물(SiN), 실리콘 산질화물(SiON), 실리콘 산화물(SiO), 및 실리콘 카바이드(SiC)의 층을 증착하기 위해, 다른 것들 중에도 실란(SiH4), SiF4, 및 Si2H6와 같은 실리콘 함유 전구체를 포함할 수 있다. 실리콘 함유 전구체는 예를 들어, 약 400 mm ×500 mm 의 기판 크기에 대해 약 100 sccm 내지 약 500 sccm과 같은 10 sccm 또는 그 이상의 유동률로 분배될 수 있다. 질소 함유 전구체는 다양한 질소 함유 전구체에 대해 약 100 sccm 내지 약 6000 sccm 범위와 같은 약 5 sccm 또는 그 이상의 유동률로 분배될 수 있다.
예를 들어, 상기 전구체 혼합물은 실리콘 산질화물 필름을 증착하기 위해 다른 것들 중에도 실란, 질소 산화물, 및 질소를 포함할 수 있다. 이와는 달리, 다른 것들 중에도 실란, 암모니아, 밀 질소가 실리콘 질화물 필름을 증착하는데 사용될 수 있다. 또한, 상기 전구체로는 실리콘 산화물 필름을 증착하기 위한 실란, 및 질소 산화물이 포함될 수 있다. 또한, 각각의 전구체는 필요한 다수의 증착 변수에 따라서 상이한 또는 동일한 유동률로 분배될 수 있다. 본 발명의 실시예들은 다른 것들 중에도 기판 크기, 챔버 조건 등에 따라 전술한 바와 같은 공정 변수/편차가 축소 또는 확대될 수 있다고 이해해야 한다.
하나 또는 그 이상의 실리콘 함유 무기질 배리어 층의 증착 중에, 수소 가스는 본 발명의 캡슐화 층의 차수 성능을 개선하기 위해 처리 챔버의 내측으로 배분된다. 또한, 수소 가스의 도입으로 하나 또는 그 이상의 실리콘 함유 무기질 배리어 층의 표면 거칠기를 감소시킴으로써 양호한 캡슐화 층을 형성할 수 있게 한다.
하나 또는 그 이상의 실리콘 함유 무기질 배리어 층은 처리 챔버 내측에 전기장을 가해 플라즈마를 생성함으로써 기판 상에 증착된다. 전기장은 고주파 전력, 초고주파 전력과 같은 전력 공급원을 처리 챔버에 가함으로써 생성될 수 있다. 전력 공급원은 유도적 또는 용량적으로 처리 챔버에 연결될 수 있다. 또한, 처리 챔버의 압력은 약 0.5 Torr 내지 약 10 Torr 범위로 유지된다.
그 결과, 하나 또는 그 이상의 실리콘 함유 무기질 배리어 층은 약 1000 Å/분 내지 약 3000 Å/분 범위와 같이 약 500 Å/분 또는 그 이상의 증착 비율로 증착된다. 하나 또는 그 이상의 실리콘 함유 무기질 배리어 층의 두께는 약 1000 Å 내지 약 30000 Å 범위에서 변할 수 있다. 보통, 두꺼운 배리어 층은 물 침투를 방지하기 위해 얇은 배리어 층보다 양호하다.
종래의 저온 무기질 필름 증착 공정은 캡슐화 층의 특성이 바람직하지 않게 제조되었다. 예를 들어, 상기 필름은 덜 조밀하고 필름의 표면이 실험 후에 높은 굴절 지수의 변경, 높은 투과율의 푸리에 변환 적외선 분광법(FTIR) 변경, 차수 실험 후의 높은 수증기 투과율(WVTR)과 같은 결함 구조와 열악한 필름 특성을 나타냈다. 일 예로서, 양호한 습기 배리어/필름으로서 사용될 양호한 차수 성능을 갖는 실리콘 질화물 박막 필름의 증착이 이후에 더 설명되나, 본 발명은 그러한 설명에 한정되는 것이 아니라고 이해해야 한다.
기판(400 mm ×500 mm 크기)이 약 900 mils의 간격을 갖은 미국 산타클라라 소재의 어플라이드 머티리얼즈로부터 이용가능한, 종래의 평행판 RF 플라즈마 개선된 화학 기상 증착(PECVD) 시스템인 AKT 1600 PECVD의 챔버 내측으로 진공 하에서 이동된다. 기판 지지대(서셉터)의 온도는 저온 증착 공정을 위해 약 60 ℃로 설정된다. 수소 가스의 존재하에 실란, 암모니아, 질소의 혼합물이 습기 및 산소 배리어로서의 실리콘 질화물 필름을 증착하기 위한 소오스 전구체 가스로서 챔버의 내측으로 분배된다. 비교 예로서, 실리콘 질화물을 증착하기 위한 실란, 암모니아, 및 질소를 사용하는 종래 방법이 동일한 처리 조건 하에서 동등하게 준비되었다. 챔버 내측의 압력은 약 2.1 Torr이다. 플라즈마는 약 13.56 ㎒와 약 900 W로 설정된 RF 전력 발생기에 의해 유지되었다.
기본적인 필름 특성이 두 공정 조건으로부터 제조된 필름에 대해 비교되었다. 그 결과 수소 소오스 가스의 존재 유무에 따라 증착된 실리콘 질화물 필름이 약 1.7 내지 약 1.9의 굴절 지수(RI) 및 0 내지 약 2 × 109 dynes/㎠의 필름 응력을 갖는 초기에 유사한 기본적인 필름 특성을 나타냈다. 증착률은 약 1000 Å/분 내지 약 1500 Å/분으로 양 필름이 유사하다. 따라서, 수소 가스의 존재로 기본적인 필름 특성이나 증착률에는 영향을 주지 못했다.
그러나, 증착 후에 양 필름에 대한 표면 거칠기(RMS 단위)는 현저히 변화되었다. 양 필름은 현미경으로 비교되었으며, 3차원 표면 거칠기 영상으로 비교되고 표면 거칠기가 측정되었다. 수소 소오스 가스 없이 증착된 SiN 필름에 대한 평균 표면 거칠기는 거친 표면을 의미하는 약 40 Å 내지 약 70 Å였다. 수소 소오스 가스의 존재하에서 증착된 SiN 필름에 대한 평균 표면 거칠기는 부드러운 표면을 의미하는 약 9 Å 내지 약 12 Å였다.
상기 비교는 양 필름이 필름 특성의 영향을 측정하기 위한 차수 실험 후에 비교되었을 때 훨씬 더 중요하다. 중요한 차수 성능의 비교를 위해 표 1을 따르면, 수소 가스는 필름 표면 거칠기를 부드러운 표면으로 감소시키는데 중요한 역할을 하며 부드러운 표면은 대기 중으로부터 필름 내측으로의 물/산소의 침투를 방지함으로써, 습기/물에 대한 저항성을 의미하는 평판 디스플레이 산업에 있어서 중요한 변수인, 훨씬 낮은 WVTR(수증기 투과율) 값을 초래한다. WVTR을 측정하기 위한 차수 실험은 특정 시간(시간 당 또는 일당 등) 동안 약 40 % 내지 약 100 %의 상대 습도(RH)와 약 25 내지 약 100 ℃ 범위의 온도에서 작동하는 항습기에 실험 대상물을 위치시킴으로써 보통 실시된다. 실험 시간 당 실험 대상물의 특정 크기에 따라 유지되는 물의 양은 실험 온도와 실험 상대 습도에서 WVTR을 제공하도록 계산되었다.
주요 차수 성능의 비교
H2 없는SiN 필름 H2 있는 SiN 필름
증착 후의 표면거칠기(RMS) 약 40Å 내지 약 70Å 약 9 Å 내지 약 12 Å
수처리 후의 굴절지수(RI) 변화(100℃/100시간) 15 % 0 %
수처리 후의 FTIR 변화(100℃/100시간) O-H 결합 증가 Si-H 결합 감소 N-H 결합 감소 변화 없음
38℃/90%상대습도에서 수증기 투과율(WVTR) 약 1.0 ×10-2g/㎡일 이상 약 1.0 ×10-4g/㎡일 내지 약 1.0 ×10-3g/㎠일
수소 소오스 가스와 함께 증착된 SiN 필름에 대한 수처리 이전 및 이후의 퓨리에 변환 적외선 분광법(FTIR)이 수행되고 비교되었다. FTIR 및 굴절 지수(RI)에 있어서의 변화의 비교를 위한 수처리가 특정 시간, 예를 들어 약 100 시간 동안약 100 ℃와 같은 고온수에서 상이하게 증착된 필름을 소킹함으로써 수행되었다. FTIR 스펙스럼이 1500 ㎝-1 내지 4000-1 범위에서 기록되었다. Si-H, N-H, 및 O-H 결합이 스펙스럼에 나타났다. 수처리 전후에 많은 차이가 없었으며, 이는 수소 소오스 가스와 함께 증착된 SiN 필름으로부터 수처리 전후에 임의의 결합의 변화가 없었음을 의미한다. 표 1에 나타낸 바와 같이, 그 결과는 약 100 시간 동안 약 100 ℃(고온 다습)에서 SiN 필름의 수처리 후에 전구체 소오스 가스들 중의 하나로서의 수소 가스 존재하에 증착 조건에서 증착된 SiN 필름에 대한 굴절 지수의 변화가 없음을 의미한다. 실험 전후에 측정한 낮은 수증기 침투율(WVTR)의 결과와 함께, 그 모든 것은 고 품질의 실리콘 질화물이 소오스 가스 혼합물의 일부로서 수소 가스를 사용하여 양호한 차수 성능을 갖도록 증착되었음을 의미한다.
비교 예로서, 수소 소오스 가스 없는 종래 기술의 방법을 사용하여 증착된 SiN 필름에 대한 수처리 전후의 퓨리에 변환 적외선 분광법(FTIR)의 변화가 실험되고 비교되었다. 그 결과는 Si-H 결합이 상당히 감소되고 N-H 결합이 조금 감소되고 O-H 결합이 조금 증가되었음을 입증한다. 표 1에 나타낸 바와 같이 그 결과는 수소 소오스 가스 없이 증착된 SiN 필름에 대한 굴절 지수가 약 15% 변화되었음을 나타낸다. 또한, 수처리 실험 후에 보다 높은 수증기 투과률(WVTR)이 측정되었다. 이 모든 것은 수소 소오스 가스 없이 증착된 실리콘 질화물 필름이 열약한 차수 성능을 나타냈음을 의미한다.
기판 처리 시스템
본 발명은 미국 캘리포니아 산타클라라 소재의 어플라이드 머티리얼즈의 분사인 AKT로부터 이용가능한, 기판 크기에 따른 AKT 1600, AKT 4300, AKT 5500, AKT 10K, AKT 15K, 및 AKT 25K를 포함하는 다수의 평행판 고주파 플라즈마 개선된 화학 기상 증착(PECVD) 시스템과 같은, 대형 기판을 처리하도록 구성된 플라즈마 개선된 화학 기상 증착 시스템을 참조하여 이후에 예시적으로 설명된다. 그러나, 본 발명은 둥근 기판을 처리하도록 구성된 시스템들을 포함하는, 다른 화학 기상 증착 시스템 및 어떤 다른 필름 증착 시스템과 같은 다른 구성의 시스템도 사용가능하다고 이해해야 한다.
본 발명은 기판 표면 상에 개선된 광 투과율을 갖는 단층 또는 다층 캡슐화 필름을 증착하기 위해 하나 또는 그 이상의 처리 챔버를 갖는 기판 처리 시스템을 제공한다. 본 발명의 다층 캡슐화 필름은 기판 처리 시스템의 동일한 또는 상이한 처리 챔버 내에서 동일한 또는 상이한 기판 처리 시스템에서 증착될 수 있다. 일 실시예에서, 다층 캡슐화 필름은 시간을 절약하고 처리량을 개선하도록 동일한 진공 기판 처리 시스템에서 증착된다. 다른 실시예에서, 본 발명의 다층 캡슐화 필름은 다챔버 기판 처리 시스템 내측의 동일한 또는 상이한 처리 챔버 내에서 기판 표면 상에 증착될 수 있다. 예를 들어, 하나 또는 그 이상의 실리콘 함유 무기질 배리어 층과 하나 또는 그 이상의 탄소 함유 재료 층을 갖는 다층 캡슐화 필름은 CVD 시스템으로부터 기판을 꺼낼 필요가 없어 물과 산소가 기판 표면 상으로 확산될 가능성이 감소된 화학 기상 증착(CVD) 시스템 내에서 효율적으로 증착될 수 있다.
도 6은 미국 캘리포니아 산타클라라 소재의 어플라이드 머티리얼즈의 분사인 AKT로부터 이용가능한 하나 또는 그 이상의 플라즈마 개선된 화학 기상 증착 챔버를 갖춘 기판 처리 시스템(400)의 일 실시예의 횡단면도이다. 상기 기판 처리 시스템(400)은 일반적으로, 하나 또는 그 이상의 처리 챔버(402), 기판 인풋/아웃풋챔버, 상기 인풋/아웃풋 챔버와 처리 챔버 중에서 기판을 이송하기 위한 주 이송 로봇, 및 자동 기판 처리 시스템의 메인프레임 제어기를 포함한다.
처리 챔버(402)는 보통, 하나 또는 그 이상의 화합물 및/또는 전구체를 분배하기 위한 하나 또는 그 이상의 가스 소오스(404)에 연결된다. 하나 또는 그 이상의 가스 소오스(404)는 다른 것들 중에도 실리콘 함유 화합물 공급 소오스, 수소 가스 공급 소오스, 탄소 함유 화합물 공급 소오스, 질소 함유 화합물 공급 소오스를 포함할 수 있다. 처리 챔버(402)는 처리 영역(412)을 부분적으로 한정하는 벽(406)과 바닥(408)을 가진다. 처리 영역(412)은 통상적으로 처리 챔버(402)의 내외측으로 대형 유리 기판과 같은 기판(440)의 이동을 용이하게 하기 위해서 포트 및 밸브(도시 않음)를 통해 접근할 수 있다. 벽(406)은 임의의 가스와 처리 부산물을 처리 챔버(402)로부터 배출시키기 위해 처리 영역(412)을 (다수의 펌핑 성분들을 포함하는)배기 포트(도시 않음)에 연결하는 펌핑 플레넘(414)을 포함하는 리드 조립체(410)를 지지한다.
온도 제어되는 기판 지지 조립체(438)는 처리 챔버(402) 내의 중앙에 배열된다. 기판 지지 조립체(438)는 처리 중에 기판(440)을 지지한다. 기판 지지 조립체(438)는 적어도 하나의 매설된 히터(432)를 캡슐화하는 알루미늄 몸체(424)를 포함한다. 기판 지지 조립체(438) 내에 배열되는 저항 소자와 같은 히터(432)는 선택적인 동력 공급원(474)에 연결되어 디디 조립체(438)와 그 내부에 위치되는 기판(440)을 예정된 온도로 제어가능하게 가열한다.
일 실시예에서, 히터(432)의 온도는 증착될 재료 층에 대한 증착/처리 변수에 따라 150 ℃ 또는 그 이하, 또는 약 20 내지 약 100 ℃ 범위와 같은 약 200 ℃ 또는 그 이하의 온도로 설정될 수 있다. 예를 들어, 히터는 저온 증착 공정을 위해 약 70 ℃와 같은 약 60 ℃ 내지 약 80 ℃ 범위의 온도로 설정될 수 있다.
다른 실시예에서, 내부에 흐르는 고온수를 갖는 포트가 기판 지지 조립체(438) 내에 배열되어서 기판(440)은 약 20 내지 약 100 ℃와 같은 200 ℃ 또는 그 이하의 균일한 온도로 유지한다. 이와는 달리, 히터(432)는 증착 중에 기판의 온도를 제어하도록 기판 지지 조립체(438)의 내측으로 흐르는 고온수와 관련하여 차단될 수 있어서 저온 증착 공정을 위해 기판 온도를 약 100 ℃ 또는 그 이하로 유지할 수 있다.
상기 지지 조립체(438)는 일반적으로 전력 공급원(422)에 의해 리드 조립체(410)와 기판 지지 조립체(438)(또는 챔버의 리드 조립체 근처 또는 그 내부에 위치되는 다른 전극) 사이에 위치되는 가스 분배판 조립체(418)으로 공급되는 RF 전력이 상기 지지 조립체(438)와 가스 분배판 조립체(418) 사이의 처리 영역(412) 내에 존재하는 가스를 여기시키도록 접지된다. 전력 공급원(422)으로부터의 RF 전력은 일반적으로 화학 기상 증착 공정을 실행할수 있도록 기판의 크기를 보상할 수 있게 선택된다.
일 실시예에서, 약 400 W 내지 약 5000 W 범위와 같은 약 10 W 또는 그 이상의 RF 전력이 처리 영역(412) 내에 전기장을 발생시키도록 전력 공급원(422)에 인가된다. 예를 들어, 약 0.2 W/㎠ 내지 약 0.8 W/㎠, 또는 약 0.45 W/㎠와 같은 약 0.2 W/㎠의 전력 밀도가 본 발명의 저온 기판 증착 방법과 양립되도록 사용될 수 있다. 전력 공급원(422)과 정합 네트워트(도시 않음)가 처리 영역(412) 내의 전구체 가스로부터 처리 가스의 플라즈마를 생성하고 유지한다. 바람직하게 13.56 ㎒의 고주파 동력이 사용되나, 이는 임계적이지 않으며 저주파 전력이 사용될 수 있다. 또한, 챔버 벽들은 세라믹 재료나 양극 산화처리된 알루미늄 재료로 커버링됨으로써 보호될 수 있다.
일반적으로, 지지 조립체(438)는 하부측(426)과 기판(440)을 지지하는 상부측(434)을 가진다. 상기 하부측(426)은 (도시된 대로의)상승된 처리 위치와 하강된 기판 이송 위치 사이로 지지 조립체(438)를 이송하기 위한 리프트 시스템(도시 않음)에 연결되는 스템(442)을 가진다. 상기 스템(442)은 상기 시스템(400)의 다른 부품과 지지 조립체(438) 사이의 전기 및 열전쌍 리드를 위한 도관도 제공한다. 벨로우즈(446)은 기판 지지 조립체(438)에 연결되어서 처리 챔버(402) 외측의 대기와 상기 처리 영역(412) 사이에 진공 밀봉을 제공하며 지지 조립체(438)의 수직 운동을 용이하게 한다.
일 실시예에서, 리프트 시스템은 기판과 가스 분배판 조립체(418) 사이의 간극이 처리 중에 약 400 mils 내지 약 1600 mils 범위, 예를 들어 약 900 mils과 같이 약 400 mils 또는 그 이상이 되도록 조절된다. 간극을 조절할 수 있음으로써 커다란 기판 영역 전체에 걸쳐서 필요한 필름 균일도를 유지하면서 폭넓은 증착 조건에 대해 상기 공정이 최적화될 수 있게 한다. 접지된 기판 지지 조립체, 세라믹 라이너, 고압 및 미세 간극의 조합에 의해 가스 분배판 조립체(418)와 기판 지지 조립체(438) 사이의 높은 정밀도로 플라즈마를 한정할 수 있음으로써, 반응 종의 농도를 증가시키고 해당 박막 필름의 증착률을 증가시킨다.
지지 조립체(438)는 테두리 섀도우 프레임(448)도 지지한다. 일반적으로, 섀도우 프레임(448)은 기판(400)과 지지 조립체의 에지 증착을 방지하여 기판이 지지 조립체(438)에 달라붙지 않게 한다. 리드 조립체(410)는 통상적으로, 가스 소오스(404)에 의해 제공되는 처리 가스가 처리 챔버(402)의 내측으로 유입되게 하는 입구 포트(480)를 포함한다. 상기 입구 포트(480)는 또한 세정 소오스(482)에도 연결된다. 세정 소오스(482)는 통상적으로, 가스 분배판 조립체(418)를 포함하는 처리 챔버 하드웨어로부터 증착 부산물과 필름을 제거하기 위해 처리 챔버(402)의 내측으로 유입되는 용해된 불소와 같은 세정제를 제공한다.
가스 분배판 조립체(418)는 통상적으로, 기판(440)의 형상에 실질적으로 따르도록, 예를 들어 대형 기판에 대해서는 다각형으로, 그리고 웨이퍼에 대해서는 원형으로 구성된다. 가스 분배판 조립체(418)는 가스 소오스(404)로부터 공급되는 수소 가스와 같은 다른 가스와 전구체들을 처리 영역(412)으로 분배하는 천공 영역(416)을 포함한다. 상기 천공 영역(416)은 가스 분배판 조립체(418)를 통해 처리 챔버(402)의 내측으로 유입되는 가스의 균일한 분포를 제공하도록 구성된다. 가스 분배판 조립체(418)는 통상적으로 행거판(460)에 현수되어 있는 확산판(458)을 포함한다. 복수의 가스 통로(462)는 확산판(458)을 관통 형성됨으로써 가스 분배판 조립체(418)를 통해 처리 영역(412)으로 유입되는 가스에 대한 예정된 분배를 가능하게 한다.
본 발명의 장점을 취할 수 있는 가스 분배판은 켈러 등에 의해 2001년 8월 8일자로 출원되어 일반 양도된 미국 출원 번호 09/922,219호, 2002년 5월 6일자로 출원된 10/140,324호, 및 브로니간 등에 의해 2003년 1월 7일자로 출원된 10/337,483호; 화이트 등에 2002년 11월 12일자로 허여된 미국 특허 제 6,477,980호; 및 초이 등에 의해 2003년 4월 16일자로 출원된 미국 출원 번호 10/417,592호에 설명되어 있으며, 이들 출원 및 특허는 전체적으로 본 발명에 참조되었다. 본 발명이 임의의 실시예와 예에 따라 설명되었지만, 본 발명은 이에 한정되는 것이 아니라고 이해해야 한다. 여기서 CVD 공정은 다른 CVD 챔버를 사용하고; 실제 증착률에서 고품질의 필름을 얻기 위한 가스 유동률, 압력, 플라즈마 밀도, 및 온도를 조절함으로써 수행될 수 있다.
본 발명은 대형 기판 표면 상에 약 200 ℃ 또는 그 이하의 온도와 같은 저온에서 탄소 함유 재료 층을 증착하는 방법을 설명한다. 본 발명은 다양한 필름 두께에 대한 도 2에 도시한 바와 같은 430 nm 내지 570 nm의 낮은 파장에서 관찰되는 낮은 광 투과률을 극복할 수 있으며, 가시광선 스펙트럼의 모든 파장에서 약 90% 또는 그 이상, 약 95% 또는 그 이상, 또는 약 97% 또는 그 이상과 같은 약 80% 또는 그 이상으로 탄소 함유 재료 층의 광 투과률을 증가시킨다. 본 발명의 방법의 변경 없이 탄소 함유 재료 층의 광 투과률은 도 2에 도시한 바와 같이, 라인 210, 220, 230, 및 240에 대해 각각 0.8 μ, 1.5 μ, 2 μ, 및 3.2 μ의 두께를 갖는 필름이 두꺼워질 때 악화될 수 있다. 즉, 광 투과률은 필름이 두꺼워짐에 따라 낮아진다.
도 7은 가시광선 스펙트럼의 상이한 파장에서 개선된 광 투과률을 보여주는 여러 예시적인 탄소 함유 재료 필름의 광 투과률의 측정 결과를 나타낸다. 예시적인 필름은 본 발명의 방법을 사용하여 증착된 비정질 탄소 필름이다. 전구체 혼합물은 예 701에 있어서 아세틸렌, 질소 가스, 및 수소 가스를 포함한다. 예 711에 있어서 전구체 혼합물은 아세틸렌, 실란, 질소 가스, 및 수소 가스를 포함한다. 예 712에 있어서 전구체 혼합물은 아세틸렌, 실란, 암모니아, 질소 가스, 및 수소 가스를 포함한다. 예 713에서, 전구체 혼합물은 아세틸렌, 실란, 암모니아, 및 수소 가스를 포함한다. 예 714에서, 전구체 혼합물은 아세틸렌, 실란, 암모니아, 질소 가스, 및 수소 가스를 포함한다.
상기 전구체는 아세틸렌에 대해 약 100 sccm 내지 500 sccm, 실란에 대해 약 10 sccm 내지 약 300 sccm, 암모니아에 대해 약 300 sccm 내지 약 2000 sccm, 질소 가스에 대해 약 300 sccm 내지 약 2500 sccm의 유동률로 동일한 PECVD 처리 챔버의 내측으로 분배될 수 있다. 기판은 약 900 mils의 간격으로 PECVD 처리 챔버 내측에 놓이며 압력은 약 1.5 Torr로 유지되었다. 기판 바이어스의 존재하에 약 400 초의 증착 시간 주기 동안 약 0.25 와트/㎠의 RF 전력 밀도로 플라즈마가 인가된다. 약 100 ℃의 기판 온도가 증착 중에 유지됨으로써 약 1600 Å/분의 증착률을 초래했다.
예 701, 711, 712, 713, 714의 증착 필름의 광 투과율 측정 결과가 도 7에 도시되어 있으며 표 2에 예시화되어 있다. 전체적으로, 상이한 파장에서 모든 예시적인 비정질 탄소 필름의 투과율은 매우 높다. 예 711,712, 713, 714는 실리콘 함유 화합물과 질소 함유 화합물이 전구체 혼합물에 존재할 때 약 90% 또는 그 이상, 95% 또는 그 이상과 같이 약 80% 또는 그 이상으로 투과율이 개선됨이 입증되었다. 개선된 광 투과율은 도 7과 표 2에 나타낸 바와 같이 400 nm 내지 560 nm의 파장과 같은 낮은 파장에서 가장 현저했다. 이러한 결과는 본 발명의 비정질 탄소 필름이 상부 또는 바닥 방출 디스플레이 장치를 포함한 다양한 분야에 사용될 수 있음을 이해할 것이다.
광 투과율의 비교
상이한 파장에서 각각의 예에 대한 투과율% 430 nm 500 nm 600 nm 700 nm
701 77% 92% 98% 99%
711 95% 99% 100% 100%
712 94% 98% 98% 99%
713 97% 99% 100% 99%
714 91% 97% 99% 99%
본 발명은 또한, 2 개 내지 6 개의 실리콘 질화물 재료 층들 사이에 각각, 한 개 내지 5 개의 비정질 탄소 재료를 갖는 다양한 캡슐화 필름을 제공하며, 그 재료가 증착되고 실험/비교되었다. 또한, 다양한 두께로 증착되거나 수소 소오스 가스의 존재 유무에 따른 비정질 탄소층과 실리콘 함유 무기질 배리어 층도 실험되었다.
실리콘 함유 무기질 배리어 층과 비정질 탄소 층을 갖는 본 발명의 캡슐화 필름은 스카치 테이프 박리 실험 및 칼슘 실험을 사용하여 실험되었다. 그 결과 기판으로부터 다양한 다층 캡슐화 필름의 박리가 없고 물과 산소 부식이 없거나 낮은(칼슘 실험에서 투명한 칼슘염의 생성이 없거나 낮은) 매우 양호한 결과를 얻었다. 본 발명의 캡슐화 필름은 또한, OLED 장치의 표면 박리 없이 소정의 두께로 증착되고 그 장치의 내측으로 물이나 산소의 침투를 방지함으로써 장치의 수명을 연장할 수 있는 성능을 위해 OLED와 장치와 같은 장치에서 실험되었다. 약 60 ℃ 및 약 85%의 습도 하에서 실험했을 때, 본 발명의 캡슐화 필름은 상기 장치의 수명은 약 1440 시간 이상의 연장될 수 있었다.
도 8은 상부에 증착된 다층 캡슐화 필름(820)을 갖는 기판(810)에 대해 횡단면 방향으로 스캔한 전자 현미경 사진이다. 다층 캡슐화 필름(820)은 본 발명의 방법에 의해 증착된 3 개 층의 비정질 탄소 필름과 4 개 층의 실리콘 질화물 무기질 배리어 필름을 포함한다. 본 발명의 다층 캡슐화 필름(820)은 4 개의 실리콘 질화물 재료 층(811,812,813,814)과 상기 실리콘 질화물 재료 층 사이에 3 개의 비정질 탄소 재료 층(821,822,823)을 포함하며, 그 다층 캡슐화 필름(820)의 최종 두께는 약 35000 Å이다. 총 9개의 증착 재료 층을 갖는 다층 캡슐화 필름(820)의 전체 스텝 커버리지는 약 95%로 매우 양호하다.
상기 비정질 탄소 필름의 증착에 대해 전술했다. 예시적인 배리어 층은 PECVD 처리 챔버의 내측으로 각각, 약 150 sccm, 약 400 sccm, 약 1500 sccm, 및 약 4000 sccm으로 분배되는 실란, 암모니아,질소 가스 및 수소 가스의 혼합물에 의해 증착되는 실리콘 질화물 층이다. 기판은 약 900 mils의 간격을 유지하며 PECVD 처리 챔버의 내측에 놓이며 압력은 약 2.1 Torr로 유지되었다. 기판 바이어스의 존재하에 약 390 초의 증착 시간 주기 동안 약 0.45 와트/㎠의 RF 전력 밀도로 플라즈마가 인가된다. 약 70 ℃의 기판 온도가 증착 중에 유지됨으로써 약 1700 Å/분의 증착률을 초래했다.
본 발명의 실시예들에 대해 설명하였지만, 본 발명의 다른 또한 추가의 실시예들이 본 발명의 기본 사상으로부터 이탈함이 없이 창안될 수 있으며, 본 발명의 범주는 다음의 청구의 범위에 의해 정의된다.

Claims (39)

  1. 기판 처리 시스템 내에 놓여진 기판 상에 다층 캡슐화 필름을 형성하는 방법으로서,
    제 1 실리콘 함유 화합물을 상기 기판 처리 시스템의 내측으로 분배하는 단계를 포함하는, 하나 또는 그 이상의 실리콘 함유 무기질 배리어 층을 상기 기판의 표면 상에 증착시키는 단계, 및
    탄소 함유 화합물, 제 2 실리콘 함유 화합물, 및 질소 함유 화합물을 포함하는 전구체 혼합물을 상기 기판 처리 시스템의 내측으로 분배하는 단계를 포함하는, 약 200 ℃ 또는 그 이하의 기판 온도에서 하나 또는 그 이상의 탄소 함유 재료 층을 상기 하나 또는 그 이상의 실리콘 함유 무기질 배리어 층들 사이에 증착시키는 단계를 포함하는,
    기판 처리 시스템 내에 놓여진 기판 상에 다층 캡슐화 필름을 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 하나 또는 그 이상의 탄소 함유 재료 층의 투과율은 400 nm 내지 900 nm 범위의 파장에서 약 80 % 또는 그 이상인,
    기판 처리 시스템 내에 놓여진 기판 상에 다층 캡슐화 필름을 형성하는 방법.
  3. 제 1 항에 있어서,
    상기 하나 또는 그 이상의 탄소 함유 재료 층의 투과율은 400 nm 내지 900 nm 범위의 파장에서 약 90 % 또는 그 이상인,
    기판 처리 시스템 내에 놓여진 기판 상에 다층 캡슐화 필름을 형성하는 방법.
  4. 제 1 항에 있어서,
    상기 하나 또는 그 이상의 탄소 함유 재료 층의 투과율은 400 nm 내지 900 nm 범위의 파장에서 약 95 % 또는 그 이상인,
    기판 처리 시스템 내에 놓여진 기판 상에 다층 캡슐화 필름을 형성하는 방법.
  5. 제 1 항에 있어서,
    상기 하나 또는 그 이상의 탄소 함유 재료 층의 투과율은 400 nm 내지 900 nm 범위의 파장에서 약 97 % 또는 그 이상인,
    기판 처리 시스템 내에 놓여진 기판 상에 다층 캡슐화 필름을 형성하는 방 법.
  6. 제 1 항에 있어서,
    상기 하나 또는 그 이상의 탄소 함유 재료 층은 약 20 ℃ 내지 약 150 ℃ 범위의 기판 온도에서 증착되는,
    기판 처리 시스템 내에 놓여진 기판 상에 다층 캡슐화 필름을 형성하는 방법.
  7. 제 1 항에 있어서,
    상기 하나 또는 그 이상의 실리콘 함유 무기질 배리어 층은 실리콘 질화물, 실리콘 산질화물, 실리콘 산화물, 실리콘 카바이드, 및 이들의 조합물로 이루어지는 그룹으로부터 선택되는 재료를 포함하는,
    기판 처리 시스템 내에 놓여진 기판 상에 다층 캡슐화 필름을 형성하는 방법.
  8. 제 1 항에 있어서,
    상기 하나 또는 그 이상의 탄소 함유 재료 층은 비정질 탄소, 실리콘 도프된 탄소 재료, 다이아몬드형 탄소, 및 이들의 조합물로 이루어지는 그룹으로부터 선택되는 재료를 포함하는,
    기판 처리 시스템 내에 놓여진 기판 상에 다층 캡슐화 필름을 형성하는 방법.
  9. 제 1 항에 있어서,
    상기 탄소 함유 화합물은 아세틸렌(C2H2), 에탄(C2H6), 에텐(C2H4), 메탄(CH4),프로필렌(C3H6), 프로핀(C3H4), 프로판(C3H8), 부탄(C4H10), 부틸렌(C4H8), 부타디엔(C4H6), 벤젠(C6H6), 톨루엔(C7H8)과 이들의 조합물로 이루어지는 그룹으로부터 선택되는 화합물을 포함하는,
    기판 처리 시스템 내에 놓여진 기판 상에 다층 캡슐화 필름을 형성하는 방법.
  10. 제 1 항에 있어서,
    상기 제 2 실리콘 함유 화합물은 실란, SiF4, 및 Si2H6 및 이들의 조합물로 이루어지는 그룹으로부터 선택되는 화합물을 포함하는,
    기판 처리 시스템 내에 놓여진 기판 상에 다층 캡슐화 필름을 형성하는 방 법.
  11. 제 1 항에 있어서,
    상기 질소 함유 화합물은 암모니아(NH3), 질소 산화물(N2O), 산화 질소(NO), 질소 가스(N2) 및 이들의 조합물로 이루어지는 그룹으로부터 선택되는 화합물을 포함하는,
    기판 처리 시스템 내에 놓여진 기판 상에 다층 캡슐화 필름을 형성하는 방법.
  12. 제 1 항에 있어서,
    상기 전구체 혼합물은 수소 가스를 더 포함하는,
    기판 처리 시스템 내에 놓여진 기판 상에 다층 캡슐화 필름을 형성하는 방법.
  13. 제 1 항에 있어서,
    상기 전구체 혼합물은 아세틸렌, 수소 가스, 실란, 및 암모니아를 포함하는,
    기판 처리 시스템 내에 놓여진 기판 상에 다층 캡슐화 필름을 형성하는 방법.
  14. 제 1 항에 있어서,
    상기 전구체 혼합물은 아세틸렌, 수소 가스, 실란, 및 질소 가스를 포함하는,
    기판 처리 시스템 내에 놓여진 기판 상에 다층 캡슐화 필름을 형성하는 방법.
  15. 제 1 항에 있어서,
    상기 전구체 혼합물은 아세틸렌, 수소 가스, 실란(SiH4), 암모니아(NH3), 질소 가스(N2)를 포함하는,
    기판 처리 시스템 내에 놓여진 기판 상에 다층 캡슐화 필름을 형성하는 방법.
  16. 제 1 항에 있어서,
    상기 하나 또는 그 이상의 실리콘 함유 무기질 배리어 층과 상기 하나 또는 그 이상의 탄소 함유 재료 층은 상기 기판 처리 시스템의 내측에 있는 단일 처리 챔버 내에서 증착되는,
    기판 처리 시스템 내에 놓여진 기판 상에 다층 캡슐화 필름을 형성하는 방법.
  17. 기판 처리 시스템 내의 기판 위에 있는 다층 캡슐화 필름 내의 비정질 탄소 층을 형성하는 방법에 있어서,
    상기 비정질 탄소 층을 위한 수소 함유 전구체를 분배하는 단계와,
    상기 비정질 탄소 층의 필름 균일도를 개선하도록 채용되는 수소 가스를 상기 기판 처리 시스템의 내측으로 분배하는 단계와,
    가시 광선 스펙트럼의 모든 파장에서 상기 비정질 탄소 층의 광 투과율을 약 80 % 또는 그 이상으로 개선하도록 채용되는 실리콘 함유 전구체와 질소 함유 전구체를 상기 기판 처리 시스템의 내측으로 분배하는 단계와,
    상기 기판의 온도를 약 150 ℃ 또는 그 이하의 온도로 제어하는 단계와,
    상기 기판의 표면 상에 상기 비정질 탄소 층을 증착시키도록 플라즈마를 생성하는 단계, 및
    상기 비정질 탄소 층의 소정 두께가 얻어질 때까지 상기 비정질 탄소 층을 상기 기판 상에 증착하는 단계를 포함하는,
    기판 처리 시스템 내의 기판 위에 있는 다층 캡슐화 필름 내의 비정질 탄소 층을 형성하는 방법.
  18. 제 17 항에 있어서,
    상기 비정질 탄소 층의 투과율은 400 nm 내지 900 nm 범위의 파장에서 약 90 % 또는 그 이상인,
    기판 처리 시스템 내의 기판 위에 있는 다층 캡슐화 필름 내의 비정질 탄소 층을 형성하는 방법.
  19. 제 17 항에 있어서,
    상기 비정질 탄소 층의 투과율은 400 nm 내지 900 nm 범위의 파장에서 약 95 % 또는 그 이상인,
    기판 처리 시스템 내의 기판 위에 있는 다층 캡슐화 필름 내의 비정질 탄소 층을 형성하는 방법.
  20. 제 17 항에 있어서,
    상기 비정질 탄소 층의 투과율은 400 nm 내지 900 nm 범위의 파장에서 약 97 % 또는 그 이상인,
    기판 처리 시스템 내의 기판 위에 있는 다층 캡슐화 필름 내의 비정질 탄소 층을 형성하는 방법.
  21. 제 17 항에 있어서,
    상기 비정질 탄소 층은 약 20 ℃ 내지 약 100 ℃ 범위의 기판 온도에서 증착되는,
    기판 처리 시스템 내의 기판 위에 있는 다층 캡슐화 필름 내의 비정질 탄소 층을 형성하는 방법.
  22. 제 17 항에 있어서,
    상기 실리콘 함유 전구체는 실란, SiF4, Si2H6 및 이들의 조합물로 이루어지는 그룹으로부터 선택되는 화합물을 포함하는,
    기판 처리 시스템 내의 기판 위에 있는 다층 캡슐화 필름 내의 비정질 탄소 층을 형성하는 방법.
  23. 제 17 항에 있어서,
    상기 질소 함유 전구체는 암모니아(NH3), 질소 산화물(N2O), 산화 질소(NO), 질소 가스(N2) 및 이들의 조합물로 이루어지는 그룹으로부터 선택되는 화합물을 포함하는,
    기판 처리 시스템 내의 기판 위에 있는 다층 캡슐화 필름 내의 비정질 탄소 층을 형성하는 방법.
  24. 제 17 항에 있어서,
    상기 질소 함유 전구체는 암모니아(NH3) 및 질소 가스(N2)를 포함하는,
    기판 처리 시스템 내의 기판 위에 있는 다층 캡슐화 필름 내의 비정질 탄소 층을 형성하는 방법.
  25. 제 17 항에 있어서,
    상기 수소 함유 전구체는 아세틸렌(C2H2), 에탄(C2H6), 에텐(C2H4), 메탄(CH4),프로필렌(C3H6), 프로핀(C3H4), 프로판(C3H8), 부탄(C4H10), 부틸렌(C4H8), 부타디엔(C4H6), 벤젠(C6H6), 톨루엔(C7H8)과 이들의 조합물로 이루어지는 그룹으로부터 선택되는 화합물을 포함하는,
    기판 처리 시스템 내의 기판 위에 있는 다층 캡슐화 필름 내의 비정질 탄소 층을 형성하는 방법.
  26. 하나 또는 그 이상의 실리콘 함유 무기질 배리어 재료 층과 탄소 함유 재료 층을 갖는 캡슐화 층을 기판 처리 시스템 내의 기판 상에 증착하는 방법으로서,
    실리콘 함유 무기질 배리어 층을 위한 제 1 전구체 혼합물과 수소 가스를 상기 기판 처리 시스템의 내측으로 분배하는 단계와,
    상기 기판의 온도를 약 150 ℃ 또는 그 이하의 온도로 제어하는 단계와,
    상기 실리콘 함유 무기질 배리어 층을 상기 기판 표면 상에 증착하도록 플라즈마를 생성하는 단계와,
    수소 함유 전구체, 실리콘 함유 전구체, 및 질소 함유 전구체를 포함하는, 탄소 함유 재료 층을 위한 제 2 전구체 혼합물과 수소 가스를 상기 기판 처리 시스템의 내측으로 분배하는 단계와,
    상기 기판의 온도를 약 150 ℃ 또는 그 이하의 온도로 제어하는 단계와,
    상기 탄소 함유 재료 층을 상기 실리콘 함유 무기질 배리어 층의 표면 상에 증착하도록 플라즈마를 생성하는 단계, 및
    상기 캡슐화 층의 약 15000 Å 또는 그 이상의 두께가 얻어질 때까지 상기 단계들을 반복함으로써 상기 캡슐화 층을 상기 기판 상에 증착하는 단계를 포함하 는,
    하나 또는 그 이상의 실리콘 함유 무기질 배리어 재료 층과 탄소 함유 재료 층을 갖는 캡슐화 층을 기판 처리 시스템 내의 기판 상에 증착하는 방법.
  27. 제 26 항에 있어서,
    상기 탄소 함유 재료 층은 비정질 탄소, 실리콘 도프된 탄소 재료, 다이어몬드형 탄소, 및 이들의 조합물로 이루어지는 그룹으로부터 선택되는 재료를 포함하는,
    하나 또는 그 이상의 실리콘 함유 무기질 배리어 재료 층과 탄소 함유 재료 층을 갖는 캡슐화 층을 기판 처리 시스템 내의 기판 상에 증착하는 방법.
  28. 제 26 항에 있어서,
    상기 캡슐화 층의 마지막 재료 층으로서 실리콘 함유 무기질 배리어 층이 상기 기판 표면 상에 증착되는,
    하나 또는 그 이상의 실리콘 함유 무기질 배리어 재료 층과 탄소 함유 재료 층을 갖는 캡슐화 층을 기판 처리 시스템 내의 기판 상에 증착하는 방법.
  29. 제 26 항에 있어서,
    상기 실리콘 함유 무기질 배리어 층과 상기 탄소 함유 재료 층은 상기 기판 처리 시스템 내측의 단일 처리 챔버 내에서 증착되는,
    하나 또는 그 이상의 실리콘 함유 무기질 배리어 재료 층과 탄소 함유 재료 층을 갖는 캡슐화 층을 기판 처리 시스템 내의 기판 상에 증착하는 방법.
  30. 기판 처리 시스템 내의 기판 위에 있는 다층 캡슐화 필름 내의 비정질 탄소 층을 형성하는 방법으로서,
    탄화수소 함유 전구체를 상기 비정질 탄소 층을 위한 제 1 유동률로 분배하는 단계와,
    상기 비정질 탄소 층의 필름 균일도를 개선하도록 채용되는 수소 가스를 상기 기판 처리 시스템의 내측으로 분배하는 단계와,
    실리콘 함유 전구체를 제 2 유동률로 그리고 질소 함유 전구체를 제 3 유동률로 상기 기판 처리 시스템의 내측으로 분배하는 단계와,
    상기 기판의 온도를 약 150 ℃ 또는 그 이하의 온도로 제어하는 단계, 및
    상기 비정질 탄소 층을 상기 기판 표면 상에 증착하기 위한 시간 주기 동안 상기 기판 처리 시스템의 내측에 플라즈마를 생성하는 단계를 포함하며,
    상기 제 1 유동률과 제 2 유동률의 비율은 4 : 1 또는 그 이상인,
    기판 처리 시스템 내의 기판 위에 있는 다층 캡슐화 필름 내의 비정질 탄소 층을 형성하는 방법.
  31. 제 30 항에 있어서,
    상기 비정질 탄소 층의 투과율은 400 nm 내지 900 nm 범위의 파장에서 약 80 % 또는 그 이상인,
    기판 처리 시스템 내의 기판 위에 있는 다층 캡슐화 필름 내의 비정질 탄소 층을 형성하는 방법.
  32. 제 30 항에 있어서,
    상기 비정질 탄소 층의 투과율은 400 nm 내지 900 nm 범위의 파장에서 약 90 % 또는 그 이상인,
    기판 처리 시스템 내의 기판 위에 있는 다층 캡슐화 필름 내의 비정질 탄소 층을 형성하는 방법.
  33. 제 30 항에 있어서,
    상기 비정질 탄소 층의 투과율은 400 nm 내지 900 nm 범위의 파장에서 약 95 % 또는 그 이상인,
    기판 처리 시스템 내의 기판 위에 있는 다층 캡슐화 필름 내의 비정질 탄소 층을 형성하는 방법.
  34. 제 30 항에 있어서,
    상기 비정질 탄소 층의 투과율은 400 nm 내지 900 nm 범위의 파장에서 약 97 % 또는 그 이상인,
    기판 처리 시스템 내의 기판 위에 있는 다층 캡슐화 필름 내의 비정질 탄소 층을 형성하는 방법.
  35. 제 30 항에 있어서,
    상기 비정질 탄소 층은 약 20 ℃ 내지 약 100 ℃ 범위의 기판 온도에서 증착되는,
    기판 처리 시스템 내의 기판 위에 있는 다층 캡슐화 필름 내의 비정질 탄소 층을 형성하는 방법.
  36. 캡슐화 층을 기판 상에 증착하는 장치로서,
    처리 챔버와,
    상부에 상기 기판을 지지하도록 상기 처리 챔버 내에 배열되는 기판 지지대 와,
    상기 처리 챔버의 내측에 플라즈마를 제공하도록 상기 처리 챔버에 연결되는 RF 소오스와,
    상기 처리 챔버에 연결되는 실리콘 함유 화합물 공급 소오스와,
    상기 처리 챔버에 연결되는 질소 함유 화합물 공급 소오스와,
    상기 처리 챔버에 연결되는 수소 가스 공급 소오스와,
    상기 처리 챔버에 연결되는 수소 함유 전구체 화합물 공급 소오스, 및
    상기 기판의 처리 중에 기판의 온도를 약 200 ℃ 또는 그 이하의 온도로 제어하도록 상기 처리 챔버에 연결되며, 하나 또는 그 이상의 실리콘 함유 무기질 배리어 층과 상기 하나 또는 그 이상의 실리콘 함유 무기질 배리어 층들 사이에 하나 또는 그 이상의 탄소 함유 재료 층을 갖는 상기 캡슐화 층을 약 15000 Å 또는 그 이상의 두께가 얻어질 때까지 동일한 상기 처리 챔버 내에서 증착하도록 채용되는 제어기를 포함하며,
    상기 하나 또는 그 이상의 실리콘 함유 무기질 배리어 층은 상기 실리콘 함유 화합물 공급 소오스와 상기 수소 가스 공급 소오스로부터 분배되는 제 1 전구체 혼합물로부터 증착되며,
    상기 하나 또는 그 이상의 탄소 함유 재료 층은 탄화수소 함유 전구체 공급 소오스, 상기 수소 가스 공급 소오스, 상기 실리콘 함유 화합물 공급 소오스, 및 상기 질소 함유 화합물 공급 소오스로부터 분배되는 제 2 전구체 혼합물로부터 증착되는,
    캡슐화 층을 기판 상에 증착하는 장치.
  37. 제 36 항에 있어서,
    상기 하나 또는 그 이상의 탄소 함유 재료 층은 비정질 탄소, 실리콘 도프된 탄소 재료, 다이아몬드형 탄소, 및 이들의 조합물로 이루어지는 그룹으로부터 선택되는 재료를 포함하는,
    캡슐화 층을 기판 상에 증착하는 장치.
  38. 제 36 항에 있어서,
    상기 캡슐화 층의 마지막 재료 층으로서 상기 하나 또는 그 이상의 실리콘 함유 무기질 배리어 층이 상기 기판 표면 상에 증착되는,
    캡슐화 층을 기판 상에 증착하는 장치.
  39. 제 36 항에 있어서,
    상기 하나 또는 그 이상의 탄소 함유 재료 층의 광 투과율은 400 nm 내지 900 nm 범위의 파장에서 약 80 % 또는 그 이상인,
    캡슐화 층을 기판 상에 증착하는 장치.
KR1020087009011A 2005-09-15 2006-08-02 캡슐화 필름의 투과율 향상 방법 KR101135775B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/229,015 US7214600B2 (en) 2004-06-25 2005-09-15 Method to improve transmittance of an encapsulating film
US11/229,015 2005-09-15
PCT/US2006/030304 WO2007040798A2 (en) 2005-09-15 2006-08-02 Method to improve transmittance of an encapsulating film

Publications (2)

Publication Number Publication Date
KR20080046734A true KR20080046734A (ko) 2008-05-27
KR101135775B1 KR101135775B1 (ko) 2012-04-16

Family

ID=37906627

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087009011A KR101135775B1 (ko) 2005-09-15 2006-08-02 캡슐화 필름의 투과율 향상 방법

Country Status (6)

Country Link
US (1) US7214600B2 (ko)
JP (1) JP5544086B2 (ko)
KR (1) KR101135775B1 (ko)
CN (1) CN101512728B (ko)
TW (1) TWI316735B (ko)
WO (1) WO2007040798A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101068356B1 (ko) * 2009-05-22 2011-09-29 최상관 화상처리를 이용한 디스플레이 패널의 픽셀 불량 검사 방법
KR20140006907A (ko) * 2011-02-24 2014-01-16 도쿄엘렉트론가부시키가이샤 실리콘 질화막의 성막 방법, 유기 전자 디바이스의 제조 방법 및 실리콘 질화막의 성막 장치

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8555921B2 (en) 2002-12-18 2013-10-15 Vapor Technologies Inc. Faucet component with coating
US7866343B2 (en) 2002-12-18 2011-01-11 Masco Corporation Of Indiana Faucet
US8220489B2 (en) 2002-12-18 2012-07-17 Vapor Technologies Inc. Faucet with wear-resistant valve component
US7866342B2 (en) 2002-12-18 2011-01-11 Vapor Technologies, Inc. Valve component for faucet
WO2006007313A2 (en) * 2004-06-25 2006-01-19 Applied Materials, Inc. Improving water-barrier performance of an encapsulating film
US7790630B2 (en) * 2005-04-12 2010-09-07 Intel Corporation Silicon-doped carbon dielectrics
US20070020451A1 (en) * 2005-07-20 2007-01-25 3M Innovative Properties Company Moisture barrier coatings
US7722929B2 (en) * 2005-08-18 2010-05-25 Corning Incorporated Sealing technique for decreasing the time it takes to hermetically seal a device and the resulting hermetically sealed device
US20080006819A1 (en) * 2006-06-19 2008-01-10 3M Innovative Properties Company Moisture barrier coatings for organic light emitting diode devices
US20080038462A1 (en) * 2006-08-09 2008-02-14 Qimonda Ag Method of forming a carbon layer on a substrate
JP4990594B2 (ja) * 2006-10-12 2012-08-01 東京エレクトロン株式会社 ガス供給装置、ガス供給方法、薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
US20080092806A1 (en) * 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
WO2008099394A2 (en) * 2007-02-13 2008-08-21 Burning Solar Ltd. A method and device of diamond like carbon multi-layer doping growth
JP5208591B2 (ja) * 2007-06-28 2013-06-12 株式会社半導体エネルギー研究所 発光装置、及び照明装置
US8114484B2 (en) * 2007-07-19 2012-02-14 Applied Materials, Inc. Plasma enhanced chemical vapor deposition technology for large-size processing
JP2009076232A (ja) * 2007-09-19 2009-04-09 Fujifilm Corp 環境感受性デバイス、環境感受性素子の封止方法
KR100923291B1 (ko) 2007-10-22 2009-10-23 한국생산기술연구원 에어컴프레서용 베인의 저온 질화 및 디엘씨 코팅방법
KR100945790B1 (ko) * 2008-02-15 2010-03-08 주식회사 하이닉스반도체 상변화 메모리 소자 및 그 제조 방법
US7951620B2 (en) * 2008-03-13 2011-05-31 Applied Materials, Inc. Water-barrier encapsulation method
KR101050463B1 (ko) * 2009-05-07 2011-07-20 삼성모바일디스플레이주식회사 플라즈마 처리 장치
KR101326166B1 (ko) * 2010-06-23 2013-11-06 도쿄엘렉트론가부시키가이샤 밀봉막 형성 방법, 밀봉막 형성 장치
US8772066B2 (en) 2011-02-08 2014-07-08 Applied Materials, Inc. Method for hybrid encapsulation of an organic light emitting diode
TWI580070B (zh) * 2011-05-25 2017-04-21 元智大學 具光萃取層的發光元件及其製造方法
KR101347541B1 (ko) * 2012-03-02 2014-01-06 삼성디스플레이 주식회사 유기 발광 장치의 제조 방법
KR101929980B1 (ko) * 2012-03-23 2018-12-18 삼성디스플레이 주식회사 유기 발광 표시 장치
JP5987407B2 (ja) * 2012-03-29 2016-09-07 凸版印刷株式会社 有機エレクトロルミネッセンスパネル
US9511560B2 (en) * 2012-04-13 2016-12-06 Infineon Technologies Ag Processing a sacrificial material during manufacture of a microfabricated product
KR101889013B1 (ko) 2012-05-17 2018-08-21 삼성디스플레이 주식회사 평판 표시 장치의 박막 봉지 및 그 제조방법
US9299956B2 (en) * 2012-06-13 2016-03-29 Aixtron, Inc. Method for deposition of high-performance coatings and encapsulated electronic devices
US9397318B2 (en) 2012-09-04 2016-07-19 Applied Materials, Inc. Method for hybrid encapsulation of an organic light emitting diode
CN103855105B (zh) 2012-12-06 2017-04-26 财团法人工业技术研究院 环境敏感电子元件封装体及其制作方法
US9831468B2 (en) 2013-02-14 2017-11-28 Samsung Display Co., Ltd. Organic electroluminescent device having thin film encapsulation structure and method of fabricating the same
KR101996436B1 (ko) 2013-02-14 2019-07-05 삼성디스플레이 주식회사 박막 봉지 구조를 갖는 유기 전계 발광 소자 및 그 제조 방법
CN105009319B (zh) * 2013-03-04 2017-09-05 应用材料公司 用于oled薄膜封装的含氟等离子体聚合的hmdso
CN104051646A (zh) * 2013-03-15 2014-09-17 海洋王照明科技股份有限公司 有机电致发光器件及其封装方法
CN104051648A (zh) * 2013-03-15 2014-09-17 海洋王照明科技股份有限公司 有机电致发光器件及其封装方法
KR102088203B1 (ko) * 2013-10-01 2020-03-12 엘지디스플레이 주식회사 유기발광 표시장치 및 이의 제조방법
CN110085767A (zh) 2013-12-18 2019-08-02 上海天马有机发光显示技术有限公司 一种疏水有机薄膜封装的有机发光显示装置
KR20160039739A (ko) * 2014-10-01 2016-04-12 삼성전자주식회사 하드 마스크막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
TWI572024B (zh) 2015-07-06 2017-02-21 力晶科技股份有限公司 半導體元件及其製造方法
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
KR101888351B1 (ko) 2016-04-12 2018-08-14 주식회사 엘지화학 봉지 필름
KR101801545B1 (ko) 2016-05-18 2017-12-20 주식회사 테스 발광소자의 보호막 증착방법
KR102322016B1 (ko) * 2016-06-01 2021-11-09 삼성디스플레이 주식회사 디스플레이 장치 및 그 제조방법
CN106450032B (zh) * 2016-11-08 2018-01-30 武汉华星光电技术有限公司 Oled显示器及其制作方法
CN106601931B (zh) * 2016-12-19 2018-08-14 武汉华星光电技术有限公司 Oled封装方法与oled封装结构
CN106654045B (zh) * 2016-12-19 2019-12-24 武汉华星光电技术有限公司 Oled封装方法与oled封装结构
US10615058B2 (en) * 2016-12-29 2020-04-07 Applied Materials, Inc. Apparatus for field guided acid profile control in a photoresist layer
KR20180080930A (ko) * 2017-01-05 2018-07-13 삼성전자주식회사 박막층 품질 평가 방법 및 평가 장치
JP7005256B2 (ja) * 2017-09-29 2022-01-21 三菱ケミカル株式会社 ガスバリア性容器
JP2020537336A (ja) * 2017-10-09 2020-12-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 無損傷基板処理のための静電チャック
WO2019105051A1 (zh) * 2017-11-30 2019-06-06 云谷(固安)科技有限公司 一种触控显示面板及其制备方法、触控显示装置
CN108037846B (zh) * 2017-11-30 2021-02-26 广州国显科技有限公司 一种触控显示面板及其制备方法、触控显示装置
CN109192754B (zh) * 2018-08-08 2021-03-02 Tcl华星光电技术有限公司 刚性承载基板以及柔性oled显示面板的制备方法
US11972940B2 (en) * 2022-04-18 2024-04-30 Applied Materials, Inc. Area selective carbon-based film deposition

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61218135A (ja) 1985-03-23 1986-09-27 Oki Electric Ind Co Ltd シリコン酸化膜の形成方法
US4863755A (en) * 1987-10-16 1989-09-05 The Regents Of The University Of California Plasma enhanced chemical vapor deposition of thin films of silicon nitride from cyclic organosilicon nitrogen precursors
DE68922244T2 (de) * 1988-06-06 1995-09-14 Japan Res Dev Corp Verfahren zur Durchführung einer Plasmareaktion bei Atmosphärendruck.
US5438222A (en) * 1989-08-28 1995-08-01 Semiconductor Energy Laboratory Co., Ltd. Electronic device with plural pad connection of semiconductor chip to leads
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
JP2875945B2 (ja) * 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US6337102B1 (en) * 1997-11-17 2002-01-08 The Trustees Of Princeton University Low pressure vapor phase deposition of organic thin films
WO2000015869A1 (fr) 1998-09-11 2000-03-23 Commissariat A L'energie Atomique Piece a base d'aluminium revetue de carbone dur amorphe
JP2000208253A (ja) * 1999-01-19 2000-07-28 Denso Corp 有機el素子およびその製造方法
US6645884B1 (en) * 1999-07-09 2003-11-11 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
TW495812B (en) * 2000-03-06 2002-07-21 Semiconductor Energy Lab Thin film forming device, method of forming a thin film, and self-light-emitting device
TW531901B (en) * 2000-04-27 2003-05-11 Semiconductor Energy Lab Light emitting device
US7074640B2 (en) * 2000-06-06 2006-07-11 Simon Fraser University Method of making barrier layers
US6770562B2 (en) * 2000-10-26 2004-08-03 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus and film formation method
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
JP2003197636A (ja) 2001-12-27 2003-07-11 Casio Comput Co Ltd 薄膜半導体素子およびその製造方法
JP2003346317A (ja) 2002-05-23 2003-12-05 Fuji Photo Film Co Ltd 垂直磁気記録媒体
US6710542B2 (en) * 2002-08-03 2004-03-23 Agilent Technologies, Inc. Organic light emitting device with improved moisture seal
US7086918B2 (en) * 2002-12-11 2006-08-08 Applied Materials, Inc. Low temperature process for passivation applications
JP2004217966A (ja) * 2003-01-10 2004-08-05 Mitsui Chemicals Inc ガスバリア膜形成方法および装置
CN100403573C (zh) * 2003-02-19 2008-07-16 友达光电股份有限公司 有机发光二极管
JP2006519473A (ja) * 2003-03-04 2006-08-24 ダウ・コーニング・コーポレイション 有機発光ダイオード
US6991859B2 (en) * 2003-03-18 2006-01-31 Eastman Kodak Company Cascaded organic electroluminescent devices
US7274038B2 (en) * 2003-06-30 2007-09-25 Semiconductor Energy Laboratory Co., Ltd. Silicon nitride film, a semiconductor device, a display device and a method for manufacturing a silicon nitride film
KR100743745B1 (ko) * 2004-01-13 2007-07-27 동경 엘렉트론 주식회사 반도체장치의 제조방법 및 성막시스템
JP2005203321A (ja) * 2004-01-19 2005-07-28 Pioneer Electronic Corp 保護膜および有機el素子

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101068356B1 (ko) * 2009-05-22 2011-09-29 최상관 화상처리를 이용한 디스플레이 패널의 픽셀 불량 검사 방법
KR20140006907A (ko) * 2011-02-24 2014-01-16 도쿄엘렉트론가부시키가이샤 실리콘 질화막의 성막 방법, 유기 전자 디바이스의 제조 방법 및 실리콘 질화막의 성막 장치

Also Published As

Publication number Publication date
TWI316735B (en) 2009-11-01
TW200710956A (en) 2007-03-16
CN101512728B (zh) 2012-10-31
US20060078677A1 (en) 2006-04-13
KR101135775B1 (ko) 2012-04-16
CN101512728A (zh) 2009-08-19
JP2009509036A (ja) 2009-03-05
WO2007040798A3 (en) 2009-05-07
US7214600B2 (en) 2007-05-08
WO2007040798A2 (en) 2007-04-12
JP5544086B2 (ja) 2014-07-09

Similar Documents

Publication Publication Date Title
KR101135775B1 (ko) 캡슐화 필름의 투과율 향상 방법
US7504332B2 (en) Water-barrier performance of an encapsulating film
US7183197B2 (en) Water-barrier performance of an encapsulating film
US8901015B2 (en) Method for depositing an inorganic encapsulating film
US11309522B2 (en) Electronic device with reduced non-device edge area
JP2007533860A (ja) プラスチック基板上で低温無機膜を堆積させる方法及び装置
KR20200010610A (ko) 개선된 박막 캡슐화
CN109075263B (zh) 发光二极管的保护膜的沉积方法
TW202238991A (zh) 有機發光顯示器及其製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190401

Year of fee payment: 8