KR20080029866A - 레지스트 코팅 웨이퍼들의 열처리 동안의 인라인 모니터링및 제어를 위한 방법 - Google Patents

레지스트 코팅 웨이퍼들의 열처리 동안의 인라인 모니터링및 제어를 위한 방법 Download PDF

Info

Publication number
KR20080029866A
KR20080029866A KR1020070097600A KR20070097600A KR20080029866A KR 20080029866 A KR20080029866 A KR 20080029866A KR 1020070097600 A KR1020070097600 A KR 1020070097600A KR 20070097600 A KR20070097600 A KR 20070097600A KR 20080029866 A KR20080029866 A KR 20080029866A
Authority
KR
South Korea
Prior art keywords
hotplates
adjusting
wafer
temperature
wafers
Prior art date
Application number
KR1020070097600A
Other languages
English (en)
Other versions
KR101404349B1 (ko
Inventor
하이코 바이헤르트
키르스텐 루크
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20080029866A publication Critical patent/KR20080029866A/ko
Application granted granted Critical
Publication of KR101404349B1 publication Critical patent/KR101404349B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/477Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

프로세싱 시스템의 복수개 핫플레이트들 각각을 위한 온도 프로파일을 확립하는 단계, 핫플레이트들에서 레지스트 코팅 제조 웨이퍼들을 열처리하는 단계, 열처리된 레지스트 코팅 제조 웨이퍼들의 테스트 영역들로부터 CD 계측 데이터를 획득하는 단계, CD 계측 데이터로부터 핫플레이트 각각을 위한 CD 변화들을 판정하는 단계, CD 변화들을 판정하는 단계 이후에, 하나 이상의 핫플레이트들의 온도 프로파일을 조정하는 단계, 및 조정하는 단계 이후에, 핫플레이트들에서 추가의 레지스트 코팅 제조 웨이퍼들을 열처리하는 단계에 의해, 프로세싱 시스템에서 레지스트 코팅 제조 웨이퍼들을 열처리하는 방법.
프로세싱 시스템, 온도 프로파일, 레지스트 코팅 제조 웨이퍼, 열처리, CD 계측 데이터, CD 변화, 핫플레이트

Description

레지스트 코팅 웨이퍼들의 열처리 동안의 인라인 모니터링 및 제어를 위한 방법{METHOD FOR IN-LINE MONITORING AND CONTROLLING IN HEAT-TREATING OF RESIST COATED WAFERS}
본 발명은 웨이퍼들을 프로세싱하기 위한 방법에 관한 것으로서, 좀더 구체적으로는, 레지스트 코팅 제조 웨이퍼들(resist coated manufacturing wafers)의 열처리 동안의 인라인 모니터링 및 제어 방법에 관한 것이다.
반도체 디바이스들 및 LCD들(liquid crystal displays)을 제조하기 위한 포토리소그래피 프로세스에서는, 레지스트가 기판에 코팅되고, 결과적인 포토레지스트 코팅 필름이 광에 노출되어 현상된다. 불연속 가열 섹션들을 갖춘 코팅/현상 프로세싱 시스템(coating/developing processing system)에서 일련의 프로세싱 스테이지들이 수행되는데, 각각의 가열 섹션은 저항 가열 유형의 가열기가 내장된 핫플레이트를 포함한다.
반도체 디바이스 회로들의 최소 배선폭은 0.1 미크론 미만으로 감소되어 왔다. 통상적으로, 개개 디바이스 회로들을 상호 접속하는 패턴 배선(pattern wiring)은 서브-미크론 라인 폭들로써 형성된다. 재현 가능하고 정확한 최소 배선 폭들 및 라인 폭들을 제공하기 위해, 포토레지스트 필름의 가열처리 온도를 좀더 정확하게 제어하는 것이 강력히 소망된다. 기판들 또는 웨이퍼들은 일반적으로, 각각이, 예를 들어, 25개 웨이퍼들로 이루어진 유닛들(즉, 로트들(lots) 또는 배치들(batches))로 동일한 프로세스 레시피(즉, 개별적인 처리 프로그램)를 사용해 처리 또는 프로세싱된다. 개별적인 프로세스 레시피들은, 가열처리가 수행되는 가열처리 조건들을 정의한다. 동일한 로트에 속하는 웨이퍼들은 일반적으로, 동일한 조건들에서 가열된다.
노광후굽기(PEB; post exposure bake)가 포토레지스트 프로세싱에서 중요한 역할을 한다. 포토레지스트를 열처리하는 것은, 포토레지스트로부터 용매를 제거하는 것에서부터 포토레지스트에서의 화학적 증폭을 촉진시키는 것에 이르기까지, 다수 목적들을 가질 수 있다. 의도된 결과들 이외에, 열처리는 많은 문제점들을 발생시킬 수 있다. 예를 들어, 포토레지스트의 감광 성분(light sensitive component)은, 용매를 제거하는데 통상적으로 사용되는 온도들에서 분해될 수도 있는데, 나머지 용매 내용물이 확산 및 증폭 속도들에 강한 영향을 미치므로, CAR(chemically amplified resist)의 경우, 이것이 상당히 심각한 문제이다. 또한, 열처리는 레지스트의 용해 특성들에 영향을 미침으로써 현상된 레지스트 프로파일에 직접적인 영향을 미칠 수 있다. 화학 증폭형 레지스트(CAR; chemically amplified resist)의 용해 특성들은 열처리 동안의 온도 변화들에 특히 민감하고 온도 변화들은 웨이퍼 표면을 가로지르는 CD들(critical dimensions)에서의 변화들을 초래할 수 있다.
대체로, 노광후굽기(PEB)와 같은 열처리 프로세스들에서의 온도 변화들은 레지스트 코팅 제조 웨이퍼들(비-제조 웨이퍼들;non-manufacturing wafers)을 정기적으로 열처리하고, 제조 웨이퍼들상에 형성된 결과적 구조들의 CD들을 측정하며, 핫플레이트의 온도를 조정하는 것에 의해 모니터링되고 정정된다. 비-생산 제조 웨이퍼들의 사용은, 제조 중단 시간, 극소수의 제조 웨이퍼 런 및 그에 따른 소량의 데이터로 인해 획득되는 제한된 정보와 테스트 정확도, 그리고 테스트 웨이퍼 런들 사이의 긴 구간들로 인해 간과될 수도 있는 단기 온도 편차들(short-term temperature deviations)을 포함하는 중요한 단점들을 가진다. 마찬가지로, 온도 센서들(예를 들어, 써머커플(thermocouple))을 포함하는 테스트 웨이퍼들은 드물게 실행될 수 있을 뿐이고, 그 후에, 온도 센서 데이터를 사용해 이루어진 임의의 온도 조정들은 프로세싱된 테스트 웨이퍼들에서의 CD들을 측정하는 것에 의해 확인되어야 한다.
따라서, 높은 웨이퍼 처리율을 허용하면서, 열처리 프로세스를 최적화하는데 요구되는 높은 계측 데이터 밀도(high metrology data density)를 제공할 수 있는, 레지스트 코팅 웨이퍼들의 열처리를 모니터링하고 제어하기 위한 새로운 방법들이 요구된다.
발명의 일 실시예에 따르면, 방법은 프로세싱 시스템의 복수개 핫플레이트들 에 대한 온도 프로파일을 확립하는 단계, 레지스트 코팅 제조 웨이퍼들을 핫플레이 트들에서 열처리하는 단계, 열처리된 제조 웨이퍼들상의 테스트 영역들로부터 CD 계측 데이터를 획득하는 단계, CD 계측 데이터로부터 각각의 핫플레이트를 위한 CD 변화들(critical dimension variations)을 판정하는 단계, CD 변화들을 판정하는 단계 이후에, 하나 이상 핫플레이트들의 온도 프로파일을 조정하는 단계, 및 조정하는 단계 이후에, 핫플레이트들에서 추가의 레지스트 코팅 제조 웨이퍼들을 열처리하는 단계를 포함한다.
발명의 실시예들은 레지스트 코팅 제조 웨이퍼들의 열처리 동안 인라인 모니터링하고 제어하기 위한 방법을 제공한다. 방법은, 높은 웨이퍼 처리율을 허용하면서, 작은 신뢰 구간들로써 열처리 프로세스를 최적화하는데 요구되는 높은 계측 데이터 밀도를 제공한다.
다음의 상세한 설명을 참조하는 것에 의해, 특히, 첨부 도면들과 함께 고려되는 경우, 발명 및 그것에 관한 부수적인 이점들 중 다수에 대한 좀더 완전한 이해가 쉽사리 명백해질 것이다.
몇가지 실시예들의 상세한 설명
발명의 실시예들은 레지스트 코팅 제조 웨이퍼들을 프로세싱 시스템의 복수개 핫플레이트들에서 열처리하는 동안 인라인 모니터링하고 제어하기 위한 방법을 제공한다. 방법은, 높은 웨이퍼 처리율을 허용하면서, 열처리 프로세스를 제어하고 최적화하는데 요구되는 높은 계측 데이터 밀도를 작은 신뢰도 구간으로써 제공 한다. 동일한 열처리 레시피를 사용하는 열처리에 사용되는 핫플레이트들 사이의 작은 온도 차이들은 각각의 핫플레이트로부터 획득되는 CD 계측 데이터를 비교하는 것에 의해 모니터링되고 분석될 수 있다. CD 계측 데이터는 수학적 모델에 의해 프로세싱되어, 하나 이상 핫플레이트들의 온도를 조정할 수 있다. 테스트 웨이퍼들을 사용해 모니터링하고 제어하는 현재의 방법들과 비교할 때, 본 발명의 실시예들은 레지스트 코팅 제조 웨이퍼들을 모니터링하여, 크게 향상된 온도 조정 능력들 및 증가된 웨이퍼 처리율을 제공한다. 여기에서 사용되는 바와 같이, "테스트 웨이퍼들"은 비-제조 웨이퍼들, 즉, 제조 프로세스들의 주기적 테스팅에만 사용될 뿐 반도체 디바이스들이 되지는 않는 웨이퍼들을 의미한다. 일반적으로, 테스트 웨이퍼들은, 제조 웨이퍼들이 포함하는 다수 재료층들 중 어떤 것도 포함하지 않는 레지스트 코팅 Si 웨이퍼들이다.
"웨이퍼" 및 "기판"이라는 용어들은 여기에서 교환 가능하게 사용되어, 예를 들어, 다양한 재료들의 확산, 증착, 및 에칭에 의해 그 위에 마이크로회로들이 구성되는, 실리콘 결정 또는 유리 재료와 같은, 재료의 얇은 슬라이스를 의미한다.
도 1 내지 도 3을 참조하면, 코팅/현상 프로세싱 시스템(1)은 로드/언로드 섹션(10), 프로세스 섹션(11), 및 인터페이스 섹션(12)을 가진다. 로드/언로드 섹션(10)은, 각각이 복수개 반도체 웨이퍼들(W;14)을 저장하는 카세트들(CR;13)(예를 들어, 25개)이 프로세싱 시스템(1)으로부터 로드 및 언로드되는 카세트 테이블(20)을 가진다. 프로세스 섹션(11)은 웨이퍼들(14)을 순차적으로 하나씩 프로세싱하기 위한 다양한 단일 웨이퍼 프로세싱 유닛들을 가진다. 이 프로세싱 유닛들은 다중 스테이지들의 소정 위치들에, 예를 들어, 제1(G1), 제2(G2), 제3(G3), 제4(G4), 및 제5(G5) 다중-스테이지 프로세스 유닛 그룹들(31, 32, 33, 34, 35)내에 정렬된다. 인터페이스 섹션(12)은 프로세스 섹션(11)과 (도시되어 있지 않은) 하나 이상의 광 노출 시스템들 사이에 개재되고, 프로세스 섹션 사이에서 레지스트 코팅 웨이퍼들을 전달하도록 구성된다. 하나 이상의 광 노출 시스템들은, 회로 또는 컴포넌트의 이미지를 마스크로부터 또는 웨이퍼 표면상의 레지스트로 전사하는 포토리소그래피 도구와 같은 레지스트 패터닝 시스템을 포함할 수 있다.
코팅/현상 프로세싱 시스템(1)은 패터닝된 웨이퍼들상의 테스트 영역들로부터 CD 계측 데이터를 획득하기 위한 CD 계측 시스템도 포함한다. CD 계측 시스템은 프로세싱 시스템(1)내에, 예를 들어, 다중-스테이지 프로세스 유닛 그룹들(31, 32, 33, 34, 35) 중 하나에 배치될 수 있다. CD 계측 시스템은, ODP(optical digital profilometry) 시스템과 같은, 광 산란 시스템일 수 있다.
ODP 시스템은 Therma-Wave, Inc.(1250 Reliance Way, Fremont, CA 94539) 또는 Nanometrics, Inc.(1550 Buckeye Drive, Milpitas, CA 95035)로부터 구입 가능한, 빔 프로파일 타원편광분석기(ellipsometry;ellipsometer) 및 빔 프로파일 반사측정기(reflectometry;reflectometer)를 포함하는, 산란측정기를 포함할 수 있다. ODP 소프트웨어는 Timbre Technologies Inc.(2953 Bunker Hill Lane, Santa Clara, CA 95054)로부터 입수 가능하다.
산란측정과 같은, 광학 계측을 수행할 때, 반도체 웨이퍼 또는 평판 패널과 같은, 기판상의 구조는 EM 방사(electromagnetic radiation)로써 조 사(illumination)되고, 구조로부터 수신되는 회절 신호는 구조의 프로파일을 재구성하는데 이용된다. 구조는 주기적 구조 또는 비-주기적 구조를 포함할 수 있다. 추가적으로, 구조는 기판상에 동작 구조(operating structure)(즉, 비아(via) 또는 접점 홀(contact hole), 상호 접속 라인 또는 트렌치, 또는 그것과 연관된 마스크층에 형성된 사양)를 포함할 수 있거나, 구조는 기판상에 형성된 동작 구조와 인접하게 형성된 주기적 격자(periodic grating) 또는 비주기적 격자를 포함할 수도 있다. 예를 들어, 주기적 격자는 기판상에 형성된 트랜지스터에 인접하게 형성될 수 있다. 다른 방법으로, 주기적 격자는, 트랜지스터의 동작과 간섭하지 않는, 트랜지스터의 면적에 형성될 수도 있다. 주기적 격자의 프로파일은, 주기적 격자 및 나아가 주기적 격자에 인접한 동작 구조가 설계 명세들에 따라 가공되었는지의 여부를 판정하기 위해 획득된다.
여전히 도 1 내지 도 3을 참조하면, 복수개 돌기부들(20a)이 카세트 테이블(20)에 형성된다. 복수개 카세트들(13)은 이 돌기부들(20a)에 의해 프로세스 섹션(11)에 관하여 각각 배향된다. 카세트 테이블(20)에 탑재된 카세트들(13) 각각은 프로세스 섹션(11)과 대면하는 로드/언로드 개구부(9)를 가진다.
로드/언로드 섹션(10)은, 각각의 카세트(13)로/각각의 카세트(13)로부터 웨이퍼(W)를 로드/언로드하는 것을 책임지는 제1 서브-암(sub-arm) 메커니즘(21)을 포함한다. 제1 서브-암 메커니즘(21)은 웨이퍼(14)를 홀딩하기 위한 홀더 부분, 홀더 부분을 좌우로 이동시키기 위한 (도시되어 있지 않은) 좌우 이동 메커니즘, 홀더 부분을 X-축 방향으로 이동시키기 위한 (도시되어 있지 않은) X-축 이동 메커 니즘, 홀더 부분을 Z-축 방향으로 이동시키기 위한 (도시되어 있지 않은) Z-축 이동 메커니즘, 및 홀더 부분을 Z-축 주위에서 회전시키기 위한 (도시되어 있지 않은) θ 회전 메커니즘을 가진다. 제1 서브-암 메커니즘(21)은, 다음에서 부연되는 바와 같이, 제3(G3) 프로세스 유닛 그룹(33)에 속하는 정렬 유닛(ALIM;41) 및 확장 유닛(EXT;42)에 액세스할 수 있다.
특히 도 3을 참조하면, 메인 암 메커니즘(22)이 프로세스 섹션(11)의 중앙에 리프트 가능하게(liftably) 정렬된다. 프로세스 유닛들(G1-G5)은 메인 암 메커니즘(22;main arm mechanism) 주위에 정렬된다. 메인 암 메커니즘(22)은 원통형 지지 바디(49)내에 정렬되고, 리프트 가능한 웨이퍼 수송 시스템(46)을 가진다. 원통형 지지 바디(49)는 (도시되어 있지 않은) 모터의 구동축(driving shaft)에 접속된다. 드라이빙 샤프트는 웨이퍼 수송 시스템(46)과 동기하여 θ의 각도만큼 Z-축 주위로 회전될 수 있다. 웨이퍼 수송 시스템(46)은 이송 베이스 테이블(47)의 앞뒤 방향으로 이동 가능한 복수개 홀더 부분들(48)을 가진다.
제1(G1) 및 제2(G2) 프로세스 유닛 그룹들(31, 32)에 속하는 유닛들은 코팅/현상 프로세싱 시스템(1)의 전면부(2)에 정렬된다. 제3(G3) 프로세스 유닛 그룹(33)에 속하는 유닛들은 로드/언로드 섹션(10) 옆에 정렬된다. 제4(G4) 프로세스 유닛 그룹(34)에 속하는 유닛들은 인터페이스 섹션(12) 옆에 정렬된다. 제5(G5) 프로세스 유닛 그룹(35)에 속하는 유닛들은 프로세싱 시스템(1)의 뒷부분(3)에 정렬된다.
도 2를 참조하면, 제1(G1) 프로세스 유닛 그룹(31)은 컵(CP;38)내의 (도시되 어 있지 않은) 스핀 척(spin chuck)상에 탑재된 웨이퍼(14)에 소정 처리를 적용하기 위한 2개의 스피너형(spinner-type) 프로세스 유닛들을 가진다. 제1(G1) 프로세스 유닛 그룹(31)에서, 예를 들어, 레지스트 코팅 유닛(COT;36) 및 현상 유닛(DEV;37)은 바닥으로부터 순차적으로 2개의 스테이지들로 적층된다. 제2 (G2) 프로세스 유닛 그룹(32)에서, 레지스트 코팅 유닛(COT;36) 및 현상 유닛(DEV;37)과 같은 2개의 스피너형 프로세스 유닛들은 바닥으로부터 순차적으로 2개의 스테이지들로 적층된다. 예시적 실시예에서, 레지스트 코팅 유닛(COT;36)은 현상 유닛(DEV;37)보다 좀더 낮은 스테이지에 고정되는데, 레지스트 폐기 용액이 현상 폐기 용액보다 배출하기가 좀더 어렵다는 이유로 인해, 레지스트 폐기 용액을 위한 (도시되어 있지 않은) 배출 라인이 현상 폐기 용액보다 짧은 것이 바람직스럽기 때문이다. 그러나, 필요하다면, 레지스트 코팅 유닛(COT;36)이 현상 유닛(DEV;37)에 관하여 좀더 높은 스테이지에 정렬될 수도 있다.
도 3을 참조하면, 제3(G3) 프로세스 유닛 그룹(33)은 바닥으로부터 순차적으로 적층된 냉각 유닛(COL;39), 정렬 유닛(ALIM;41), 확장 유닛(EXT;42), 부착 유닛(AD;40), 2개의 프리베이킹 유닛들(PREBAKE;43), 및 2개의 포스트베이킹 유닛들(POBAKE;44)을 가진다.
마찬가지로, 제4(G4) 프로세스 유닛 그룹(34)은 바닥으로부터 순차적으로 적층된 냉각 유닛(COL;39), 확장 냉각 유닛(EXTCOL;45), 확장 유닛(EXT;42), 다른 냉각 유닛(COL;39), 2개의 프리베이킹 유닛들(PREBAKE;43), 및 2개의 포스트베이킹 유닛들(POBAKE;44)을 가진다. 2개의 프리베이킹 유닛들(43)과 2개의 포스트베이킹 유닛들(44)만이 도시되어 있지만, G3 및 G4는 임의 갯수의 프리베이킹 유닛들(43) 및 포스트베이킹 유닛들(44)을 포함할 수도 있다. 더 나아가, 프리베이킹 유닛들(43) 및 포스트베이킹 유닛들(44) 중 어느 하나 또는 전부가 노광후굽기(PEB), 도포후굽기(PAB; post application bake), 및 현상후굽기(PDB; post developing bake) 프로세스들을 수행하도록 구성될 수도 있다.
예시적 실시예에서, 낮은 프로세싱 온도들에서 동작될, 냉각 유닛(COL;39) 및 확장 냉각 유닛(EXTCOL;45)은 좀더 낮은 스테이지들에 정렬되고, 높은 온도들에서 동작될, 프리베이킹 유닛(PREBAKE;43), 포스트베이킹 유닛(POBAKE;44), 및 부착 유닛(AD;40)은 좀더 높은 스테이지들에 정렬된다. 이러한 정렬에 의해, 유닛들 사이의 열적 간섭(thermal interference)이 감소될 수 있다. 다른 방법으로, 이 유닛들은 상이한 정렬들을 가질 수도 있다.
인터페이스 섹션(12)의 앞쪽에는, 이동 가능한 픽업 카세트(PCR;15) 및 이동 불가능한 버퍼 카세트(BR;16)가 2개 스테이지들로 정렬된다. 인터페이스 섹션(12)의 뒤쪽에는, 주변 광 노출 시스템(23)이 정렬된다. 주변 광 노출 시스템(23)은 리소그래피 도구를 포함할 수 있다. 다른 방법으로, 리소그래피 도구 및 ODP 시스템은 코팅/현상 프로세싱 시스템(1)에 대해 원격적일 수도 있고, 협력하여 코팅/현상 프로세싱 시스템(1)에 커플링될 수도 있다. 인퍼페이스 섹션(12)의 중앙부에서는, X 및 Z 방향들로 독립적으로 이동 가능한 그리고 양자의 카세트들(PCR;15 및 BR;16) 및 주변 광 노출 시스템(23)에 액세스할 수 있는 제2 서브-암 메커니즘(24)이 제공된다. 또한, 제2 서브-암 메커니즘(24)은 θ의 각도만큼 Z-축 주위로 회전 가능하고, 제4(G4) 프로세싱 유닛(34)에 배치된 확장 유닛(EXT;42) 뿐만 아니라 (도시되어 있지 않은) 원격 광 노출 시스템 부근의 (도시되어 있지 않은) 웨이퍼 이송 테이블에도 액세스 할 수 있도록 설계된다.
프로세싱 시스템(1)에서, 제5(G5) 프로세싱 유닛 그룹(35)은 메인 암 메커니즘(22) 뒤쪽의 후면부(3)에 정렬될 수 있다. 제5(G5) 프로세싱 유닛 그룹(35)은 가이드 레일(25)을 따라 Y-축 방향으로 슬라이드 가능하게 시프트될 수 있다. 제5(G5) 프로세싱 유닛 그룹(35)이 언급된 바와 같이 시프트될 수 있으므로, 뒤쪽으로부터 용이하게 메인 암 메커니즘(22)에 유지 보수 동작이 적용될 수 있다.
프리베이킹 유닛(PREBAKE;43), 포스트베이킹 유닛(POBAKE;44), 및 부착 유닛(AD;40)은 각각, 웨이퍼들(14)이 실온 이상으로 가열되는 열처리 시스템을 구비한다. 도 4 및 도 5를 참조하면, 각각의 열처리 시스템(51)은 프로세싱 챔버(50), 핫플레이트(58), 및 핫플레이트(58)에 매입된 (도시되어 있지 않은) 저항 가열기를 포함한다.
핫플레이트(58)는 복수개 쓰루-홀들(60;through-holes) 및 쓰루-홀들(60)에 삽입된 복수개 리프트 핀들(62;lift pins)을 가진다. 리프트 핀들(62)은 암(80)에 접속되어 암(80)에 의해 지지되고, 암(80)은 리프트 가능한 수직 실린더(84)의 로드(84a)에 부가적으로 접속되어 그것에 의해 지지된다. 로드(84a)가 수직 실린더(84)로부터 돌출하도록 시동될 때, 핫플레이트(58)로부터 리프트 핀들(62)이 돌출함으로써, 웨이퍼(14)를 리프트한다.
계속해서 도 4 및 도 5를 참조하면, 프로세싱 챔버(50)는 측벽(52), 수평 차 폐 플레이트(55), 및 커버(68)에 의해 정의된다. 개구부들(50A, 50B)이, 각각, (메인 암 메커니즘(22)의 한쪽 옆인) 앞쪽면 옆에 그리고 프로세싱 챔버(50)의 뒤쪽면 옆에 형성된다. 웨이퍼(14)는 개구부들(50A, 50B)을 통해 프로세싱 챔버(50)로 로드되고 프로세싱 챔버(50)로부터 언로드된다. 원형 개구부(56)가 수평 차폐 플레이트(55)의 중앙에 형성된다. 핫플레이트(58)가 개구부(56)에 수용된다. 핫플레이트(58)는 지지 플레이트(76)의 도움으로 수평 차폐 플레이트(55)에 의해 지지된다.
고리형 셔터(66;ring-form shutter)가 핫플레이트(58)의 바깥쪽 주변부에 부착된다. 셔터(66)의 주변부를 따라 2도의 중심각 구간들에서 공기 홀들(64)이 형성된다. 공기 홀들(64)은 (도시되어 있지 않은) 냉각 가스 공급 소스와 소통된다.
셔터(66)는 셔터 암(78)을 경유하여 실린더(82)에 의해 리프트 가능하게 지지된다. 셔터(66)가 비-동작시에는 핫플레이트(58)보다 낮은 위치에 배치되지만, 동작시에는, 셔터(66)가, 핫플레이트(58)보다 높은, 핫플레이트(58)와 커버(68) 사이의 위치로 리프트업된다. 셔터(66)가 리프트업될 때, 질소 가스 또는 공기와 같은, 냉각 가스가 공기 홀들(64)로부터 배출된다.
도 4를 참조하면, 커버(68) 중앙의 배출 포트(68a)는 배출 파이프(70)와 소통된다. 열처리 검출 온도 시점에서 웨이퍼(14)의 표면으로부터 발생된 가스는 배출 포트(68a)를 통해 배출되어 프로세싱 챔버(50)로부터 배출 파이프(70)를 경유하여 (도시되어 있지 않은) 소개 유닛(evacuation unit)으로 배기(ventilation)된다.
도 4 및 도 5를 참조하면, 수평 차폐 플레이트(55), 2개의 측벽들(53), 및 수평 차폐 플레이트(55) 아래에 형성된 바닥 플레이트(72)에 의해 격실(74;compartment)가 정의된다. 핫플레이트 지지 플레이트(76), 셔터 암(78), 리프트 핀 암(80), 및 리프트 가능한 실린더들(82, 84)이 격실(74)에 정렬된다.
도 5를 참조하면, 웨이퍼(14)를 정확하게 배치하기 위해 복수개 돌기부들(86)이 핫플레이트(58)의 상부면에 형성된다. 또한, (도시되어 있지 않은) 복수개의 좀더 작은 돌기부들이 핫플레이트(58)의 상부면에 형성된다. 웨이퍼(14)가 핫플레이트(58)에 탑재될 때, 이들 좀더 작은 돌기부들의 상단부들이 웨이퍼(14)와 접촉하여, 웨이퍼(14)와 핫플레이트(58) 사이에 작은 갭을 발생시킴으로써 웨이퍼(14)의 하부 표면이 변형되고 손상되는 것을 방지한다.
도 6을 참조하면, 발명의 실시예에 따른 열처리 시스템(600)은 제어기(610), 배기 시스템(615), 및 핫플레이트(620)를 포함한다. 핫플레이트(620)는 가열기(625), 센서(630), 및 웨이퍼 지지 핀들(635)을 포함한다. 웨이퍼(690)는 웨이퍼 지지 핀들(635)을 사용해 핫플레이트(620)에 배치될 수 있다.
핫플레이트(620)는 원형의 형태를 가질 수도 있고 (도시되어 있지 않은) 다수 세그먼트들을 구비할 수도 있다. 또한, 가열기(625)는 (도시되어 있지 않은) 다수의 가열 소자들을 구비할 수도 있다. 예를 들어, 가열 소자는 핫플레이트(620)의 각 세그먼트내에 배치될 수 있다. 다른 실시예에서, 핫플레이트(620)는, 가열 소자가 아니라, 냉각 소자 및/또는 조합된 가열/냉각 소자를 포함할 수도 있다.
핫플레이트(620)는, 물리적 센서 및/또는 가상 센서일 수 있는 센서(630)를 포함할 수 있다. 예를 들어, 센서(630)는 각각의 핫플레이트 세그먼트내에 배치된 온도 센서일 수 있다. 또한, 센서(630)는 하나 이상의 압력 센서를 포함할 수도 있다. 가열기(625) 및 센서(630)에 제어기(610)가 커플링될 수 있다. 물리적 온도 센서들(630)의 다양한 유형들이 사용될 수 있다. 예를 들어, 센서들(630)은 써머커플(thermocouple), 온도-지시 저항기, 방사형(radiation type) 온도 센서 등을 포함할 수 있다. 다른 물리적 센서들(630)은 접촉형 센서들 및 비접촉 센서들을 포함한다.
열처리 시스템(600)은, 도입 웨이퍼를 위한 정보를 열처리 시스템(600)에 제공할 수 있는 프로세싱 시스템 제어기(680)에 커플링될 수 있다. 데이터는 웨이퍼 정보, 층 정보(layer information), 프로세스 정보, 및 계측 정보를 포함할 수 있다. 웨이퍼 정보는 조성 데이터(composition data), 사이즈 데이터, 두께 데이터, 및 온도 데이터를 포함할 수 있다. 층 정보는 층들의 수, 층들의 조성, 및 층들의 두께를 포함할 수 있다. 프로세스 정보는 선행 단계들 및 현재 단계에 관한 데이터를 포함할 수 있다. 계측 정보는, CD 데이터, 프로파일 데이터, 및 균질성 데이터와 같은, 광학 디지털 프로파일 데이터 및, 굴절률(n) 데이터 및 소광 계수(k) 데이터와 같은, 광학 데이터를 포함할 수 있다. 예를 들어, CD 데이터 및 프로파일 데이터는 하나 이상 층들의 사양들 및 개구 면적들을 위한 정보를 포함할 수 있고, 균질성 데이터를 포함할 수도 있다.
제어기(610)는 복수개 핫플레이트 세그먼트들(온도 제어 구역들) 각각의 온도를 제어하여, 핫플레이트 표면을 위한 온도 프로파일을 확립할 수 있다. 제어 기(610)는 도 13에 묘사된 CD 최적화 시스템(1300:CD optimizer system)으로부터, 열처리된 웨이퍼들로부터 수신된 CD 계측 데이터에 기초해 복수개 핫플레이트 세그먼트들의 온도를 조정하기 위한 명령어들을 수신할 수 있다. CD 최적화 시스템(1300)은 프로세싱 시스템 제어기(680)에 포함될 수 있거나, 제어기(610)에 포함될 수도 있다. 복수개 핫플레이트 세그먼트들의 온도를 조정하는 것은, 추가의 레지스트 코팅 제조 웨이퍼들을 열처리하기 위한 핫플레이트 표면의 조정된 온도 프로파일을 확립한다.
제어기(610)는 마이크로프로세서, 메모리(예를 들어, 휘발성 및/또는 비휘발성 메모리), 및 디지털 I/O 포트를 구비할 수 있다. 메모리에 저장된 프로그램은 열처리 시스템의 앞서 언급된 컴포넌트들을 프로세스 레시피에 따라 제어하는데 이용될 수 있다. 제어기(610)는 프로세스 데이터를 분석하고, 프로세스 데이터를 목표 프로세스 데이터와 비교하며, 비교를 사용해 프로세스를 변경하도록 그리고/또는 프로세싱 시스템 컴포넌트들을 제어하도록 구성될 수 있다.
핫플레이트(620) 주위에 배기 시스템(615)이 제공된다. 공기 또는 질소 가스가 배기 시스템(615)에 의해 핫플레이트(620)의 하나 이상의 표면들에 제공될 수 있다. 예를 들어, 셔터(66) 및 공기 홀들(64)(도 5)이 사용될 수 있다. 배기 시스템(615)은 상류 부문의 (도시되어 있지 않은) 가스 공급 소스와 소통될 수 있다. 제어기(610)는 배기 시스템(615)에서 흘러 나오는 가스의 유속을 제어할 수 있다. 다른 실시예에서, 열처리 시스템(600)은, 예를 들어, 웨이퍼의 광학 모니터링을 허용하는 (도시되어 있지 않은) 모니터링 디바이스를 포함할 수도 있다.
도 7a 및 도 7b는 발명의 실시예에 따른 핫플레이트들의 예시적인 개략도들을 나타낸다. 도 7a에서, 원형 핫플레이트(620)는 원형 세그먼트(710) 및 복수개의 고리형 링 세그먼트들(720, 730, 740, 750, 및 760)을 가진다. 핫플레이트(620)는, 임의의 적당한 기하 구조적 정렬 및/또는 치수들을 가질 수 있는 임의 갯수의 세그먼트들을 포함할 수 있다. 예를 들어, 고리형 링 세그먼트들은 핫플레이트 중심선에 관하여 상이한 방사상 치수들(radial dimensions)을 가질 수도 있다. 예시된 실시예에서, 각각의 세그먼트(710, 720, 730, 740, 750, 및 760)는, 각각이 독립적으로 제어될 수도 있는 복수개 가열 소자들(715, 725, 735, 745, 755, 및 765) 중 대응되는 하나를 포함한다.
도 7b를 참조하면, 원형 핫플레이트(620a)는 원형의 중심 세그먼트(769) 및 복수개 섹터들(770, 775, 780, 785)을 가진다. 도 7b에는 동일한 방사상 치수의 세그먼트들(A, B, C, D)이 도시되어 있지만, 이것이 발명을 위해 요구되는 것은 아니다. 핫플레이트(620a)는, 임의의 적당한 기하 구조적 정렬 및/또는 치수들을 가질 수 있는 임의 갯수의 섹터들 및 세그먼트들을 포함할 수도 있다. 예시된 실시예에서, 섹터들(770, 775, 780, 785)의 개개 세그먼트들(A, B, C, 및 D) 및 중앙 세그먼트(769)는, 각각이 독립적으로 제어될 수도 있는 복수개 가열 소자들(771) 중 하나 이상을 각각 포함한다.
도 8은, 본 발명의 실시예에 따른, 복수개, 예를 들어, 25개의 정사각형 세그먼트들(810)을 가진 다른 핫플레이트(620b)의 개략도를 나타낸다. 핫플레이트(620b)는 상이한 갯수의 세그먼트들(810)을 구비할 수도 있고, 세그먼트들(810) 은 상이한 형태일 수도 있다. 예를 들어, 직사각형 형태가 사용될 수도 있다. 예시된 실시예에서, 핫플레이트(620b)의 각 세그먼트(810)는 가열 소자(820)를 포함하고, 각각의 가열 소자(820)는 독립적으로 제어될 수도 있다.
다른 방법으로, 핫플레이트들(620 및 620a-b) 중 어떤 것이든 하나 이상의 공동(hollow) 및 하나 이상의 리세스(recess)를 가진 쟈켓 형태로 구성될 수도 있다. 웨이퍼(690;도 6)는, 액체(열 매체;heat medium)를 포함하고 있는 하나 이상의 리세스들에 가열기 또는 (도시되어 있지 않은) 열 파이프를 삽입하는 것에 의해서와 같이, 열 매체를 리세스들로 순환시키는 것에 의해 가열될 수도 있다. 다른 방법으로, 핫플레이트는, 리세스들 중 하나 이상에서 열 매체로 열을 적용하는 것에 의해 열 매체로부터 발생되는 증기로 하나 이상의 공동이 채워지게 하는 것에 의해, 소정 열처리 온도로 가열될 수도 있다.
도 9는 발명의 실시예들에 따라 레지스트 코팅 제조 웨이퍼를 패터닝하는 방법을 위한 간략화된 프로세스 흐름도이다. 패터닝 프로세스는, 웨이퍼의 부분들을 레지스트로써 커버하는 패턴을 발생시킨다. 예를 들어, 포토리소그래피 프로세스 동안, 복잡한 회로 패턴들이 리소그래피 도구에 의해 감광 레지스트 재료(photosensitive resist material)상으로 이미징(imaging)되어, 반도체 디바이스들을 형성하기 위한 웨이퍼의 추가 프로세싱 동안 물리적 배리어(physical barrier)를 제공한다. 추가 프로세싱 동안, 리소그래피 패턴은, 레지스트에 의해 커버되지 않은 웨이퍼 재료의 선택적인 제거를 포함하는 에칭 프로세스(예를 들어, 플라즈마 에칭 프로세스)에 의해 하부 웨이퍼 또는 웨이퍼층들로 전사될 수 있다.
프로세스(900)는, 발명의 실시예들이 적용될 수 있는 통상적인 프로세스를 표현한다. 도 1 내지 도 3과 함께 도 13을 참조해, 910에서 시작하면, 프로세싱 시스템, 예를 들어, 도 1 내지 도 3에서 묘사된 코팅/현상 프로세싱 시스템(1)에 웨이퍼가 제공된다.
920에서는, 웨이퍼에 레지스트가 도포된다. 예를 들어, 레지스트 재료는, 웨이퍼가 (도시되어 있지 않은) 컵을 구비한 (도시되어 있지 않은) 스핀 척에 탑재되어 있는 동안, 레지스트 재료를 포함하는 액체를 웨이퍼상에 살포하는 것에 의해 도포될 수 있다. 예를 들어, 레지스트는 화학 증폭형 레지스트(CAR; chemically amplified resist)일 수 있다. 화학 증폭형 레지스트는 산 성분, 급냉된 성분(quenched component), 및 반응 억제 소광제(inhibitor quencher)에 의해 특징지워질 수 있다. 일례에서는, 레지스트 재료가 도포되기 전에, 웨이퍼에 부착층 또는 계면활성제층(surfactant layer)이 제공될 수 있다.
DUV(deep ultraviolet) 방사의 낮은 스펙트럼 에너지 때문에, 화학 증폭형 레지스트들은 노출 프로세스를 향상시키도록 현상되었다. 화학 증폭형 레지스트는, 현상액에 용해되지 않는 하나 이상의 성분들을 포함한다. 이 성분들은 화학적 보호제들(chemical protectors)을 구비할 수 있다. 화학 증폭형 레지스트는 광산 발생제(PAG; photoacid generator)도 포함할 수 있다. 방사 노출 단계 동안, 광산 발생제들은 패터닝 프로세스를 위한 산 분자들(acid molecules)을 발생시킨다. 바람직스럽게도, 산 분자들은, 노광후굽기(post exposure bake)가 수행될 때까지, 비활성 상태를 유지한다. 노광후굽기는, 열 에너지로 인해 산이 화학적 보호제들과 반응하게 하는 탈보호 반응(de-protection reaction)을 진행시킨다.
930에서는, 도포된 레지스트를 경화하기 위해 코팅/현상 프로세싱 시스템(1)에서 도포후굽기(PAB; post application bake)가 수행될 수 있다. 다른 실시예에서는, 경화 단계가 요구되지 않는다. 또한, PAB 이후에 냉각 단계가 수행될 수 있다. 도포후굽기 가열 유닛에서, 레지스트는 적어도 실온보다 높은 온도들로 가열될 수 있고, 냉각 유닛에서, 레지스트는 실온 이하의 온도들로 냉각될 수 있다.
940에서, 레지스트는 광 산란 또는, 전자들과 같은, 하전 입자들을 사용해 리소그래피 도구(23A)에서 패터닝된다. 예를 들어, 고에너지 전자들의 빔들 또는 레이저 빔들의 어레이들과 패턴의 사이즈 및 형태를 정의하는 마스크를 사용해 레지스트상에 소정 패턴이 생성될 수 있다. 예를 들어, DUV(deep ultraviolet)가 사용될 수 있다. DUV 리소그래피는, 0.25 미크론(미크론 = 10-6 m) 이하의 사양들을 갖춘 반도체 디바이스들을 제조하는데 사용될 수 있는 핵심 구현 기술(key enabling technology)이다.
다른 경우들에서는, 0.05 미크론 미만의 임계 치수들을 위해 EUV(extreme ultraviolet) 소스들이 사용될 수 있다. EUV 리소그래피는 약 5nm 내지 50nm 범위의 파장들을 가진 광을 이용하는데, 약 13nm가 가장 흔히 사용된다.
940에서, 레지스트 패턴은 소정 조사선량(exposure dose)을 실현하기 위해 소정 시주기 동안 광 방사 또는 하전 입자들에 노출된다. 조사선량은, 리소그래피 도구(23A)에 의한 노출시에 레지스트에 가해지는 (단위 면적당) 에너지량을 의미한 다. 광학 리소그래피의 경우, 조사선량은 광도(light intensity) * 노출 시간과 동일하다. 레지스트 패터닝에서, 해상도는, 충분한 품질로 (예를 들어, 소정 프로세스 및 프로세싱 시스템을 위해) 인쇄될 수 있는 최소 사양이다. 초점 및 조사선량을 프로세스 변수들로서 사용하는 것이 일반적이므로, 해상도는 초점의 특정 깊이로써 인쇄될 수 있는 소정 유형의 최소 사양으로서 정의된다. 사양의 초점 깊이는 흔히, 소정 사양의 레지스트 프로파일을 특정 노출 범위에 걸쳐 모든 설계 명세들(예를 들어, 선폭, 측벽 각도, 레지스트 손실)내에서 추적할 수 있는 초점 범위로서 정의된다.
리소그래피 도구(23A)는 패터닝된 웨이퍼를 가로질러 조사선량 및 초점을 제어하기 위한 (도시되어 있지 않은) 제어기를 포함할 수 있다. 제어기는 CD 최적화 시스템(1300)으로부터, 패터닝된 웨이퍼들로부터 수신된 CD 계측 데이터에 기초해 조사선량 및 초점을 조정하기 위한 명령어들을 수신할 수도 있다. 리소그래피 도구(23A)의 조사선량 및 초점을 조정하는 것은 웨이퍼를 가로질러, 추가의 레지스트 코팅 제조 웨이퍼들을 패터닝하기 위한 조정된 조사선량 및 초점 설정들을 확립한다.
950에서는, 탈보호 반응을 진행시키기 위해 코팅/현상 프로세싱 시스템(1)에서 노광후굽기 프로세스가 수행될 수 있다. 탈보호 반응은 산 유도적이고 방사에 또는 하전 입자들에 노출된 면적들에서 발생한다. 또한, 노광후굽기 후에 냉각 단계가 수행될 수 있다. 노광후굽기 프로세스에서, 레지스트는 적어도 실온보다 높은 온도들로 가열될 수 있고, 냉각 유닛에서, 레지스트는 실온 이하의 온도들로 냉 각될 수 있다.
노광후굽기 프로세스는 프로세스(900)에서 중요한 역할을 한다. 레지스트를 열처리하는 것은, 레지스트 재료로부터 용매를 제거하는 것에서부터 화학적 증폭을 촉진하는 것에 이르기까지 많은 목적들을 가질 수 있다. 의도된 결과들 이외에, 열처리는 여러가지 문제점들을 발생시킬 수 있다. 예를 들어, 레지스트의 광 또는 하전 입자에 민감한 성분은 용매를 제거하는데 통상적으로 사용되는 온도들에서 분해될 수도 있는데, 나머지 용매 내용물이 확산 및 증폭 속도들에 강한 영향을 미치므로, 화학 증폭형 레지스트(chemically amplified resist)의 경우, 이것이 상당히 심각한 문제이다. 또한, 열처리는 레지스트의 용해 특성들에 영향을 미침으로써, 현상된 레지스트 프로파일에 직접적인 영향을 미칠 수 있다. 많은 레지스트들이, 노광후굽기와 같은, 열처리 동안의 온도 변화들에 특히 민감하고 온도 변화들은, 웨이퍼 표면을 가로질러 그리고 상이한 핫플레이트들 사이에서, CD들에서의 변화들을 초래할 수 있다.
960에서, 레지스트는, 레지스트의 노출된 면적들을 선택적으로 용해시키는 것에 의해, 코팅/현상 프로세싱 시스템(1)에서 현상된다. 예를 들어, TMAH(tetramethyl ammonium hydroxide)의 2.3 wt% 용액과 같은, 현상액이 사용될 수 있다. 또한, 세정 단계들(rinsing steps)도 수행될 수 있다. 예를 들어, 현상액 및/또는 세정액은 (도시되어 있지 않은) 컵내의 (도시되어 있지 않은) 스핀 척에 웨이퍼를 탑재하는 것에 의해 도포될 수 있다.
970에서는, 하부 웨이퍼 또는 웨이퍼층들로의 후속적인 패턴 전사를 위한 준 비로서 레지스트 패턴을 경화시키기 위해, 코팅/현상 프로세싱 시스템(1)에서 현상후굽기(PDB; post development bake)가 수행될 수 있다. 예를 들어, 현상후굽기(PDB)는, 하부 웨이퍼의 플라즈마 에칭 동안, 패터닝된 레지스트의 에칭 저항을 개선시킬 수 있다.
패터닝된 레지스트의 형성에 수반하여, 그것이 정확하게 제조되었는지를 판정하기 위해, 웨이퍼상의 복수개 테스트 영역들에서 광 산란 시스템(23B)에 의해, 패터닝된 레지스트의 CD가 검사될 수 있다. CD는 흔히 레지스트에 형성된 사양의 사이즈(폭)를 의미한다. 웨이퍼들의 프로세싱을 위한 핵심 요구 사항들은, 웨이퍼내에서 뿐만 아니라 웨이퍼-대-웨이퍼 양자에서의, 엄격한 CD 제어, 엄격한 프로파일 제어, 및 엄격한 균질성 제어이다. 예를 들어, CD 측정치들, 프로파일 측정치들, 및 균질성 측정치들에서의 변화들은 흔히 웨이퍼를 가로지르는 온도 프로파일에서의 변화들, 웨이퍼에서 웨이퍼로의 온도 응답에서의 변화들, 및 핫플레이트들 사이의 온도 프로파일들에서의 변화들에 의해 발생된다.
획득되는 CD 계측 데이터는 웨이퍼를 가로질러 검사된 테스트 영역들에서의 패터닝된 레지스트에 대한 CD 및 CD 균질성에 대한 중요한 정보를 포함한다. 검사 프로세스에 의해 획득되는 CD 계측 데이터는, 노광후굽기(PEB) 단계, 도포후굽기(PAB) 단계, 또는 현상후굽기(PDB) 단계와 같은, 열처리 단계 동안의 온도-관련 변화들에서의 변화들로 인한 CD 변화들을 감소시키는데 이용될 수 있다.
광 산란 시스템(23B)으로부터의 CD 계측 데이터는 CD 최적화 시스템(1300)으로 중계될 수 있다. 상술된 조정된 조사선량 및 초점 설정들 이외에, CD 최적화 시스템(1300)은, 후속적으로 열처리되는 웨이퍼들에서의 CD 변화들을 감소시키기 위해, 코팅/현상 프로세싱 시스템(1)의 핫플레이트들에서 열처리될 웨이퍼들을 위한 조정된 온도 프로파일들을 제공할 수 있다. CD 최적화 시스템은 실시간 정정을 위한 열적 모델을 이용해 핫플레이트 표면들의 온도 프로파일을 조정함으로써, 핫플레이트 표면들을 가로지르는 그리고 상이한 핫플레이트들 사이의 온도 프로파일들에서의 변화들로 인한 CD 균질성을 개선할 수 있다. 열처리는, 다중 온도 제어 구역들(핫플레이트 세그먼트들)을 가진 핫플레이트들과 핫플레이트 온도 필드들 및 핫플레이트들을 가로지르는 온도 프로파일들을 조작하기 위한 피드백 및 피드포워드 제어기들을 사용해 수행될 수 있다.
도 10은 발명의 실시예에 따라 레지스트 코팅 제조 웨이퍼들의 열처리 동안 인라인 모니터링하고 제어하기 위한 방법의 간략화된 흐름도이다. 프로세스 흐름(1000)은, 1010에서, 프로세싱 시스템의 복수개 핫플레이트들을 위한 온도 프로파일을 확립하는 단계를 포함한다. 각각의 핫플레이트 표면은 복수개 온도 제어 구역들로 분할될 수 있고, 핫플레이트 각각의 온도 제어 구역들 모두를 위해 사실상 동일한 온도들이 확립될 수도 있다. 다른 방법으로는, 핫플레이트 각각의 온도 제어 구역들 중 하나 이상을 위해 상이한 온도들이 확립될 수도 있다. 발명의 실시예에 따르면, 핫플레이트들을 위해 핫플레이트 온도들을 확립하는 단계는 핫플레이트 각각의 온도 제어 구역 각각을 위한 공지 온도를 사용해 핫플레이트 각각을 위한 제1 온도 프로파일을 확립하는 단계를 포함할 수 있다. 예를 들어, 제1 온도 프로파일은 웨이퍼 및 레지스트의 이 유형을 위한 이력 데이터에 기초해 선택되고 확립될 수 있다.
일 실시예에서는, 하나 이상의 가열기 소자들이 각각의 온도 제어 구역내에 배치된다. 다른 방법으로는, 냉각 소자들이 제공될 수도 있다. 또한, 하나 이상의 온도 센서들이 각각의 온도 제어 구역내에 배치될 수도 있다. 다른 방법으로는, 광학 기술들이 사용되어 온도를 측정할 수도 있다.
1020에서, 레지스트 코팅 제조 웨이퍼들이 핫플레이트들에서 열처리될 수 있는데, 예를 들어, 소정 갯수의 레지스트 코팅 제조 웨이퍼들이 각각의 핫플레이트에서 동시에 열처리될 수 있다. 열처리는, 예를 들어, 도포후굽기 프로세스, 노광후굽기 프로세스, 또는 현상후굽기 프로세스를 포함할 수 있다.
1030에서는, 열처리된 레지스트 코팅 제조 웨이퍼들상의 테스트 영역들로부터 계측 데이터가 획득된다. 일례에서는, CD 계측 데이터가 열처리된 모든 웨이퍼들을 위해 획득될 수 있다. 다른 방법으로는, CD 계측 데이터가 전부보다는 적은 열처리 웨이퍼들을 위해 획득될 수 있다. 발명의 일 실시예에 따르면, CD 계측 데이터는 광 산란 시스템(23B)에 의해 획득될 수 있다. CD 계측 데이터는 동일한 핫플레이트에서 열처리된 웨이퍼들을 위한 평균 CD 데이터 및 웨이퍼내 CD 균질성 데이터를 포함할 수 있다.
1040에서는, CD 계측 데이터로부터 각각의 핫플레이트를 위한 CD 변화들이 판정된다. CD 변화들은 각각의 핫플레이트를 위한 CD 계측 데이터를 소정의 CD 계측 값 또는 범위와 비교하는 것에 의해 판정될 수 있다. 예를 들어, 각각의 핫플레이트를 위한 평균 CD가 소정의 CD 값 또는 범위와 비교될 수 있다. 다른 일례에 서는, 각각의 핫플레이트를 위한 웨이퍼내 CD 균질성이 소정의 웨이퍼내 균질성 값 또는 범위와 비교될 수 있다.
1050에서는, 하나 이상의 핫플레이트들의 온도 프로파일이, CD 변화들을 판정한 이후에 조정된다. 발명의 실시예에 따르면, 온도 프로파일을 조정하는 단계는 하나 이상의 핫플레이트들을 위한 온도 제어 구역 각각을 위해 조정된 공지 온도를 확립하는 단계를 포함할 수 있다. 일례에서는, 전부보다는 적은 핫플레이트들의 온도 프로파일이 조정될 수 있지만, 모든 핫플레이트들의 온도 프로파일이 조정될 수도 있으므로, 이것이 발명의 실시예들을 위해 요구되는 것은 아니다.
일 실시예에 따르면, 각각의 핫플레이트를 위한 CD 계측 데이터 및/또는 CD 변화들은 CD 최적화 시스템(1300)으로 전달될 수 있고, 온도 제어 구역들 및 온도 프로파일들은 CD 최적화 시스템(1300)의 출력에 기초해 조정될 수 있다. CD 최적화 시스템(1300)은 실시간 정정을 위한 열적 모델을 이용해 핫플레이트 표면들의 온도 제어 구역들 및 온도 프로파일을 조정함으로써, 핫플레이트 온도들에서의 변화들 및 핫플레이트 표면들을 가로지르는 온도 프로파일들에서의 변화들로 인한 상이한 핫플레이트들 사이의 평균 CD 및 웨이퍼내 CD 균질성을 개선할 수 있다. CD 최적화 시스템(1300)은, 핫플레이트 표면을 가로지르는 핫플레이트 온도 필드 및 온도 프로파일을 조작하는 전력 제어기들 및 온도 센서들을 사용해 온도 프로파일을 조정하도록 구성된다.
1060에서는, 조정된 핫플레이트 온도가 하나 이상의 핫플레이트들을 위해 확립되었을 때, 추가의 레지스트 코팅 제조 웨이퍼들이 핫플레이트들에서 동시에 열 처리될 수 있다. 발명의 일 실시예에 따르면, 핫플레이트 표면을 가로지르는 온도 프로파일이, 핫플레이트에서 열처리될 웨이퍼 각각 사이에서 조정될 수도 있다. 다른 방법으로는, 핫플레이트 표면을 가로지르는 온도 프로파일이, 핫플레이트들에서 열처리될 소정 갯수의 웨이퍼들 사이에서 조정될 수도 있다.
도 11a 및 도 11b는 상이한 핫플레이트들에서 열처리된 레지스트 코팅 테스트 웨이퍼들 및 레지스트 코팅 제조 웨이퍼들을 위한 평균 CD를 나타낸다. 노광후굽기 프로세싱을 위해 사용된 5개 핫플레이트들을 위한 평균 CD가 도시되는데, 5개 핫플레이트들은 A-E로서 지정된다. 테스트 웨이퍼 각각의 CD 테스트 영역들의 수는 25였고, 제조 웨이퍼 각각의 테스트 영역들의 수는 7이었다. 테스트 웨이퍼 각각의 그리고 제조 웨이퍼 각각의 테스트 영역들을 위한 평균 CD가 계산되었고, 그 결과들은, 도 11a 및 도 11b에서 제시된 핫플레이트 각각을 위한 평균 CD를 추가적으로 계산하는데 사용되었다. 각각의 핫플레이트를 위한 평균 CD 및 95% 신뢰 구간들이 다이아몬드 심볼들을 사용해 도시된다. 도 11a 및 도 11b는 5개 핫플레이트들상의 테스트 웨이퍼들 및 제조 웨이퍼들을 위한 평균 CD들의 유사한 분포들을 나타낸다. 예를 들어, 핫플레이트 B를 위한 평균 CD가 5개 핫플레이트들의 그룹에서 최대이고, 핫플레이트 E를 위한 평균 CD가 그 그룹에서 최소이며, 핫플레이트들 A, C, 및 D 각각을 위한 평균 CD는 도 11a 및 도 11b 모두에서 그룹 평균들(수평의 점선들)에 근접하다. 도 11a와 도 11b의 비교는 부가적으로, 핫플레이트 각각을 위한 95% 신뢰 구간들이 제조 웨이퍼들을 위해 좀더 작다는 것을 나타낸다. 이것은, 테스트 웨이퍼 각각의 테스트 영역들의 수가 제조 웨이퍼 각각의 테스트 영역 들의 수보다 큼에도 불구하고, 테스트 웨이퍼들에 비해 좀더 많은 수의 제조 웨이퍼들이 테스트되었기 때문이다. 예를 들어, 핫플레이트 A에서 열처리된 레지스트 코팅 테스트 웨이퍼들을 위한 평균 CD는 0.25nm의 신뢰 구간에서 89.70이었다. 그에 비해, 핫플레이트 A에서 열처리된 레지스트 코팅 제조 웨이퍼들을 위한 평균 CD는 0.05nm의 신뢰 구간에서 86.40이었다.
도 11a는, 레지스트 코팅 테스트 웨이퍼들의 사용이, 상이한 핫플레이트들 사이의 약 1nm 미만의 평균 CD 변화들을 검출하고 정정하는데는 사용될 수 없다는 것을 나타낸다. 그러나, 도 11b는, 발명의 실시예들에서 설명된 레지스트 코팅 제조 웨이퍼들을 사용하면 약 0.2nm 이하의 평균 CD 변화들을 검출하고 정정할 수 있다는 것을 나타낸다. 평균 CD에서의 약 0.2nm 이하의 차이들은 70nm 미만의 기술 노드들, 예를 들어, 64nm 및 32nm 노드들을 위해 요구될 수 있을 것 같다. 도 11a 및 도 11b에서, 수평 점선들은, 5개 핫플레이트들을 위한 누적된 평균 CD를 나타낸다. 도 11a의 테스트 웨이퍼들을 위한 누적 평균은 약 89.4nm이고, 도 11b의 제조 웨이퍼들을 위한 누적 평균은 약 86.6nm이다. 테스트 웨이퍼들과 제조 웨이퍼들 사이의 누적된 평균 CD들에서의 차이는, 패터닝 동안의 상이한 광 조사선량, 패터닝 동안의 상이한 마스크들의 사용, 및 상이한 웨이퍼 반사율을 포함하는 하나 이상의 팩터들에 기인한다. 이와 같이, 테스트 웨이퍼들과 제조 웨이퍼들 사이의 누적된 평균 CD들에서의 차이는 노광후굽기 프로세싱 조건들 이외의 팩터들에 기인한다.
여전히 도 11a 및 도 11b를 참조하면, 상이한 핫플레이트들에서 열처리된 레 지스트 코팅 웨이퍼들 사이의 평균 CD 변화들은 하나 이상의 핫플레이트들의 온도를 조정하는 것에 의해 감소되고 정정될 수 있다. 예를 들어, 소정 레지스트들의 경우, 핫플레이트에서 열처리된 레지스트 코팅 웨이퍼를 위한 평균 CD는 핫플레이트 온도를 상승(하강)시키는 것에 의해 감소(증가)될 수 있다. 예를 들어, 도 11b에서, 핫플레이트 E를 위한 평균 CD는 핫플레이트의 온도를 낮추는 것에 의해 증가될 수 있고, 핫플레이트 B를 위한 평균 CD는 핫플레이트의 온도를 상승시키는 것에 의해 감소될 수 있다.
도 12a 및 도 12b는 상이한 핫플레이트들에서 열처리된 레지스트 코팅 테스트 웨이퍼들 및 레지스트 코팅 제조 웨이퍼들을 위한 웨이퍼내 CD 균질성을 나타낸다. 도 12a 및 도 12b는, 5개 핫플레이트들 사이의 웨이퍼내 CD 균질성에 상이한 차이가 존재한다는 것을 나타낸다. 그러나, 도 12a 및 도 12b는 5개 핫플레이트들상의 테스트 웨이퍼들 및 제조 웨이퍼들을 위한 유사한 정성 분포들(qualitative distributions)을 나타낸다. 당업자들이라면 쉽게 알 수 있는 바와 같이, 도 12b에서의 5개 핫플레이트들 사이의 CD 균질성에서의 차이는 제조 웨이퍼 각각에서 좀더 많은 수의 테스트 영역들을 사용하는 것에 의해 감소될 수 있다.
발명의 일 실시예에 따르면, 핫플레이트 각각을 위한 CD 계측 데이터는 CD 계측 오류값(fault value)과 비교될 수 있고, 오류값이 초과되면, 정정 동작이 취해질 수 있다. 예를 들어, CD 계측 오류값은, 소정 값과는 크게 상이한 평균 CD 값 또는 웨이퍼내 CD 균질성 값일 수 있다. 오류값들은 핫플레이트를 위한 이력 데이터에 기초할 수 있거나 DOE(disign of experiments)에 의해 판정될 수 있다. 정정 동작이 취해질 것을 요구할 수 있는 오류들은 핫플레이트의 하나 이상의 온도 제어 구역들에 결함이 있는 가열기 또는 써머커플을 포함할 수도 있다. 발명의 실시예들에 따르면, 평균 CD 및 CD 균질성이 그러한 결함 검출을 위해 사용될 수 있다. 일례에서는, 계산된 평균 CD를 평균 CD 오류값과 비교하는 것에 의해, 핫플레이트 결함이 모니터링되고 검출될 수 있다. 다른 일례에서는, 계산된 웨이퍼내 CD 균질성을 웨이퍼내 CD 균질성 오류값과 비교하는 것에 의해, 핫플레이트 결함이 모니터링되고 검출될 수 있다.
다양한 실시예들의 설명에 의해 본 발명이 예시되었고 이 실시예들이 상당히 상세하게 설명되었지만, 출원인들의 의도가, 첨부된 청구항들의 범위를 그러한 세부 사항들로 한정하거나 제한하려는 것은 전혀 아니다. 당업자들이라면, 추가적인 이점들과 변경들을 쉽게 알 수 있을 것이다. 따라서, 보다 광범위한 태양들의 발명이, 도시되고 설명된 특정 세부 사항들, 대표적인 시스템과 방법들, 및 예시적 일례들로 제한되지는 않을 것이다. 따라서, 출원인들의 일반적인 발명 개념의 범위로부터 벗어나지 않으면서, 그러한 세부 사항들에 대한 변경들이 이루어질 수 있다.
도 1은 발명의 실시예들에 따라 사용하기 위한 코팅/현상 시스템의 개략적인 도면의 상면도이고;
도 2는 도 1의 코팅/현상 시스템의 전면도이며;
도 3은, 라인 3-3을 따라 취해진, 도 1의 코팅/현상 시스템의 부분적으로 절단된 후면도이고;
도 4는 도 3의 단일 열처리 시스템의 단면도이며;
도 5는, 라인 5-5로부터 보여지는, 도 4의 열처리 시스템의 평면도이고;
도 6은 발명의 실시예에 따른 열처리 시스템의 핫플레이트의 개략도이며;
도 7a 및 도 7b는 발명의 실시예들에 따른 핫플레이트들의 개략도들이고;
도 8은 발명의 다른 실시예에 따른 핫플레이트의 개략도이며;
도 9는 발명의 실시예에 따라 레지스트 코팅 제조 웨이퍼를 패터닝하는 방법을 위한 간략화된 프로세스 흐름도이고;
도 10은 발명의 실시예에 따라 레지스트 코팅 제조 웨이퍼들의 열처리 동안 인라인 모니터링하고 제어하기 위한 방법의 간략화된 프로세스 흐름도이며;
도 11a 및 도 11b는 상이한 핫플레이트들에서 열처리된 레지스트 코팅 테스트 웨이퍼들 및 레지스트 코팅 제조 웨이퍼들을 위한 평균 CD를 나타내고;
도 12a 및 도 12b는 상이한 핫플레이트들에서 열처리된 레지스트 코팅 테스트 웨이퍼들 및 레지스트 코팅 제조 웨이퍼들을 위한 웨이퍼내의 CD 균질성(within-wafer CD uniformity)을 나타내며;
도 13은 발명의 실시예에 따라 코팅/현상 프로세싱 시스템, 리소그래피 도구, 및 광 산란 시스템에 커플링된 CD 최적화 시스템을 개략적으로 나타낸다.
<도면의 주요 부분에 대한 부호의 설명>
1 : 코팅/현상 프로세싱 시스템
10 : 로드/언로드 섹션
11 : 프로세스 섹션
12 : 인터페이스 섹션
13 : 카세트들
14 : 웨이퍼(들)
20 : 카세트 테이블
31, 32, 33, 34, 35 : 프로세스 유닛 그룹

Claims (19)

  1. 프로세싱 시스템에서 레지스트 코팅 제조 웨이퍼들을 열처리하는 방법으로서,
    상기 프로세싱 시스템의 복수개 핫플레이트들을 각각을 위한 온도 프로파일을 확립하는 단계;
    상기 레지스트 코팅 제조 웨이퍼들을 상기 복수개 핫플레이트들에서 열처리하는 단계;
    상기 열처리된 레지스트 코팅 제조 웨이퍼들의 테스트 영역들로부터 CD 계측 데이터를 획득하는 단계;
    상기 CD 계측 데이터로부터 상기 복수개 핫플레이트들 각각을 위한 CD 변화들을 판정하는 단계;
    상기 CD 변화들을 판정하는 단계 이후에, 상기 복수개 핫플레이트들 중 하나 이상의 상기 온도 프로파일을 조정하는 단계; 및
    상기 조정하는 단계 이후에, 상기 복수개 핫플레이트들에서 추가의 레지스트 코팅 제조 웨이퍼들을 열처리하는 단계를 구비하는 방법.
  2. 제1 항에 있어서,
    상기 복수개 핫플레이트들 각각은 복수개 온도 제어 구역들로 분할되는 방법.
  3. 제2 항에 있어서,
    상기 온도 프로파일을 확립하는 단계는 상기 복수개 핫플레이트들 각각의 상기 복수개 온도 제어 구역들 각각을 위해 공지 온도를 확립하는 단계를 구비하는 방법.
  4. 제3 항에 있어서,
    상기 조정하는 단계는 상기 하나 이상 핫플레이트들의 상기 온도 제어 구역 각각을 위해 조정된 공지 온도를 확립하여 상기 하나 이상 핫플레이트들을 위한 조정된 온도 프로파일을 확립하는 단계를 구비하는 방법.
  5. 제1 항에 있어서,
    상기 열처리하는 단계는 도포후굽기(PAB ;post application bake), 노광후굽기(PEB; post exposure bake), 또는 현상후굽기(PDB; post development bake)를 구비하는 방법.
  6. 제1 항에 있어서,
    상기 판정하는 단계는 상기 CD 계측 데이터를 CD 최적화 시스템으로 전달하여 상기 복수개 핫플레이트들 각각을 위한 CD 변화들을 판정하는 단계를 구비하고, 상기 조정하는 단계는 상기 CD 최적화 시스템의 출력을 사용해 상기 하나 이상 핫 플레이트들의 온도 프로파일을 조정하는 단계를 구비하는 방법.
  7. 제1 항에 있어서,
    상기 획득하는 단계는 광 산란 기술을 사용해 CD 계측 데이터를 획득하는 단계를 구비하는 방법.
  8. 제1 항에 있어서,
    상기 CD 변화들은 평균 CD 데이터, 웨이퍼내의 CD 균질성 데이터, 또는 양자를 구비하는 방법.
  9. 제1 항에 있어서,
    상기 판정하는 단계는 상기 복수개 핫플레이트들 각각을 위한 상기 CD 계측 데이터를 소정의 CD 계측 값 또는 범위와 비교하는 단계를 구비하고, 상기 조정하는 단계는 상기 소정의 CD 계측 값 또는 범위로부터 벗어나는 상기 하나 이상 핫플레이트들의 상기 온도 프로파일을 조정하는 단계를 구비하는 방법.
  10. 제1 항에 있어서,
    상기 판정하는 단계는 상기 복수개 핫플레이트들 각각을 위한 평균 CD를 소정의 CD 값 또는 범위와 비교하는 단계를 구비하고, 상기 조정하는 단계는 상기 소정의 CD 값 또는 범위로부터 벗어나는 상기 하나 이상 핫플레이트들의 상기 온도 프로파일을 조정하는 단계를 구비하는 방법.
  11. 제1 항에 있어서,
    상기 판정하는 단계는 상기 복수개 핫플레이트들 각각을 위한 웨이퍼내 CD 균질성을 소정의 웨이퍼내 CD 균질성 값 또는 범위와 비교하는 단계를 구비하고, 상기 조정하는 단계는 상기 소정의 웨이퍼내 CD 균질성 값 또는 범위로부터 벗어나는 상기 하나 이상 핫플레이트들의 상기 온도 프로파일을 조정하는 단계를 구비하는 방법.
  12. 제1 항에 있어서,
    상기 판정하는 단계는 상기 복수개 핫플레이트들 각각을 위한 상기 CD 계측 데이터를 CD 계측 오류값과 비교하는 단계를 구비하고, 상기 조정하는 단계는 상기 CD 계측 오류값을 초과하는 상기 하나 이상 핫플레이트들의 상기 온도 프로파일을 조정하는 단계를 구비하는 방법.
  13. 프로세싱 시스템에서 레지스트 코팅 제조 웨이퍼들을 열처리하는 방법으로서,
    상기 프로세싱 시스템에서 복수개 핫플레이트들 각각을 위한 온도 프로파일을 확립하는 단계로서, 상기 핫플레이트 각각은 복수개 온도 제어 구역들로 분할되는, 단계;
    상기 복수개 핫플레이트들에서 상기 레지스트 코팅 제조 웨이퍼들을 노광후굽기 프로세스로 열처리하는 단계;
    광 산란 기술을 사용해, 상기 열처리된 레지스트 코팅 제조 웨이퍼들의 테스트 영역들로부터 CD 계측 데이터를 획득하는 단계;
    상기 CD 계측 데이터를 소정의 CD 계측 값 또는 범위와 비교하는 것에 의해, 상기 CD 계측 데이터로부터 상기 복수개 핫플레이트들 각각을 위한 CD 변화들을 판정하는 단계;
    상기 소정의 CD 계측 값 또는 범위로부터 벗어나는 상기 핫플레이트 각각의 상기 온도 프로파일을 조정하여 상기 CD 변화들을 감소시키는 단계; 및
    상기 조정하는 단계 이후에, 상기 핫플레이트들에서 추가의 레지스트 코팅 제조 웨이퍼들을 열처리하는 단계를 구비하는 방법.
  14. 제13 항에 있어서,
    상기 판정하는 단계는 상기 CD 계측 데이터를 CD 최적화 시스템으로 전달하여 상기 복수개 핫플레이트들 각각을 위한 CD 변화들을 판정하는 단계를 구비하고, 상기 조정하는 단계는 상기 CD 최적화 시스템의 출력을 사용해 상기 온도 프로파일을 조정하는 단계를 구비하는 방법.
  15. 제13 항에 있어서,
    상기 조정하는 단계는 벗어나는 상기 핫플레이트 각각의 상기 온도 제어 구 역 각각을 위해 조정된 공지 온도를 확립하여 상기 벗어나는 핫플레이트 각각을 위한 조정된 온도 프로파일을 확립하는 단계를 구비하는 방법.
  16. 제13 항에 있어서,
    상기 CD 계측 데이터는 평균 CD 데이터, 웨이퍼내 CD 균질성 데이터, 또는 양자를 구비하는 방법.
  17. 제13 항에 있어서,
    상기 판정하는 단계는 상기 복수개 핫플레이트들 각각을 위한 평균 CD를 소정의 CD 값 또는 범위와 비교하는 단계를 구비하고, 상기 조정하는 단계는 상기 소정의 CD 값 또는 범위로부터 벗어나는 상기 핫플레이트 각각의 상기 온도 프로파일을 조정하는 단계를 구비하는 방법.
  18. 제13 항에 있어서,
    상기 판정하는 단계는 상기 복수개 핫플레이트들 각각을 위한 웨이퍼내 CD 균질성을 소정의 웨이퍼내 CD 균질성 값 또는 범위와 비교하는 단계를 구비하고, 상기 조정하는 단계는 상기 소정의 웨이퍼내 CD 균질성 값 또는 범위로부터 벗어나는 상기 핫플레이트 각각의 상기 온도 프로파일을 조정하는 단계를 구비하는 방법.
  19. 제13 항에 있어서,
    상기 판정하는 단계는 상기 복수개 핫플레이트들 각각을 위한 상기 CD 계측 데이터를 CD 계측 오류값과 비교하는 단계를 구비하고, 상기 조정하는 단계는 상기 CD 계측 오류값을 초과하는 상기 핫플레이트 각각의 상기 온도 프로파일을 조정하는 단계를 구비하는 방법.
KR1020070097600A 2006-09-29 2007-09-27 레지스트 코팅 웨이퍼들의 열처리 동안의 인라인 모니터링및 제어를 위한 방법 KR101404349B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/536,978 US7445446B2 (en) 2006-09-29 2006-09-29 Method for in-line monitoring and controlling in heat-treating of resist coated wafers
US11/536,978 2006-09-29

Publications (2)

Publication Number Publication Date
KR20080029866A true KR20080029866A (ko) 2008-04-03
KR101404349B1 KR101404349B1 (ko) 2014-06-09

Family

ID=39365552

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070097600A KR101404349B1 (ko) 2006-09-29 2007-09-27 레지스트 코팅 웨이퍼들의 열처리 동안의 인라인 모니터링및 제어를 위한 방법

Country Status (4)

Country Link
US (1) US7445446B2 (ko)
JP (1) JP5610664B2 (ko)
KR (1) KR101404349B1 (ko)
TW (1) TW200823608A (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100607364B1 (ko) * 2004-12-28 2006-08-01 동부일렉트로닉스 주식회사 포토리소그라피 공정에 사용되는 노광 후 베이크 장비 및이에 의한 감광막 패턴들의 임계 치수 제어 방법
US7625680B2 (en) * 2006-09-29 2009-12-01 Tokyo Electron Limited Method of real time dynamic CD control
JP2010287856A (ja) * 2009-06-15 2010-12-24 Tokyo Electron Ltd 半導体装置の製造方法及び半導体装置の製造装置
US8808788B2 (en) 2010-09-20 2014-08-19 Tokyo Electron Limited Processing a wafer with a post application bake (PAB) procedure
US8546732B2 (en) * 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
CN103999545B (zh) * 2011-08-30 2018-02-06 沃特洛电气制造公司 制造高清晰度加热器系统的方法
US9349660B2 (en) * 2011-12-01 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit manufacturing tool condition monitoring system and method
JP5973731B2 (ja) 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
US9984866B2 (en) * 2012-06-12 2018-05-29 Component Re-Engineering Company, Inc. Multiple zone heater
JP6219227B2 (ja) 2014-05-12 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構及びステージの温度制御方法
KR20160017699A (ko) * 2014-07-31 2016-02-17 세메스 주식회사 베이크 유닛, 이를 포함하는 기판 처리 장치 및 방법
KR102222455B1 (ko) * 2018-01-15 2021-03-04 세메스 주식회사 기판 처리 장치

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW389949B (en) 1997-01-30 2000-05-11 Tokyo Electron Ltd Method and apparatus for coating and development of the photo-resist solution
US6402509B1 (en) * 1999-09-03 2002-06-11 Tokyo Electron, Limited Substrate processing apparatus and substrate processing method
EP1383167A1 (en) * 1999-12-09 2004-01-21 Ibiden Co., Ltd. Ceramic plate for semiconductor producing/inspecting apparatus
US6689519B2 (en) * 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US6891627B1 (en) * 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
JP2002134395A (ja) * 2000-10-25 2002-05-10 Sony Corp 半導体装置の製造方法および半導体装置の製造システム
JP3494435B2 (ja) * 2001-02-27 2004-02-09 東京エレクトロン株式会社 基板処理装置
US20020177245A1 (en) * 2001-03-29 2002-11-28 Sonderman Thomas J. Method and apparatus for controlling feature critical dimensions based on scatterometry derived profile
JP4348412B2 (ja) * 2001-04-26 2009-10-21 東京エレクトロン株式会社 計測システムクラスター
JP3825277B2 (ja) * 2001-05-25 2006-09-27 東京エレクトロン株式会社 加熱処理装置
EP1273973A1 (en) * 2001-07-03 2003-01-08 Infineon Technologies SC300 GmbH & Co. KG Method for adjusting a temperature in a resist process
DE10134756A1 (de) * 2001-07-17 2003-04-03 Advanced Micro Devices Inc Ein System und Verfahren zur gesteuerten Strukturierung auf Waferbasis von Strukturelementen mit kritischen Dimensionen
JP2003209050A (ja) * 2002-01-17 2003-07-25 Tokyo Electron Ltd 基板処理方法及び基板処理装置
JP3923023B2 (ja) 2003-03-06 2007-05-30 株式会社東芝 パターン形成方法および該パターン形成方法を用いた半導体装置の製造方法
US7135259B2 (en) * 2003-05-28 2006-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Scatterometric method of monitoring hot plate temperature and facilitating critical dimension control
US7187796B1 (en) * 2003-10-01 2007-03-06 Advanced Micro Devices, Inc. Systems and methods that employ exposure compensation to provide uniform CD control on reticle during fabrication
US7227628B1 (en) * 2003-10-10 2007-06-05 Kla-Tencor Technologies Corp. Wafer inspection systems and methods for analyzing inspection data
US7101816B2 (en) 2003-12-29 2006-09-05 Tokyo Electron Limited Methods for adaptive real time control of a thermal processing system
US7025280B2 (en) * 2004-01-30 2006-04-11 Tokyo Electron Limited Adaptive real time control of a reticle/mask system
WO2006012388A2 (en) * 2004-07-22 2006-02-02 Kla-Tencor Technologies Corp. Test structures and methods for monitoring or controlling a semiconductor fabrication process
US20060094131A1 (en) * 2004-11-02 2006-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for critical dimension control in semiconductor manufacturing
US20060222975A1 (en) * 2005-04-02 2006-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated optical metrology and lithographic process track for dynamic critical dimension control
JP4410147B2 (ja) * 2005-05-09 2010-02-03 東京エレクトロン株式会社 加熱装置、塗布、現像装置及び加熱方法

Also Published As

Publication number Publication date
KR101404349B1 (ko) 2014-06-09
TW200823608A (en) 2008-06-01
JP2008091918A (ja) 2008-04-17
US20080102412A1 (en) 2008-05-01
US7445446B2 (en) 2008-11-04
JP5610664B2 (ja) 2014-10-22

Similar Documents

Publication Publication Date Title
KR101404349B1 (ko) 레지스트 코팅 웨이퍼들의 열처리 동안의 인라인 모니터링및 제어를 위한 방법
US7625680B2 (en) Method of real time dynamic CD control
US7483804B2 (en) Method of real time dynamic CD control
US6689519B2 (en) Methods and systems for lithography process control
US7452793B2 (en) Wafer curvature estimation, monitoring, and compensation
KR101129940B1 (ko) 레티클/마스크 시스템의 적응형 실시간 제어를 제공하는 열 처리 시스템 및 열 처리 시스템의 작동 방법
KR101121354B1 (ko) 반도체 프로세싱에서 웨이퍼 상에 형성된 구조의 임계 치수를 제어하는 방법 및 시스템
JP2006228816A (ja) 熱処理板の温度設定方法,熱処理板の温度設定装置,プログラム及びプログラムを記録したコンピュータ読み取り可能な記録媒体
US7741583B2 (en) Bake plate lid cleaner and cleaning method
US20090042145A1 (en) Method for Detecting Light Intensity Distribution for Gradient Filter and Method for Improving Line Width Consistency
JP2012044181A (ja) 自己整合する二重パターンの形成方法
US8341819B2 (en) Thermal processing system and method of using
US20090023101A1 (en) Lithography track systems and methods for electronic device manufacturing
US20080099463A1 (en) Method and processing system for rapid hotplate cool down
KR20190124787A (ko) 기판 내의 응력을 결정하는 방법들, 리소그래피 공정을 제어하는 제어 시스템, 리소그래피 장치 및 컴퓨터 프로그램 제품
US8808788B2 (en) Processing a wafer with a post application bake (PAB) procedure
JP2012044182A (ja) 自己整合する二重パターンの形成方法
US8105738B2 (en) Developing method
JP2003197499A (ja) 膜質評価方法及びその装置、線幅変動評価方法及びその装置並びに線幅変動評価機能を有する処理方法及びその装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170504

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180518

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190516

Year of fee payment: 6