KR20070100120A - 균일한 가스 전달을 반응기에 제공하기 위한 방법 및 장치 - Google Patents

균일한 가스 전달을 반응기에 제공하기 위한 방법 및 장치 Download PDF

Info

Publication number
KR20070100120A
KR20070100120A KR1020070031718A KR20070031718A KR20070100120A KR 20070100120 A KR20070100120 A KR 20070100120A KR 1020070031718 A KR1020070031718 A KR 1020070031718A KR 20070031718 A KR20070031718 A KR 20070031718A KR 20070100120 A KR20070100120 A KR 20070100120A
Authority
KR
South Korea
Prior art keywords
gas
reactor
supply orifice
gas supply
introducing
Prior art date
Application number
KR1020070031718A
Other languages
English (en)
Other versions
KR101373828B1 (ko
Inventor
제레미 제이. 달톤
엠. 지아울 카림
애나 알. 론더건
Original Assignee
아익스트론 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 아익스트론 인코포레이티드 filed Critical 아익스트론 인코포레이티드
Publication of KR20070100120A publication Critical patent/KR20070100120A/ko
Application granted granted Critical
Publication of KR101373828B1 publication Critical patent/KR101373828B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)

Abstract

가스 공급 오리피스 배열체들 중 적어도 하부의 가스 공급 오리피스 배열체가 가스 공급 오리피스 배열체들 중 상부의 가스 공급 오리피스 배열체와 피가공재 증착 표면 사이에 위치되도록 피가공재 증착 표면을 향해 가스 공급 오리피스 배열체로부터 가스 유동 방향에 의해 형성되는 축선을 따라서 서로 배열되는 두 개 이상의 별개의 가스 공급 오리피스 배열체를 구비하는 반응기용 가스 분배 시스템에 관한 것이다. 가스 공급 오리피스 배열체들 중 상부의 가스 공급 오리피스 배열체 내의 오리피스는 가스 공급 오리피스 배열체들 중 상부의 가스 공급 오리피스 배열체와 피가공재 증착 표면 사이 거리의 평균 0.2 내지 0.8 배로 이격될 수 있지만, 가스 공급 오리피스 배열체들 중 하부의 가스 공급 오리피스 배열체 내의 오리피스는 상기 가스 공급 오리피스 배열체들 중 상부의 가스 공급 오리피스 배열체와 상기 피가공재 증착 표면 사이 거리의 평균 0.1 내지 0.4 배로 이격될 수 있다.

Description

균일한 가스 전달을 반응기에 제공하기 위한 방법 및 장치 {METHOD AND APPARATUS FOR PROVIDING UNIFORM GAS DELIVERY TO A REACTOR}
도 1은 단일 평면 내에 출구 구멍을 갖춘 가스 통로를 구비한 통상적인 샤워헤드가 이용될 때 발생할 수 있는 원하지 않는 가스 순환 및 혼합의 예를 도시한 도면.
도 2는 가스 통로 출구 구멍을 가스 주입 축선에 의해 형성되는 축선을 따라서 서로 변위되는 분리된 평면 내측으로 배치시킴으로써 원하지 않는 가스 순환 및 혼합을 방지하거나 감소시키도록 본 발명의 실시예에 따라 구성되는 샤워헤드의 예를 도시한 도면.
도 3은 반경 방향 스포크 가스 주입 도관이 평면 가스 분배 판 아래에 변위되는 본 발명의 실시예에 따라 구성되는 샤워헤드의 예를 도시한 도면.
도 4는 본 발명의 실시예에 따라 구성되는 가스 분배 시스템을 구비하는 ALD 반응기의 예를 도시한 도면.
도 5는 다중 웨이퍼가 단일 반응기 내에서 처리되는 도 4에 도시된 ALD 시스템의 변형을 도시한 도면.
※ 도면의 주요 부분에 대한 도면 부호의 설명 ※
28 : 가스 분배 시스템 30, 32 : 다기관
34a-34d : 가스 통로 36a-36d : 구멍
38 : 면판 40: 분배 판
본 발명은 원자 층 증착용 가스 분배 시스템, 또는 기상 전구체가 상류방향 공급원으로부터 기판 위의 반응 공간으로 수송되는 화학 증착 처리 시스템에 관한 것이다.
가스의(기상) 화학 전구체로부터 고형 기판 상으로의 박막 고형 필름의 화학 증착은 반도체 제조, 자성 데이터 저장, 나노테크놀로지 등을 포함하는 다수의 분야에서 매우 중요하다. 특히, 원자 층 증착(ALD) 및 화학 증착(CVD) 공정은 유전체 및 금속 필름 모두를 반도체 기판 상에 증착시키는데 일반적으로 이용된다. 점점, 이러한 분야는 증착되는 필름의 기판 전체에 걸친 두께 균일성 및 다중 기판 상에서의 이러한 두께의 반복성에 있어서 엄격한 기준을 충족시킬 필요가 있는 동시에, 전반적인 제조 공정에서 병목현상이 생기지 않도록 높은 필름 증착률을 제공하기 위한 공정 장비가 필요하다.
이러한 요구조건을 충족시키기 위해서, CVD 및 ALD 장비에 있어, 증기 전구체의 기판으로의 플럭스(flux)가 엄격히 제어되어 형성되어야만 한다. 종종, 원하는 필름을 형성하기 위해서 반응하여, 정확하고 제어가능한 방식으로, 모두 기판으로 전달되어야만 하는 다중 가스 전구체가 있을 수 있다. 일부 경우에, 이러한 다 중 전구체를 반응 챔버에 도입시키기 전에 서로 혼합시키는 것이 유리하다. 다른 경우에, 임의의 원하지 않은 조기 반응을 방지하기 위해서 전구체가 기판과 접촉할 때 까지 서로 고립시켜 유지시키는 것이 바람직할 수 있다.
일반적으로, 반응 챔버 내측으로의 균일한 전구체 유동은 가스 공급원과 기판 사이에 다수의 소형 구멍(소위, 샤워헤드)을 구비한 평판을 제공함으로써 시도된다. 이러한 축-대칭 가스 유동을 기판을 향해 제공하기 위한 장비는 드보어(deBoer)등에 의한 미국 특허 제 4,798,165 호에 일찍이 기재되어 있다. 확산 판 또는 샤워헤드는 일부 구멍이 하나의 전구체를 도입시키는데 이용되고, 다른 구멍은 다른 전구체를 도입시키도록 이용되도록 분리된 영역을 갖는다. 이에 따라, 전구체는 분리되어 유지되어, 전구체가 기판에 인접한 반응공간에 유입되기 전에는 혼합되지 않는다.
이러한 샤워헤드는 살바도르 피.유모토이(Salvador P.Umotoy)의 미국 특허 출원 제 2006-0021703 호에 기재되어 있다. 디자인에서, 샤워헤드 면판은 다수의 가스 통로를 구비하여 복수의 가스를 혼합되지 않은 채 공정 영역으로 제공한다. 가스 분배 다기관 조립체는 상이한 가스가 면판 내의 여러 가스 구멍에 제공되도록 연결된다.
가스가 분배 판으로부터 유출되어 공정 영역으로 유입될 때까지 가스를 분리 통로 내에서 유지시키기 위한 다른 디자인이 미국 특허 제 5,595,606 호에 기재되어 있다. 샤워헤드는, 가스가 분배 판으로부터 유출되어 공정 영역으로 유입될 때까지 두 개의 가스를 분리 통로 내에서 표면상으로 유지시키는 다중 블록 적층부 (multiple block stack)를 포함한다.
전술된 종류의 샤워헤드는 ALD 및 CVD 내에 이용되는 여러 가스의 분리를 유지시키는 것을 취지로 하지만, 본 발명의 발명자들은 인접한 구멍을 통해 유동하는 상이한 전구체의 상대적인 유동률이 잘 디자인되지 않는다면, 순환이 구멍들 사이에서 샤워헤드 면판을 따라서 발생할 수 있는 점을 관찰했다. 도 1에는 이러한 상태가 도시되어 있다. 일반적으로 "12" 및 "14"로 표시되는 두 개의 개별 가스 다기관을 구비하는 샤워헤드 장치(100)가 절단면도로 도시되어 있다. 상부 다기관(12)은 가스 통로(16a, 16b)를 포함하며, 상기 가스 통로는 샤워헤드(10)의 면판(20) 내의 구멍(18a, 18b)을 통해 유출하는 다기관(12) 내의 가스를 위한 수단을 제공한다. 유사하게, 하부 다기관(14)은 가스 통로(22a, 22b)를 포함하며, 상기 가스 통로는 면판(20) 내의 구멍(24a, 24b)을 통해 유출하는 다기관(14) 내의 가스를 위한 수단을 제공한다.
도시된 바와 같이, 상이한 전구체 가스의 순환은 상이한 다기관(12, 14)과 관련되는 구멍들 사이의 샤워헤드 면판(20)을 따라서 발생하는 것으로 공지되어 있다. 전구체의 원하지 않는 혼합은 이들 사이에 불필요한 반응을 야기할 수 있으며 근접하여 기판 상에 필름 균일성을 감소시킬 수 있다. 게다가, 다중 영역이 단일 샤워헤드 내에 존재하는 경우에, 상이한 영역의 출구 구멍들 사이의 공간은 유동 균일성을 위해 필요한 구멍의 수 및 크기에 의해 속박된다.
이러한 샤워헤드 디자인이 갖는 다른 문제점은 두 개의 전구체들 사이의 온도 차를 유지하는 것이 어렵거나 불가능하다는 점이며, 이는 두 개의 전구체들이 면판(20)에 도달하기 전에 동일한 고형 판(26)을 통해 유동하기 때문이다. 다수의 경우에, 전구체가 기판 표면에서 반응할 때까지 전구체를 상이한 온도로 유지시키는 것이 바람직할 수 있다.
따라서, 필요한 것은 통상적인 샤워헤드의 이러한 한계를 극복하는 가스 분배 시스템을 제공하는 것이다.
본 발명의 실시예는 피가공재 증착 표면을 향하는 가스 공급 오리피스로부터 가스 유동 방향에 의해 형성되는 축선을 따라서 서로 변위되는 두 개 이상의 별개의 다수 공급 오리피스를 구비하여 가스 공급 오리피스 배열체들 중 적어도 하부의 가스 공급 오리피스 배열체가 가스 공급 오리피스 배열체들 중 상부의 가스 공급 오리피스 배열체와 피가공재 증착 표면 사이에 위치된다. 상부의 가스 공급 오리피스 배열체(또는 하부의 가스 공급 오리피스 배열체)에서 피가공재 증착 표면의 정확한 거리는 각각의 배열체에서의 개별 오리피스의 형상 및 각각의 배열체에 있어서 가스 유동률을 포함하는 요인에 의해 좌우된다. 대체로, 오리피스 배열체는 반응기 내에 위치되어 피가공재 표면을 가로질러 상대적으로 균일한 증착은 증착될 특정 층에 있어서 필요한 가스 및 유동률을 이용하여 달성된다. 피가공재 표면으로부터의 거리 이외에, 각각의 배열체의 개별 오리피스들 사이의 공간은 증착되는 층의 특징과 특성에 영향을 미친다. 따라서, 가스 공급 오리피스 배열체의 상부의 가스 공급 오리피스 배열체 내의 오리피스는 가스 공급 배열체의 상부의 가스 공급 오리피스 배열체와 피가공재 증착 표면 사이 거리의 평균 0.2 내지 0.8 배로 이격될 수 있지만, 가스 공급 오리피스 배열체의 하부의 가스 공급 오리피스 배열체 내의 오리피스는 가스 공급 오리피스 배열체의 상부의 가스 공급 오리피스 배열체와 피가공재 증착 표면 사이 거리의 0.1 내지 2 배로 이격될 수 있다.
가스 공급 오리피스 배열체의 상부의 가스 공급 오리피스 배열체는 면판을 가로질러 대체로 균일한 분포의 오리피스를 구비하는 평면 샤워헤드일 수 있다. 가스 공급 오리피스 배열체의 하부의 가스 공급 오리피스 배열체는 평면 샤워헤드의 반경에 대해 축선 대칭으로 분포되는 하나 이상의 도관을 포함할 수 있다. 예를 들어, 가스 공급 오리피스 배열체의 하부의 가스 공급 오리피스 배열체는 축선방향으로 중심이 형성된 공급 도관으로부터 인도되는 다수의 스포크 도관(spoke conduit)을 포함할 수 있으며, 각각의 스포크 도관은 가스 공급 오리피스 배열체의 상부의 가스 공급 오리피스 배열체와 피가공재 증착 표면 사이 거리의 평균 0.1 내지 2 배로 이격되어 있는 다수의 개별 오리피스를 포함한다.
본 발명의 다른 실시예는 제 1 가스 공급 오리피스 배열체로부터 피가공재의 표면으로의 가스 유동에 의해 형성되는 축선을 따라서 피가공재의 표면으로부터 제 1 거리로 배치되는 제 1 가스 공급 오리피스 배열체로부터 퍼지 가스를 유동시키면서, 제 1 가스 공급 오리피스로부터 분리되고 가스 유동에 의해 형성되는 축선을 따라서 피가공재의 표면으로부터 제 2 거리로 배치되는 제 2 가스 공급 오리피스 배열체로부터 제 1 반응 전구체를 반응기 내측으로 유동시킴으로써 반응기 내측으로 가스를 도입시키며, 상기 제 2 거리는 상기 제 1 거리 사이이다. 적합한 시간 에, 제 2 가스 공급 배열체로부터 제 1 반응 전구체의 유동은 정지될 수 있어서 퍼지 가스가 하나 이상의 제 1 가스 공급 오리피스 배열체 및 제 2 가스 공급 오리피스 배열체로부터 반응기 내측으로 유동된다. 제 1 반응 전구체의 미사용 부분이 반응기로부터 회수될 때, 제 2 반응 전구체는 퍼지가스를 제 2 가스 공급 오리피스 배열체를 통해 반응기 내측으로 유동시키면서 제 1 가스 공급 오리피스 배열체를 통해 반응기 내측으로 유동될 수 있다. 그 후, 제 1 가스 공급 배열체로부터의 제 2 반응 전구체의 유동은 정지될 수 있으며, 제 2 반응 전구체의 미사용 부분은 퍼지 가스를 하나 이상의 제 1 가스 공급 오리피스 배열체와 제 2 가스 공급 오리피스 배열체로부터 반응기 내측으로 유동시키면서 회수된다. 이러한 주기는 반응기 내의 기판 상에 필름을 형성하기 위해서 필요한 바와 같이 반복될 수 있다.
전술된 바와 같은, ALD 공정으로의 적용에도 불구하고, 본 발명은 하기에 더 기재되는 바와 같은 CVD 및/또는 펄스화-CVD 작동으로 유용할 수도 있다.
본 발명은 이에 제한되는 것은 아니며, 첨부 도면을 참조하여 예로서 설명된다.
기상 전구체 또는 다른 가스(예를 들어, 불활성 캐리어 가스)가 상류방향 공급원으로부터 기판 위의 반응 공간으로 이송되는, ALD, CVD 및/또는 다른 처리 시스템용 가스 분배 시스템이 본원에 기재되어 있다. 과거의 이러한 분배 시스템과는 달리, 본 발명의 분배 시스템은 두 개의 이상의 물리적으로 분리되는 가스 공급 오리피스로 구성된다. 즉, 본 발명의 실시예는 가스 공급 오리피스를 오리피스로부터 표면으로의 가스 통로의 축선을 따라서 기판의 표면으로부터 상이한 배치로 제공한다. 상이하게 보이는, (가스 또는 전구체를 각각의 오리피스에 개별적으로 제공하도록 구성되는 공통 다기관에 의해 공급될 수 있는)가스 공급 오리피스는 오리피스와 기판 사이에서 이동하는 가스용 통로를 형성하는 축선을 따라서 서로 분리된다.
본 발명의 실시예는 전구체가 기판에 매우 인접하게 될 때까지 반응 전구체의 물리적 및 열적 분리를 제공한다. 이는 샤워헤드의 면판을 따라서 원하지 않는 반응을 방지할 뿐만 아니라, 개개의 전구체가 전구체 개개의 최적 온도에서 전달되게 하기도 한다. 게다가, 본 발명에 따라 구성되는 시스템은 기학 속박으로부터 독립적이며 각각의 전구체에 있어서 가스 유동 다기관을 디자인하는 데 있어 보다 큰 유연성을 제조업자에게 제공한다.
도 2에 있어서, 본 발명의 실시예에 따라서 구성되는 가스 분배 시스템(28)의 예가 도시되어 있다. 본 도면은 두 개의 다기관(또는 본 원에 종종 지칭되는 바와 같은 가스 공급 오리피스 배열체)을 구비한 가스 분배 판을 도시하지만, 본 발명은 이러한 시스템에 제한되는 것이 아님을 주목해야한다. 임의의 수의 상기 다기관이 이용될 수 있다. 일부 경우에, 가스 분배 시스템은 단일 평면(도 1에 도시된 시스템의 경우와 같음) 내에 배치되는 다중 가스 오리피스를 가지며, 게다가 (아래에 전술되는 바와 같은) 여러 평면 내에 배치되는 다른 가스 오리피스를 갖는다. 다른 경우에, 가스 주입의 축선을 따라서 서로 분리되어 배치되는 세 개 이상의 상기 오리피스 배열체가 제공될 수 있다. 따라서, 서로 변위되는 두 개의 상기 배열을 이용하는 시스템의 설명은 본 발명에서 구체화되는 개념을 설명하기 위한 것이며, 이러한 배열로 본 발명의 범위를 제한하는 것은 아니다.
도 2는 일반적으로 "30" 및 "32"로 지칭되는 두 개의 개개 가스 다기관을 구비한 가스 분배 시스템(28)의 절단면도이다. 상부 다기관(30)은 가스 통로(34a 내지 34d)를 포함하며, 상기 가스 통로는 다기관(30) 내의 가스를 분배 판(40)의 면판(38) 내의 구멍(36a 내지 36d)을 통해 유출시키기 위한 수단을 제공한다. 하부 다기관(32)은 대체로 원통형 가스 통로(40)를 포함하며, 상기 가스 통로는 다기관(32) 내의 가스를 구멍(44a 내지 44c)을 통해 유출시키기 위한 수단을 제공한다.
물론, 개개의 다기관은 이러한 도시된 구성에 제한되지 않으며, 대체로, 임의의 편리한 구성은 기판에 인접한 반응 공간 내에 원하는 가스 분배 프로파일을 달성하는데 이용될 수 있다. 따라서, 평면, 곡선형, 주름형, 원통형 또는 다른 다기관/분배 장치가 이용될 수 있다. 예를 들어, 상부 다기관(30)의 면판(38)은 도면으로 도시된 바와 같은 평면(상대적으로 평면인) 표면일 필요는 없다. 게다가, 면판(38)은 평면이든지 아니든지 관계없으며, 평면일 필요는 없다. 대신에, 본 발명의 여러 실시예에서 곡선형(예를 들어, 상대적으로 오목하거나 상대적으로 볼록한)면판(38)용 특정 분야를 발견할 수 있다.
하부 다기관(32)은 원통형 가스 통로 외의 다른 형태일 수 있다. 예를 들어, 하부 다기관은 상대적으로 평면 확산 판일 수 있다. 이와 달리, 하부 다기관(32)은 (하기에 더 기재되며 다음 도면에 도시되는 바와 같은)자동차 바퀴의 스포크와 유사한 일련의 반경방향으로 돌출하는 실린더일 수 있다. 일부 경우에, 개별 스포크형 오리피스 배열체는 상이한 길이 및/또는 직경으로 형성될 수 있으며 원하 는 가스 유동을 기판에 제공하기 위해서 배열된다. 스포크형 배열체는 서로 독립적일 수 있으며 또는 방위각으로 지향되거나 코드 형 부재 및/또는 가스 공급 오리피스 배열체를 통해 서로 연결될 수 있다.
일부 경우에, 하부 다기관(32) 및 상부 다기관(30)의 거리는 조절가능할 수 있다. 예를 들어, 하부 다기관(32)은 상부 다기관(30)의 면판(38)으로부터 원하는 거리에서 하부 다기관을 설정하기 위해서 제어기의 제어 하에 작동하는 하나 이상의 텔레스코핑(예를 들어, 공기압식 또는 유압식) 지지부에 의해 상부 다기관(30) 아래에 현수될 수 있다. 이와 달리, 다기관들 사이의 분리 거리를 조절하는 다른 수단 또는 지지부가 수동적으로 구성될 수 있다. 여러 CVD 및/또는 ALD 공정은 기판 상에 원하는 증착 특성을 달성하기 위해서 다기관들 사이에 이러한 여러 공간을 필요로 할 수 있다.
조절가능한지 아니든지 간에, 상부 다기관과 하부 다기관 사이의 최적 거리는 내부에 존재하는 개개의 오리피스 특성에 의해 좌우될 수 있다. 따라서, 광범위한 분야를 수용하기 위해서, 본 발명은 하나 또는 둘 모두의 다기관 내에 다른 형태의 오리피스의 이용을 포함한다. 일부 오리피스는 대체로 원통형 단면일 수 있지만, 다른 오리피스는 보다 깔대기(funnel)형 단면일 수 있어서 원통형 단면을 갖는 오리피스를 이용하여 달성될 수 있는 것보다 가스 잔여 오리피스의 보다 넓은 분산을 제공한다. 처리되는 피가공재의 표면에서 원하는 가스 분배 프로파일을 제공하도록 조절될, 각각의 개별 다기관 내의 구멍의 수가 너무 많을 수 있다. 다기관 중 하나 또는 두개의 다기관의 여러 반경방향 영역 내에 오리피스 형태 및 수의 상이한 구성은 기판의 전체 표면을 가로질러 상대적으로 균일한 증착률을 제공할 수 있다. 개개의 오리피스는 횡단면으로, 원형, 직사각형, 사각형, 삼각형 등일 수 있다.
상이한 형태의 이러한 여러 구성의 오리피스는 본 발명에서 중요하지 않지만, 전구체 가스의 원하지 않는 교차 혼합을 방지하면서 전체 기판 표면을 가로질러 실질적으로 균일한 증착을 달성하도록 구성되는 가스 전달 시스템을 제공하는 전반적인 목적이 간과되어서는 안 된다. 가스 분배용 반경방향, 스포크 형 오리피스 배열체를 구비한 하부 다기관의 경우에, 개개의 오리피스들 사이의 감소된 공간은 전체 배열체에서 보다 많은 스포크를 구비하여 포함할 수 있다. 보다 적은 수의 개개의 오리피스가 갖는 보다 넓은 공간은 하부의 가스 분배 배열체의 아암을 따른 전구체 가스의 원하지 않는 혼합을 위한 보다 적은 기회를 제공하여 오염 입자의 전반적인 형성을 감소시킨다.
도 2에 도시된 바와 같은, 다기관(32)은 각각의 다기관의 개별 구멍으로부터 가스 주입 방향으로 축(Z)을 따라서 다기관(30)으로부터 배치된다. 따라서, 여러 다기관으로부터의 여러 전구체 가스의 순환은 분배 판(40)의 면판(38)을 따라서 전구체의 임의의 원하지 않는 혼합을 야기하지 않는다. 이는 통상적인 샤워헤드의 이용 보다 시스템(28)을 사용하는 시스템의 필름 증착 특성을 개선시킨다.
도 3은 가스 분배 시스템(28)의 등축도이다. 상부 다기관은 면판(38) 내의 다중 관통-구멍(36)을 구비한 상대적인 평면 분배 판(40)으로 구성되어 전구체 증기 및 퍼지 가스가 반응기(도시되지 않음)에 유입될 수 있게 한다. 하부 다기관 (32)은 중앙 입구(50)에 연결되는 반경방향 관(48)의 배열로서 구성된다. 관(48)은 전구체 및 퍼지 가스의 균일한 전달을 위해 제공되는 일련의 출구 구멍(본 도면에 상세하게 도시되지 않음)을 갖는다. 관(48)은 평면 분배 판(40)의 반경에 대해서 축선 대칭으로 분포되는 하나 이상의 도관으로서 편성될 수 있다.
도 4는 본 발명의 실시예에 따라서 구성되는 가스 분배 시스템을 구비한 ALD 반응기(52)의 예를 도시하는 도면이다. 본 절단면도에서, 웨이퍼(54)는 가스 분배 시스템(28) 아래의 서셉터(56)(Z 축을 따라서 수직 이동가능할 수 있으며 히터를 포함할 수 있음)상에 위치된다. 가스 분배 시스템(28)은 반응기(52)용 리드 조립체의 일부 일 수 있으며, 상기 조립체로부터 분리될 수 있다. 전술된 바와 같이, 가스 분배 시스템은 상류방향 공급원으로부터 전구체(A)를 수용하도록 구성되는 상부 다기관(30) 및 분리된 상류방향 공급원으로부터 전구체(B)를 수용하도록 구성되는 하부 다기관(32)을 포함한다. 각각의 다기관은 퍼지 가스를 수용하도록 구성될 수도 있다. 다기관(30)은 기판(54)을 향해 면판(38) 내의 구멍(도면에 도시되지 않음)을 통해 전구체(A)를 분포하면서, 다기관(32)은 기판(54)을 향해 반경방향 아암(48) 내의 구멍(도면에 또한 도시되지 않음)을 통해 전구체(B)를 분포한다. 반경방향 아암은 중앙 입구(50)를 통해 공급된다. 다기관(32)은 기판(54)을 향해 거리 "d"로 가스 주입 축(Z 축)을 따라서 다기관(30)의 면판(38) 아래에 배치된다.
도 5는 다중 웨이퍼 또는 기판(60)이 단일 반응기(52) 내에 수용되는 전술된 시스템의 변형을 도시하는 도면이다. 웨이퍼(60)는 선형 배열(56') 상에서 지지될 수 있다. 이와 달리, 웨이퍼는 회전식 원형 컨베이어(carousel)와 유사한 반경방 향 배열 내에 놓일 수 있다. 따라서 웨이퍼(60)는 원형 지지 부재의 중심에 대해 반경방향을 따라서 정렬될 수 있다. 이러한 형태의 다중 웨이퍼 반응기는 후방 증착이 허용될 수 있는 경우(또는 그렇지 않으면 보충될 수 있는 경우에)에 이용될 수 있으며, 전반적인 수율을 개선시킬 수 있다. 유사하게, 반응기(52)와 같은 반응기는 스탠드 얼론 공구(stand-alone tools) 또는 다중 단일 웨이퍼 또는 클러스터 공구로 이용하도록 구성될 수 있다.
중요하게, 하부 다기관(48)은 도면에 도시된 바와 같이 반경방향 스포크 구성을 가질 필요가 없다. 일부 경우에, 하부 다기관은 포인트 공급원(point source)(즉, 대체로 원형 또는 다른 단면을 갖는 가스 오리피스)일 수 있다. 이와 달리, 하부 다기관(48)은 평면(또는 상대적으로 평면) 공급원일 수 있으며, 공급원은 오목 단면, 또는 여러 길이의 스포크를 갖는 반경방향 스포크 구성을 갖는다. 게다가, 하부 다기관(48)은 도면에 도시된 것보다 상대적으로 작거나 클 수도 있다. 즉, 하부 다기관(48)은 기판(54)보다 크거나 동일한 직경을 가질 수 있거나 도시된 바와 같이 기판 보다 크거나 작은 직경을 가질 수 있다.
더욱이, 전구체(B)는 단일, 중앙 공급 라인을 통해 하부 다기관(32)에 공급될 필요가 없다. 대신에. 일부 구성은 측면 라인 또는 다른, 비 중앙 축선-대칭 공급 라인 또는 라인을 통해 오리피스 배열체에 공급되는 전구체(B)를 가질 수 있다. 외부 가스 공급원으로부터 이러한 가스 공급 라인의 상세한 설명은 본 발명에서 중요하지 않다.
본 발명의 일 실시예에서, 다기관(30)의 면판(38)은 증착이 발생할 수 있는 기판(54)의 표면 상으로부터 "L" 거리에 위치된다. 실제로, "L"은 기판(54)의 표면으로부터 면판(38)에 의해 형성되는 의도된 평면의 평균 거리이며, 면판(38) 상의 임의의 지점의 개별 거리는 상기 표면으로부터 거리 "L±δ1"이며, 이는 면판 표면 및 기판(54)의 표면 내의 비균일성 때문이다. 바람직하게, 전구체(A) 가스가 반응기(52)로 관통하여 도입되는 면판(38) 내의 구멍은 서로 0.2 내지 0.8 배의 L±δ1 거리로 이격될 수 있다. 다른 실시예에서, 다기관(32) 내의 구멍은 서로 0.1 내지 2 배의 L±δ1 거리로 이격될 수 있다. 후자의 공간은 다기관(32)의 여러 반경방향 아암(48)의 선택되는 위치설정을 통해서 달성될 수 있다.
게다가, 하부 다기관(32)에서 기판(54)의 표면으로의 거리는 L의 일부일 수 있다. 본 발명의 여러 실시예에서, 거리는 0.3 내지 0.9 * L일 수 있으며, 일 실시예에서 실제로 감소되는 거리는 0.7L일 수 있다. 통상적으로 L은 약 1 인치일 수 있다.
본 발명의 가스 분배 조립체가 이용될 수 있는 통상적인 ALD 공정 중에, 다기관(30)은 퍼지 가스가 유동되지만, 다기관(32)은 반응 전구체(B)가 유동된다. 공정의 다음 단계에서, 다기관(30, 32) 모두는 반응기(52)로부터 임의의 비반응 전구체를 제거하는데 조력하기 위해 퍼지 가스가 유동된다. 미사용 전구체 및 퍼지 가스는 펌핑 구성부(도시되지 않음)를 통해 반응기(52)로부터 배출된다. 다음, 전구체(A)는 다기관(30)을 통해 도입되고, 퍼지 가스는 다기관(32)를 통해 유동할 수 있다. 결국, 다기관(30, 32) 모두는 임의의 비반응 전구체를 제거하는데 조력하기 위해서 퍼지 가스를 유동시킨다. 전구체 및 퍼지 가스의 유동은 전구체가 가스 상 으로 혼합되지 않게 하면서 기판(54)이 각각의 전구체에 순차적으로 노출되게 하는 증착 공정을 통해 이러한 방식으로 교체될 수 있다.
전술된 공정은 복수의 관통 구멍을 구비한 평판을 통해 반응 종들 중 하나의 반응종을 도입시킴으로써 전구체 증기의 균일한 전달을 허용하며, 제 2 반응종은 중앙에 위치된 입구로부터 외측 반경 방향의 도관 세트를 통해 도입된다. 도관은 평판과 기판 사이에 있도록 위치된다. 이는 두 개의 반응종들의 전달을 제공하면서 화학물질(chemicals)들 사이의 열적, 물리적 분리를 유지시킨다.
일부 ALD 공정에서, ALD 반쪽 반응들중 하나의 반응은 약한 포화(soft saturating)일 것이며 나머지 반응들은 그렇지 않다. 이러한 경우에, 상부 다기관을 통하여 약한 포화 관련 전구체를 도입시키는 것이 바람직할 수 있다. 예를 들어, 약한 포화 반응 관련 전구체는 보다 균일한 분포일 수 있으며, 상부의 상대적으로 평면 가스 오리피스 배열체를 통해 도입되어 달성될 수 있기 때문이다. 대조적으로, ALD 공정에서의 강한 포화 반쪽 반응(strongly saturating half-reaction) 관련 전구체는 하부 다기관과 같은 비균일 가스 오리피스를 통한 분포에 상대적으로 영향을 받지 않을 수 있다. 그러나, 이는 상대 가스 유동률이 고려될 수도 있으므로, 항상 그렇지는 않다.
ALD 공정 이외에, 본 발명은 CVD 및/또는 펄스화-CVD 공정과 관련하여 이용될 수 있다. 통상적인 CVD 공정에서, 다기관(30, 32) 모두는 퍼지 가스 및/또는 (잠재적으로 개개의 캐리어 가스를 갖춘) 전구체를 유동시킬 수 있다. 원하는 증착이 달성될 때, 반응 전구체의 유동은 정지될 수 있으며, 하나의 또는 모두의 다기 관(30, 32)은 퍼지 가스를 유동시켜 임의의 잔여 전구체를 반응기(52)로부터 제거하는데 조력한다.
펄스화-CVD 공정에서, 제 1 전구체 및 캐리어 가스는 다기관(30)을 통해 계속적으로 도입될 수 있으며, 제 2 전구체는 하부 다기관(32)을 통해 펄스화 방식으로 도입된다. 바람직하게, 하부 다기관을 통해 도입되는 전구체는 CVD 공정 중에 주요 표면 반응을 갖는 하나의 전구체일 수 있다. 전과 같이, 원하는 증착이 달성되면, 미사용 전구체 및 퍼지 가스는 반응기(52)로부터 배출되면서 하나 또는 두 개의 다기관을 통해 퍼지 가스를 유동시킨다.
다른 실시예에서, 두 개의 다기관은 반응 전구체, 전구체 및 캐리어 가스, 및/또는 퍼지 가스를 ALD, CVD 또는 다른 공정에서 여러 시간으로 다양하게 유동시키기 위해서 작동될 수 있어서 반응기 내의 기판 상에 원하는 증착을 달성시킨다. 예를 들어, 전구체가 (캐리어 가스를 구비하거나 구비하지 않고) 상부 다기관을 통해 도입되면서, 하부 다기관은 (고유 캐리어 가스를 구비하거나 구비하지 않고)제 2 전구체를 도입시키는데 이용될 수 있다.
따라서, 별개의, 물리적으로 분리되는 공급 오리피스로 구성되어 전구체 증기 및 불활성 가스를 기판 상에 공급하는 가스 분배 시스템이 기재되어 있다. 별개의 가스 공급원은 하나의 오리피스가 기판과 다른 오리피스 사이에 위치되도록 지향된다. 이는 전구체 증기 및 불활성 가스가 인접 오리피스를 통해 주입될 때, 통상적인 샤워헤드를 구비하여 종종 관찰되는 가스 순환을 방지하며, 전구체 및 퍼지 가스가 단일 오리피스를 통해 도입될 때 종종 관찰되는 조기 반응을 방지한다. 본 발명에 따라 구성되는 통상적인 샤워헤드 가스 분배 시스템과 달리 다르게 전술되는 샤워헤드 가스 분배 시스템은 분리된 가스 다기관의 출구 오리피스들 사이에 가스 순환 영역을 제공하지 않는다. 이는 정화(purging)를 개선하며 원하지 않는 필름 증착 또는 입자 형성을 야기할 수 있는 가스-상 혼합 및 동요를 최소화시킬 수 있다.
단일 평판으로 속박되지 않는 분리된 가스 공급 오리피스의 이용이 각각의 전구체 다기관의 크기 및 형상을 설계하는데 보다 많은 특권(freedom)을 허용한다는 점이 전술된 내용으로부터 명백할 수 있다. 모두의 전구체 다기관은 통상적인 샤워헤드 내에서와 같이, 동일한 수평면 내에 출구 오리피스를 구비하여 속박될 때, 설계자는 전구체 모두에 있어서 최적 유동 균일성을 달성할 수 없다. 최소로, 설계자는 균일한 유동을 달성하는 가스 유동 통로 및 복합 다기관에 의지할 수 있다. 그러나, 본 발명에 따라서, 전구체 다기관을 동일 평면에 있지 않은 별개의 오리피스로 분리시킬 때, 단일 가스 유동 통로를 갖추어 가스 유동 균일성을 달성하는 것이 더 용이하다. 물론, 본 발명은 특정 실시예를 참조하여 기재되었지만, 이러한 예는 다음의 청구범위에 설명된 바와 같이 본 발명의 보다 광범위한 범위를 제한하는데 이용되어서는 안 된다.
원자 층 증착용 가스 분배 시스템, 또는 기상 전구체가 상류방향 공급원으로부터 기판 위의 반응 공간으로 수송되는 화학 증착 처리 시스템을 제공하여, 시스템의 필름 증착 특성을 개선시킨다.

Claims (35)

  1. 반응기용 가스 분배 시스템으로서,
    피가공재 증착 표면을 향해 가스 공급 오리피스 배열체로부터 가스 유동 방향에 의해 형성되는 축선을 따라서 서로 변위되는 두 개 이상의 별개의 가스 공급 오리피스 배열체를 포함하여, 상기 가스 공급 오리피스 배열체들 중 적어도 하부의 가스 공급 오리피스 배열체가 상기 가스 공급 오리피스 배열체들 중 상부의 가스 공급 오리피스 배열체와 상기 피가공재 증착 표면 사이에 위치되는,
    반응기용 가스 분배 시스템.
  2. 제 1 항에 있어서,
    상기 가스 공급 오리피스 배열체들 중 상기 상부의 가스 공급 오리피스 배열체 내의 오리피스는 상기 가스 공급 오리피스 배열체들 중 상기 상부의 가스 공급 오리피스 배열체와 상기 피가공재 증착 표면 사이 거리의 평균 0.2 내지 0.8 배로 이격되는,
    반응기용 가스 분배 시스템.
  3. 제 1 항에 있어서,
    상기 가스 공급 오리피스 배열체들 중 상기 하부의 가스 공급 오리피스 배열체 내의 오리피스는 상기 가스 공급 오리피스 배열체들 중 상기 상부의 가스 공급 오리피스 배열체와 상기 피가공재 증착 표면 사이 거리의 평균 0.1 내지 2 배로 이격되는,
    반응기용 가스 분배 시스템.
  4. 제 1 항에 있어서,
    상기 가스 공급 오리피스 배열체들 중 상기 상부의 가스 공급 오리피스 배열체는 평면 공급원을 포함하는,
    반응기용 가스 분배 시스템.
  5. 제 4 항에 있어서,
    상기 평면 공급원은 샤워헤드를 포함하는,
    반응기용 가스 분배 시스템.
  6. 제 1 항에 있어서,
    상기 가스 공급 오리피스 배열체들 중 상기 상부의 가스 공급 오리피스 배열체는 면판을 가로질러 대체로 균일한 분포의 오리피스를 포함하는,
    반응기용 가스 분배 시스템.
  7. 제 6 항에 있어서,
    상기 가스 공급 오리피스 배열체들 중 상기 하부의 가스 공급 오리피스 배열 체는 평면의 샤워헤드의 반경에 대해 축선-대칭적으로 분포되는 하나 이상의 도관을 포함하는,
    반응기용 가스 분배 시스템.
  8. 제 1 항에 있어서,
    상기 가스 공급 오리피스 배열체들 중 상기 하부의 가스 공급 오리피스 배열체는 상기 가스 공급 오리피스 배열체들 중 상기 상부의 가스 공급 오리피스 배열체에 대해 축선-대칭적으로 분포되는 하나 이상의 도관을 포함하는,
    반응기용 가스 분배 시스템.
  9. 제 8 항에 있어서,
    상기 가스 공급 오리피스 배열체들 중 상기 하부의 가스 공급 오리피스 배열체는 축선방향으로 중심이 형성된 공급 도관으로부터 인도되는 다수의 스포크 도관을 포함하며, 각각의 상기 스포크 도관은 상기 가스 공급 오리피스 배열체들 중 상기 상부의 가스 공급 오리피스 배열체와 상기 피가공재 증착 표면 사이 거리의 평균 0.1 내지 2 배로 이격되는 다수의 개별 오리피스를 포함하는,
    반응기용 가스 분배 시스템.
  10. 가스를 반응기 내측으로 도입시키는 방법으로서,
    제 1 가스 공급 오리피스 배열체로부터 피가공재의 표면으로의 가스 유동에 의해 형성되는 축선을 따라서 상기 피가공재의 표면으로부터 제 1 거리로 배치되는 제 1 가스 공급 오리피스 배열체로부터 상기 반응기 내측으로 퍼지 가스를 유동시키면서, 상기 제 1 가스 공급 오리피스 배열체로부터 분리되고 가스 유동에 의해 형성되는 상기 축선을 따라서 상기 피가공재 표면으로부터 제 2 거리로 배치되는 제 2 가스 공급 오리피스 배열체로부터 상기 반응기 내측으로 제 1 반응 전구체를 유동시키는 단계를 포함하며,
    상기 제 2 거리가 상기 제 1 거리 보다 작은,
    가스를 반응기 내측으로 도입시키는 방법.
  11. 제 10 항에 있어서,
    상기 제 2 가스 공급 오리피스 배열체로부터의 상기 제 1 반응 전구체의 유동을 정지시키는 단계를 더 포함하는,
    가스를 반응기 내측으로 도입시키는 방법.
  12. 제 11 항에 있어서,
    상기 퍼지 가스를 하나 이상의 상기 제 1 가스 공급 오리피스 배열체 및 상기 제 2 가스 공급 오리피스 배열체로부터 상기 반응기 내측으로 유동시키는 단계를 더 포함하는,
    가스를 반응기 내측으로 도입시키는 방법.
  13. 제 11 항에 있어서,
    상기 제 2 반응 전구체를 상기 제 1 가스 공급 오리피스 배열체를 통해 상기 반응기 내측으로 유동시키면서, 상기 퍼지 가스를 상기 제 2 가스 공급 오리피스 배열체를 통해 상기 반응기 내측으로 유동시키는 단계를 더 포함하는,
    가스를 반응기 내측으로 도입시키는 방법.
  14. 제 13 항에 있어서,
    상기 제 1 가스 공급 오리피스 배열체로부터의 상기 제 2 반응 전구체의 유동을 정지시키는 단계를 더 포함하는,
    가스를 반응기 내측으로 도입시키는 방법.
  15. 제 14 항에 있어서,
    상기 퍼지 가스를 하나 이상의 상기 제 1 가스 공급 오리피스 배열체 및 상기 제 2 가스 공급 오리피스 배열체로부터 상기 반응기 내측으로 유동시키는 단계를 더 포함하는,
    가스를 반응기 내측으로 도입시키는 방법.
  16. 제 15 항에 있어서,
    미사용 량의 상기 제 2 반응 전구체를 상기 반응기로부터 회수시키는 단계를 더 포함하는,
    가스를 반응기 내측으로 도입시키는 방법.
  17. 제 11 항에 있어서,
    미사용 량의 상기 제 1 반응 전구체를 상기 반응기로부터 회수시키는 단계를 더 포함하는,
    가스를 반응기 내측으로 도입시키는 방법.
  18. 가스를 반응기 내측으로 도입시키는 방법으로서,
    제 1 가스 공급 오리피스 배열체로부터 피가공재의 표면으로의 가스 유동에 의해 형성되는 축선을 따라서 상기 피가공재의 표면으로부터 제 1 거리로 배치되는 상기 제 1 가스 공급 오리피스 배열체로부터 상기 반응기 내측으로 캐리어 가스 및 반응 전구체를 유동시키면서, 상기 제 1 가스 공급 오리피스 배열체로부터 분리되고 가스 유동에 의해 형성되는 상기 축선을 따라서 상기 피가공재 표면으로부터 제 2 거리로 배치되는 제 2 가스 공급 오리피스 배열체로부터 상기 반응기 내측으로 제 2 반응 전구체를 유동시키는 단계를 포함하며,
    상기 제 2 거리가 상기 제 1 거리 보다 작은,
    가스를 반응기 내측으로 도입시키는 방법.
  19. 제 18 항에 있어서,
    상기 제 2 반응 전구체는 상기 제 2 가스 공급 오리피스 배열체를 통해 펄스 화 방식으로 상기 반응기 내측으로 유동되는,
    가스를 반응기 내측으로 도입시키는 방법.
  20. 제 18 항에 있어서,
    상기 반응기 내측으로의 반응 전구체의 유동을 정지하면서 퍼지 가스를 상기 제 1 및 제 2 가스 공급 오리피스 배열체들 중 하나의 또는 모두의 가스 공급 오리피스 배열체로부터 상기 반응기 내측으로 유동시키는 단계를 더 포함하는,
    가스를 반응기 내측으로 도입시키는 방법.
  21. 가스를 반응기 내측으로 도입시키는 방법으로서,
    제 1 가스 공급 오리피스 배열체로부터 피가공재의 표면으로의 가스 유동에 의해 형성되는 축선을 따라서 상기 피가공재의 표면으로부터 제 1 거리로 배치되는 상기 제 1 가스 공급 오리피스 배열체로부터 상기 반응기 내측으로 제 1 가스를 유동시키면서, 상기 제 1 가스 공급 오리피스 배열체로부터 분리되고 가스 유동에 의해 형성되는 상기 축선을 따라서 상기 피가공재 표면으로부터 제 2 거리로 배치되는 제 2 가스 공급 오리피스 배열체로부터 상기 반응기 내측으로 제 2 가스를 유동시키는 단계를 포함하며,
    상기 제 2 거리가 상기 제 1 거리 보다 작은,
    가스를 반응기 내측으로 도입시키는 방법.
  22. 제 21 항에 있어서,
    상기 제 1 가스는 반응 전구체를 포함하는,
    가스를 반응기 내측으로 도입시키는 방법.
  23. 제 21 항에 있어서,
    상기 제 1 가스는 반응 전구체 및 캐리어 가스를 포함하는,
    가스를 반응기 내측으로 도입시키는 방법.
  24. 제 21 항에 있어서,
    상기 제 2 가스는 반응 전구체를 포함하는,
    가스를 반응기 내측으로 도입시키는 방법.
  25. 제 21 항에 있어서,
    상기 제 2 가스는 반응 전구체 및 캐리어 가스를 포함하는,
    가스를 반응기 내측으로 도입시키는 방법.
  26. 제 22 항에 있어서,
    상기 제 2 가스는 제 2 반응 전구체를 포함하는,
    가스를 반응기 내측으로 도입시키는 방법.
  27. 제 22 항에 있어서,
    상기 제 2 가스는 제 2 반응 전구체 및 캐리어 가스를 포함하는,
    가스를 반응기 내측으로 도입시키는 방법.
  28. 제 22 항에 있어서,
    상기 제 2 가스는 퍼지 가스를 포함하는,
    가스를 반응기 내측으로 도입시키는 방법.
  29. 제 24 항에 있어서,
    상기 제 1 가스는 퍼지 가스를 포함하는,
    가스를 반응기 내측으로 도입시키는 방법.
  30. 제 23 항에 있어서,
    상기 제 2 가스는 제 2 반응 전구체를 포함하는,
    가스를 반응기 내측으로 도입시키는 방법.
  31. 제 23 항에 있어서,
    상기 제 2 가스는 제 2 반응 전구체 및 제 2 캐리어 가스를 포함하는,
    가스를 반응기 내측으로 도입시키는 방법.
  32. 제 23 항에 있어서,
    상기 제 2 가스는 퍼지 가스를 포함하는,
    가스를 반응기 내측으로 도입시키는 방법.
  33. 제 29 항에 있어서,
    상기 제 2 가스는 반응 전구체를 포함하는,
    가스를 반응기 내측으로 도입시키는 방법.
  34. 제 29 항에 있어서,
    상기 제 2 가스는 반응 전구체 및 캐리어 가스를 포함하는,
    가스를 반응기 내측으로 도입시키는 방법.
  35. 제 29 항에 있어서,
    상기 제 2 가스는 상기 퍼지 가스를 포함하는,
    가스를 반응기 내측으로 도입시키는 방법.
KR1020070031718A 2006-04-05 2007-03-30 균일한 가스 전달을 반응기에 제공하기 위한 방법 및 장치 KR101373828B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/278,700 US20070234956A1 (en) 2006-04-05 2006-04-05 Method and apparatus for providing uniform gas delivery to a reactor
US11/278,700 2006-04-05

Publications (2)

Publication Number Publication Date
KR20070100120A true KR20070100120A (ko) 2007-10-10
KR101373828B1 KR101373828B1 (ko) 2014-03-11

Family

ID=38254883

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070031718A KR101373828B1 (ko) 2006-04-05 2007-03-30 균일한 가스 전달을 반응기에 제공하기 위한 방법 및 장치

Country Status (5)

Country Link
US (3) US20070234956A1 (ko)
EP (1) EP1842938A3 (ko)
JP (1) JP2007277723A (ko)
KR (1) KR101373828B1 (ko)
CN (1) CN101050524A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140020887A (ko) * 2011-01-31 2014-02-19 네덜란제 오르가니자티에 포오르 토에게파스트-나투우르베텐샤펠리즈크 온데르조에크 테엔오 원자 층 증착 장치 및 방법

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
WO2010065695A2 (en) * 2008-12-04 2010-06-10 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements and methods
WO2010095901A2 (en) 2009-02-23 2010-08-26 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
FI124113B (fi) * 2010-08-30 2014-03-31 Beneq Oy Laitteisto ja menetelmä substraatin pinnan muokkaamiseksi
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US11326255B2 (en) 2013-02-07 2022-05-10 Uchicago Argonne, Llc ALD reactor for coating porous substrates
US9334566B2 (en) * 2013-11-25 2016-05-10 Lam Research Corporation Multi-tray ballast vapor draw systems
US10082461B2 (en) * 2014-07-29 2018-09-25 Nanometrics Incorporated Optical metrology with purged reference chip
US10451542B2 (en) 2017-12-05 2019-10-22 Nanometrics Incorporated Local purge within metrology and inspection systems
JP6637095B2 (ja) * 2018-03-22 2020-01-29 プラサド ナーハー ガジル セラミック薄膜の低温堆積方法
FI128427B (en) * 2018-04-12 2020-05-15 Beneq Oy Nozzle head and device
FI129731B (en) * 2018-04-16 2022-08-15 Beneq Oy Nozzle head, apparatus and procedure
CN108950546B (zh) * 2018-10-08 2023-06-02 福建工程学院 一种预置激光熔覆保护气充盈装置
DE102019119019A1 (de) 2019-07-12 2021-01-14 Aixtron Se Gaseinlassorgan für einen CVD-Reaktor
US11111578B1 (en) 2020-02-13 2021-09-07 Uchicago Argonne, Llc Atomic layer deposition of fluoride thin films
US11901169B2 (en) 2022-02-14 2024-02-13 Uchicago Argonne, Llc Barrier coatings

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6179773A (ja) * 1984-09-27 1986-04-23 Fujitsu Ltd Cvd装置
JPS6345374A (ja) * 1986-08-12 1988-02-26 Canon Inc 機能性堆積膜形成装置
US4829021A (en) * 1986-12-12 1989-05-09 Daido Sanso K.K. Process for vacuum chemical epitaxy
DE3721637A1 (de) * 1987-06-30 1989-01-12 Aixtron Gmbh Gaseinlass fuer eine mehrzahl verschiedener reaktionsgase in reaktionsgefaesse
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
US5387289A (en) * 1992-09-22 1995-02-07 Genus, Inc. Film uniformity by selective pressure gradient control
DE4326697C2 (de) 1993-08-09 2002-12-05 Aixtron Gmbh Vorrichtung zum Einlassen wenigstens eines Gases und deren Verwendung
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
DE19540771A1 (de) * 1995-11-02 1997-05-07 Hertz Inst Heinrich Gaseinlaßvorrichtung für eine Beschichtungsanlage
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
ATE254711T1 (de) * 1998-09-23 2003-12-15 3S Systemtechn Ag Arbeitsverfahren und reinigungsgerät zum reinigen eines schwimmbeckens
KR100331544B1 (ko) * 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6309465B1 (en) * 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
US6540838B2 (en) * 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4487338B2 (ja) * 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP4817210B2 (ja) * 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
AU2001242363A1 (en) * 2000-02-04 2001-08-14 Aixtron Ag Device and method for depositing one or more layers onto a substrate
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
EP1322801B1 (de) * 2000-09-22 2010-01-06 Aixtron Ag Cvd-verfahren und gaseinlassorgan zur durchführung des verfahrens
DE10064944A1 (de) * 2000-09-22 2002-04-11 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten, Gaseinlassorgan sowie Vorrichtung zur Durchführung des Verfahrens
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6821891B2 (en) * 2001-11-16 2004-11-23 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
JP2003188104A (ja) * 2001-12-14 2003-07-04 Fuji Xerox Co Ltd 窒化物半導体の製造装置、窒化物半導体の製造方法、及びリモートプラズマ装置
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US20050081788A1 (en) * 2002-03-15 2005-04-21 Holger Jurgensen Device for depositing thin layers on a substrate
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US7264846B2 (en) * 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
KR100515052B1 (ko) * 2002-07-18 2005-09-14 삼성전자주식회사 반도체 기판상에 소정의 물질을 증착하는 반도체 제조 장비
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20040065256A1 (en) * 2002-10-03 2004-04-08 Kim Gi Youl Systems and methods for improved gas delivery
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US20050106864A1 (en) * 2003-02-15 2005-05-19 Holger Jurgensen Process and device for depositing semiconductor layers
JP2005175242A (ja) * 2003-12-12 2005-06-30 Mitsubishi Heavy Ind Ltd 薄膜作製装置及び薄膜作製方法
DE102004009130A1 (de) 2004-02-25 2005-09-15 Aixtron Ag Einlasssystem für einen MOCVD-Reaktor
US7431772B2 (en) * 2004-03-09 2008-10-07 Applied Materials, Inc. Gas distributor having directed gas flow and cleaning method
JP4659377B2 (ja) * 2004-03-19 2011-03-30 株式会社 液晶先端技術開発センター 絶縁膜の形成方法
KR100574569B1 (ko) * 2004-04-30 2006-05-03 주성엔지니어링(주) 박막 증착방법 및 분리된 퍼지가스 분사구를 구비하는박막 증착장치
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140020887A (ko) * 2011-01-31 2014-02-19 네덜란제 오르가니자티에 포오르 토에게파스트-나투우르베텐샤펠리즈크 온데르조에크 테엔오 원자 층 증착 장치 및 방법

Also Published As

Publication number Publication date
US20110253046A1 (en) 2011-10-20
KR101373828B1 (ko) 2014-03-11
EP1842938A2 (en) 2007-10-10
CN101050524A (zh) 2007-10-10
US20070234956A1 (en) 2007-10-11
EP1842938A3 (en) 2008-06-18
JP2007277723A (ja) 2007-10-25
US7981472B2 (en) 2011-07-19
US20090324829A1 (en) 2009-12-31

Similar Documents

Publication Publication Date Title
KR101373828B1 (ko) 균일한 가스 전달을 반응기에 제공하기 위한 방법 및 장치
EP1660698B1 (en) Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US20060011298A1 (en) Showerhead with branched gas receiving channel and apparatus including the same for use in manufacturing semiconductor substrates
US6616766B2 (en) Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
EP0637058B1 (en) Method of supplying reactant gas to a substrate processing apparatus
US6821347B2 (en) Apparatus and method for depositing materials onto microelectronic workpieces
US7018940B2 (en) Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
CN105839077B (zh) 用于沉积iii-v主族半导体层的方法和装置
KR20170142885A (ko) 가스 혼합 장치 및 기판 처리 장치
US20040099378A1 (en) Gas injection apparatus for semiconductor processing system
KR101132262B1 (ko) 가스 분사 조립체 및 이를 이용한 박막증착장치
KR100341521B1 (ko) 가스 분배 시스템
KR20010093721A (ko) 다수의 웨이퍼들 주위에 가스성분을 균일하게 공급하는가스공급기가 장착된 기밀용기
CN109295436B (zh) 气体处理装置
TW201542860A (zh) 具有氣體供應環的化學氣相沈積設備
US9328419B2 (en) Gas treatment apparatus with surrounding spray curtains
KR101185376B1 (ko) 가스 분사 조립체 및 이를 이용한 박막증착장치
US20130220222A1 (en) Gas Distribution Apparatus with Heat Exchanging Channels
CN113122824A (zh) 淋喷头组件和部件
KR101776401B1 (ko) 균일한 반응가스 플로우를 형성하는 원자층 박막 증착장치
KR101010513B1 (ko) 반도체 제조용 인젝터
JPH11195611A (ja) 反応装置及び半導体部材の製造方法
CN116364628A (zh) 具有晶片边缘吹扫的半导体处理设备
CN116695097A (zh) 匀气装置和半导体工艺设备
KR20030025496A (ko) 반도체 제조용 화학기상증착 장비의 가스 디퓨저

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170306

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180228

Year of fee payment: 5