KR20060082398A - 유도 결합 플라스마의 균등성을 증가시키는 측벽 자석 및이에 사용되는 쉴드 - Google Patents

유도 결합 플라스마의 균등성을 증가시키는 측벽 자석 및이에 사용되는 쉴드 Download PDF

Info

Publication number
KR20060082398A
KR20060082398A KR1020057024985A KR20057024985A KR20060082398A KR 20060082398 A KR20060082398 A KR 20060082398A KR 1020057024985 A KR1020057024985 A KR 1020057024985A KR 20057024985 A KR20057024985 A KR 20057024985A KR 20060082398 A KR20060082398 A KR 20060082398A
Authority
KR
South Korea
Prior art keywords
coil
target
central axis
plasma
shield
Prior art date
Application number
KR1020057024985A
Other languages
English (en)
Other versions
KR101138566B1 (ko
Inventor
차-징 궁
시안민 탕
존 포스터
페이준 딩
마르크 슈베이처
케이쓰 에이. 밀러
일리야 라비스키
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060082398A publication Critical patent/KR20060082398A/ko
Application granted granted Critical
Publication of KR101138566B1 publication Critical patent/KR101138566B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3457Sputtering using other particles than noble gas ions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/358Inductive energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • H01J37/3408Planar magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3441Dark space shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3327Coating high aspect ratio workpieces

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

본 발명의 일 양상은 플라스마 스퍼터링 반응기의 챔버벽 외측에 위치하고 플라스마를 유도 생성하도록 사용되는 RF 코일의 적어도 부분적으로 방사상 외측으로 배열된 보조 자석 링을 포함하며, 특히 스퍼터링 증착된 기판을 스퍼터링 에칭하기 위함이다. 따라서, 자석 막은 플라스마가 코일 외측으로 누출되는 것을 방지하고 스퍼터링 에칭의 균등성을 개선한다. 자기장은, 코일이 주 타겟의 물질과 동일한 양상의 물질로 이루어진 경우 마그네트론으로서 작용할 수 있으며, 제 2 타겟으로서 사용된다. 본 발명의 다른 양상은, 타겟으로부터 기저부로 연장되고 평탄한 표면을 구비하고 쉴드 중앙 부분에서 고리형 플랜지에 의해 지지되는 단일 부품 내측 쉴드를 포함한다. 쉴드는 RF 코일을 지지하도록 사용될 수 있다.

Description

유도 결합 플라스마의 균등성을 증가시키는 측벽 자석 및 이에 사용되는 쉴드{SIDEWALL MAGNET IMPROVING UNIFORMITY OF INDUCTIVELY COUPLED PLASMA AND SHIELDS USED THEREWITH}
본 출원은, 2001년 11월 14일 출원된 가출원 제 09/999,543호 일부의 연속출원인 2002년 11월 14일 출원된 국체 출원 PCT/US02/36940의 일부의 연속출원이며, 본 출원서에서 이 두 출원을 전체로서 인용한다.
본 발명은, 일반적으로 물질의 스퍼터링(sputtering)에 관한 것이다. 특히, 본 발명은 유도 결합 플라스마 반응기에 사용되는 보조 자석에 관한 것이다. 또한, 스퍼터링 반응기에 사용되는 쉴드에 관한 것이다.
물리적 증기 증착법(PVD; physical vapor deposition)이라고 칭해지기도 하는 스퍼터링은 금속 및 관련된 물질의 층을 반도체 집적 회로의 제조에 증착시키는 일반적인 방법이다. 스퍼터링의 일 실시예에서는, 도 1의 단면도에서 도시된 구조체를 통해 막(barrier) 및 관련된 시드층(seed layer)을 증착한다. 전도성 특징부(10)는, 전형적으로 낮은 유전성이 도핑(doping)된 실리콘 산화물 또는 다른 실리케이트 유리로 이루어진 하부 절연층(12)의 표면 내에 형성된다. 상부 유전층(14)은 하부 유전층(12)을 넘어 증착되고, 비아홀(via hole)(16)은 전도성 특징부(12) 영역 내에서 상부 유전층(14)을 통해 에칭(etch)된다. 근래의 회로에서, 비아홀(16)은 깊이 대 최소 너비가 4:1 또는 이보다 큰 것으로 측정되는 종횡비를 가질 수 있다. 결과적으로, 금속은 비아홀(16) 내에 채워져서, 전도성 특징부를 포함하는 하부 와이어링 레벨과 그 상부에 형성된 상부 와이어링 레벨 사이에서 또는 상부 유전층(14)의 표면 내에서 수직의 전기적 연결을 제공할 수 있다. 간단한 일직선의 비아(16)가 도시된다. 듀얼 디마신(dual demascene)에서는, 상부의 넓은 트랜치(trench)와 연결된 유전층(14) 하부 내에서 간단한 비아(16)가 좁은 비아로 대체되며, 상기 트랜치는 상부 와이어링층에서 상호 수평 연결을 형성하도록 수평으로 길게 연장된다.
비아 금속화 전에, 라이너층(20)이 유전층(14)의 상부 표면 상에서 그리고 비아홀의 측벽 및 하부벽 상에서 증착된다. 라이너층(14)은, 비아 금속과 유전체 산화물 사이의 확산을 제공하는 막, 산화층과 금속층 그리고 시드층과 이후 증착된 금속을 위한 핵생성층 사이의 접착층을 포함하는 소정의 기능을 수행한다. 과거에는 알루미늄이 우세한 금속화였으나, 낮은 전기 저항과 전자이동(electromigration) 및 전기화학 도금(ECP; electrochemical plating)을 사용하여 비아홀(16)을 구리로 채우는 능력으로 인해, 듀얼-디마신 구조에서 구리가 보다 나은 집적 회로를 우세하게 하기 시작하였다. 구리의 경우, 전도성 특징부(10)는 전형적으로 듀얼-디마신 금속화의 트랜치 부분이다. 구리용 라이너층(20)은 전형적으로 Ta의 접착층인 탄탈 질화물(TaN)의 막층을 포함한다. 얇은 구리 시드층은 ECP 구리를 위한 핵으로 작용하기도 하고 전기화학 처리를 위한 전극으로 작용하기 도 한다. 화학적 증기 증착법(CVD; chemical vapor deposition) 또는 원자층 증착법(ALD; atomic layer deposition)의 개량이 일부 층들에 사용될 수 있다. 두 기술들은 높은 가로세로비의 홀들 내에 등각층들을 코팅하는 경향이 있으며, ALD는 매우 얇은 복합층을 코팅할 수 있다. 그러나 일부 내재된 문제점들을 극복할 수 있다면 경제성 및 양호한 필름 품질로 인해 스퍼터링이 바람직한 것이 일반적이다. 측벽 구역은 일반적으로 양호하지 못하며 홀(16) 내측 깊이 얇은 측벽 부분(22)을 만든다. 스퍼터링은 홀(16) 상부에서 돌출부(24)를 형성하며, 상기 돌출부는 이후 홀(16)을 코팅하기 위한 효과적인 가로세로비의 최소한의 증가 및 홀(16) 상부에 걸친 바람직하지 못한 브리지로 인해 홀(16) 내의 다른 증착을 방지한다. 웨이퍼의 전기적 바이어싱을 채택하는 다양한 기술들이 돌출부(24)를 감소시키고 측벽 구역을 증가시키도록 사용될 수 있다. 이러한 기술들은, 보다 두꺼운 바닥 부분(26)에 의해 표시되는 바닥 구역을 증가시키는 경향이 있다. 그러나 바닥 부분(26)은 전도성 패스 내에서 하부 전도성 특징부로서 나타난다. 탄탈은 금속이지만 다소 높은 전기 저항을 갖는다. 탄탈 질화물은 매우 큰 저항을 갖는다. 그 결과, 바닥 부분(26)으로부터 멀리 에칭하는 것이 바람직하다. 다른 면에서는, 돌출부(24)의 에칭이 중요한 막층을 제거해서는 안 된다.
모출원인 국제출원에서, 딩(Ding) 등은 도 2에서 대략적으로 도시된 스퍼터링 반응기의 이러한 다수의 상반되는 필요 사항들을 강조하였으며, 이는 Ta 및 TaN 모두를 증착시킬 수 있다. 진공 챔버의 측벽(30)은 반응기의 중심축(32) 둘레에 배열된다. 탄탈 타겟(34)이 챔버(30) 상에서 지지되고 고리형 아이솔레이터 (isolator)(36)를 통해 반응기의 중심축(32) 둘레에 진공 밀봉된다. 기저부(38)는, 중심축(32)을 따라 타겟(34)의 반대로 스퍼터링 처리되도록 웨이퍼(40)를 유지시킨다. 진공 펌프 시스템(42)은 챔버(30)를 10-8Torr 정도로 낮은 압력으로 펌핑할 수 있다. 그러나 아르곤 작업 가스는 매스 플로우 제어부(46)를 통해 가스 공급원(44)으로부터 공급되어, 전형적으로 0.1 내지 10milliTorr 범위의 압력까지 이른다. 선택적 DC 전압 공급원(48)은 접지된 금속 챔버(30)와 관련하여 타겟(34)을 음극으로 바이어스하고, 플라스마 내에 작업 가스를 방출하기 위해 도시되지 않은 쉴드를 버이어스한다. 음극 타겟 바이어스는 양극 아르곤 이온을 타겟(34)으로, 그리고 타겟(34)으로부터 활동 이온 스퍼터링 탄탈 원자로 이끈다. 탄탈 이온 중 일부가 웨이퍼(40)를 가격하고 탄탈층을 이에 증착한다. 처리 도중, 질소 가스가 가스 공급원(50)으로부터 그 매스 플로우 제어부(52)를 통해 챔버(30) 내에 공급된다. 반응 이온 스퍼터링이라 불리는 처리에서, 질소는 스퍼터링된 탄탈과 반응하여 웨이퍼(40) 상에 질소화 탄탈을 형성한다.
타겟(34)에 인접한 플라스마 밀도는, 타겟 뒤에 위치하고 있는 불균등하게 포개진 마그네트론(magnetron)(56)에 의해 증가한다. 푸(Fu)는 미국 특허 제 6,183,614호에서 이러한 마그네트론을 기술하였다. 이는, 대향 극성의 원주형 외측 폴(pole)(64)에 의해 둘러싸인 하나의 마그네트론 양극의 내측 폴(62)을 포함하며, 모두 자기 요크(yoke)에 의해 지지되고 자기적으로 결합한다. 타겟(34)의 정면의 자기장의 수평 성분은 전자를 트랩(trap)하고, 플라스마 밀도를 증가시켜서 따라서 스퍼터링 비율을 증가시킨다. 마그네트론(60)의 작은 영역은 타겟 스퍼터링 전력을 마그네트론(60)에 인접한 영역에 집중하여 다시 플라스마 밀도를 증가시킨다. 마그네트론(56)은 원형, 타원형, 삼각형 및 경주용 트랙의 형태와 같은 다양한 형태를 갖는다. 일정한 스퍼터링을 위해, 마그네트론(60)은 회전식 구동 샤프트(68)에 의해 중심축(32) 상에 지지되고 중심축 둘레로 회전한다. 외측 폴(64)의 총 자기력 집중도, 즉 그 표면을 가로지르도록 통합된 자기력 선속은 불균등 마그네트론(60)에 의해 내측 폴(62)의 자기력 선속보다 매우 크다. 그 비율은 적어도 1.5 바람직하게는 2.0보다 크다. 불균등은 자기력 성분이 외측 폴(64)로부터 웨이퍼(40)로 투영되도록 하여, 플라스마를 제한하고 탄탈 이온을 웨이퍼(40)에 안내되도록 한다.
충분한 전력 밀도가 타겟(34)에 적용된다면, 마그네트론(60) 아래의 고밀도 플라스마 영역이 충분한 스퍼터링된 탄탈 단편들을 이온화한다. 탄탈 이온은 타겟(34)에 다시 이끌릴 수 있어서 다른 스퍼터링을 이끌고, 이는 셀프-이온화 플라스마(self-ionized plasma) 스퍼터링이라 불리는 효과이다. 그 결과, 아르곤 스퍼터링 가스는 플라스마를 지지하는데 있어서 덜 중요하게 되어, 아르곤 압력이 감소할 수 있다. 구리 스퍼터링의 일부 상황에서는, SIP 플라스마가 스스로 유지되고 아르곤 공급은 중단될 수 있다.
웨이퍼(40)보다 크고 두 개의 별도의 단부를 갖는 밴드-형 RF 코일(70)이 챔버(30) 내에 배치되고 타겟(34)과 웨이퍼(40) 사이의 처리 공간의 1/2 또는 1/3 아래 지점의 도시되지 않은 쉴드 내에 배치된다. 일 실시예에서, 코일(70)은 타겟과 동일한 물질 즉 예를 들면 전술한 탄탈로 제조된다. 중심축(32)을 따라 튜브형일 수 있으며, 축방향 길이와 원주 방향의 두께의 비율은 전형적으로 4이다. 이러한 조합 및 형태는 하나의 작동 모드에서 코일(70)을 따르며, 제 2 스퍼터링 타겟으로서 작용한다. DC 전력 공급원(72) 및 RF 전력 공급원(74)은 도시되지 않은 커플링 및 구분된 회로를 통해 결합하여, 코일(70)이 코일(70) 내에 독립적으로 바이어스된 DC가 되거나 코일(70) 내에 유도 결합 RF 에너지가 결합하거나 이 두 가지의 조합이 되도록 한다. RF 전력은 커패시터(76)를 통해 코일(70)의 단부에 접지되지만, DC는 DC전력 공급원(72)을 따라서 코일(70)을 절연한다. 도면은 전력 공급원(72)(74) 및 코일(70) 상의 접지의 상대적인 위치를 도시하지 않는다. 코일이 중심축(32)의 수직면에 거의 360도로 연장되어, 그 단부가 최소한의 거리로 예를 들어 중심축(32) 둘레로 25도 이하로 구분되는 것이 바람직하다. 이러한 단부들 중 하나는 전력을 공급받고, 다른 하나는 접지된다.
코일(70)이 음극으로 바이어스되면, 이는 아르곤 가스가 코일(70)로부터 탄탈을 스퍼터링하도록 이끈다. 코일(70)이 RF 전력에 의해 구동되면, 축방향 RF 자기장을 생성하며, RF 자기장은 챔버(30)의 하부에 플라스마 영역을 유도하는 방위각 전기장을 유도한다. 즉, 제 2 플라스마 공급원은 디스크 형태의 아르곤 이온 영역을 웨이퍼 근처에 생성한다. 다른 RF 전력 공급원은 커패시터 결합 회로(80)를 통해 기저부 전극(38)에 결합하며, 이는 인접한 플라스마의 가장자리에서 음극 DC 셀프-바이어스를 유도한다. 그 결과, 제 2 플라스마 공급원에서 그리고 상부 마그네트론/타겟 공급원으로부터 아르곤 이온이 웨이퍼(40)에 가속되고 스퍼터링은 이에 에칭된다. 가속으로부터 제공된 이방성으로 인해, 전기 이온은 비아홀의 바닥에 도달하고, 측벽 부분(22)에 상대적인 바닥 부분(26)을 선택적으로 에칭하는데 효과적이다.
도시된 반응기는 많은 작동 모드가 가능함에도, 두 개의 극단적 모드가 가능하다. 증착 모드에서 코일(70)로의 RF 전력은 꺼진다. 큰 DC 전력이 타겟(34)에 공급된다. 셀프-이온화된 플라스마 때문에, 아르곤 압력은 감소하여, 웨이퍼(40)의 아르곤 이온 스포티 에칭이 감소한다. 필요하면, 코일(70)은 DC 바이어스되어 제 2 타겟으로 작용한다. 이러한 모드는, 웨이퍼의 적은 스퍼터링 에칭으로 탄탈을 주로 증착한다. 반대로 에칭 모드에서 두 개의 DC 타겟 전력(46)(72)이 꺼져서 어떠한 탄탈도 스퍼터링되지 않는다. 코일(70)로의 RF 전류가 증가하고, RF 바이어스 공급원(78)은 웨이퍼(70)를 셀프-바이어스한다. 그 결과, 탄탈이 거의 증착되지 않고 제 2 플라스마 공급원 스퍼터링으로부터의 아르곤 이온은 웨이퍼(40)를 에칭한다. 동시 증착 및 에칭의 조합이 모든 전력 공급원(46)(72)(74)(78)을 활용하여 이루어질 수 있다.
그러나 이러한 장비로부터 수행되는 에칭은 웨이퍼 지름을 가로질러 불균등한 것으로 알려졌다. 도 3 그래프에서 도시된 두 개의 선은 기저부에 적용되는 바이어스 전력이 800W 및 450W인 경우 실험적으로 관측된 에칭률을 나타낸다. 에칭률은 웨이퍼 중심 근처에서 가장 높고 웨이퍼 가장자리를 향하며 40%까지 떨어진다. 도 2의 스퍼터링 반응기가 스퍼터링 증착의 불균등을 가져오는 것으로 보이며, 스퍼터링 에칭 균등성이 필요해졌다.
코일(70)은 전기적으로 접지된 챔버벽(30)을 내측에서뿐만 아니라 접지된 스퍼터링 쉴드 내에서 지지되어, 증착으로부터 벽을 보호할 뿐만 아니라 음극 타겟(34)의 반대에서 양극으로서 작용하도록 사용될 필요가 있다. 간단히, 단순하게 편리한 기계적 시스템은 코일을 지지하고 그 안에 전기적 연결을 제공할 필요가 있다. 특히 최근 개발된 300mm 챔버와 관련된 다른 문제는, 챔버의 크기가 청정실 공간 내의 반응기의 예상 지역를 감소하도록 최소화된다는 점이다.
플라스마 스퍼터링에 관련된 본 발명의 일 양상은, 코일의 뒤에 배치되고 코일의 축을 따라 자극(magnetic polarity)을 구비한 자석 링을 포함한다. 코일은 처리될 기판 근처에서 플라스마를 유도하고, 특히 기판을 스퍼터링 에칭하는데 사용될 수 있으며, 스퍼터링 증착을 위한 제 2 타겟으로서 사용될 수 있다. 두 개의 처리 사이의 변화 또는 조합은 코일 및 타겟과 웨이퍼 바이어싱 상에서 RF와 DC 바이어싱 조건에 따른다. 자석 링은 축방향 DC 전기장을 플라스마 막으로서 작용하는 코일에 인접하게 제공하고, 따라서 코일에 의해 유도 생성된 플라스마가 확산되거나 기판에 끌리기 전에 코일에 접지되는 것을 방지하여 기판의 스퍼터링 에칭의 방사상 균일성을 개선한다. 축방향 DC 자기장은 또한 코일을 스퍼터링하기 위한 마그네트론으로 사용될 수 있다.
자석 링은, 축방향으로 극성을 띈 영구 자석의 고리형 배열로 형성될 수 있으며, 중심축과 동축인 하나 또는 그 이상의 솔레노이드에 의해 또는 보다 작은 솔레노이드의 고리형 배열에 의해 형성될 수도 있다. 코일은 바람직하게는 튜브 형이며, 자석 링은 코일을 넘어 기판을 향해, 코일의 중심축 부분으로부터 연장되는 것이 바람직하다. 다수의 솔레노이드는 별도로 독립적으로 전원을 공급받아 일련의 전기적으로 배열된다.
둘러싸인 루프(roof) 마그네트론이 주 타겟 뒤에 사용된다면, 코일의 보어에서 자석 링에 의해 생성된 DC 자기장의 축방향 극성은 루프 마그네트론의 외측 폴에 의해 생성되어 반대인 것이 바람직하다.
자석 배열은 진공 챔버의 외측 측벽 상에 고정되는 것이 바람직하다.
본 발명의 다른 양상은 플라스마 스퍼터링 반응기에 사용될 수 있는 쉴드 시스템을 포함하며, 자석 링을 채택하는 것에만 한정되지 않는다. 내측 쉴드는 타겟으로부터 웨이퍼를 지지하는 기저부에 연장되고, 플랜지에 의해 쉴드의 중앙 부분에서 지지된다. 내측 쉴드의 내측면은 평탄한 윤곽을 갖고 챔버 축으로부터 10도 이상 기울어진 면을 갖지 않는다. RF 코일은 내측 쉴드에 의해 지지될 수 있으며, 내측 쉴드를 통하는 전기적 리드를 갖는다.
도 1은, 라이너를 통해 종래의 일부 문제점을 도시하는 개략적 단면도이며,
도 2는, 플라스마 공급원 및 스퍼터링 타겟을 구동하도록 유도 코일 및 작은 불균등 마그네트론을 사용하는 플라스마 스퍼터링 반응기의 개략적 단면도이며,
도 3은, 도 2의 종류의 스퍼터링 반응기에서 관측되는 불균등 스퍼터링 에칭을 도시하는 그래프이며,
도 4는, 도 3의 불균등 에칭을 설명하는 개략적 도면이며,
도 5는, 코일 뒤쪽에서 외측 자기 배열 및 RF 코일을 포함하는 본 발명의 반응기의 개략적 단면도이며,
도 6은, 도 5의 종류의 스퍼터링 반응기에서 관측되는 보다 균등한 스퍼터링 에칭을 도시하는 그래프이며,
도 7은, 영구적인 자기 배열을 대체하는 자기 고리로서 사용되는 하나의 솔레노이드 코일을 개략적으로 도시하며,
도 8은, 자기 고리로서 사용되는 두 개의 동축 코일을 개략적으로 도시하며,
도 9는, 내측 쉴드, 외측 쉴드 및 주변 쉴드(skirt shield)의 쉴드 시스템 및 RF 코일, 마그네틱 고리를 채택한 스퍼터링 반응기의 단면도이며,
도 10은, 내측 쉴드의 평면도이며,
도 11은, 내측 쉴드의 사시도이며,
도 12는, 외측 쉴드의 사시도이다.
불균등 정도를 나타내는 것으로 알려진 메커니즘을 도 4의 개략적인 도면을 참조하여 설명한다. RF 유도 코일(70)은 코일(70) 근처에서 크게 집중된 최초 플라스마 분배(80)를 생성한다. 가장자리 국부화는 생성된 플라스마 표면 깊이 면에서 설명된다. RF 코일(70)은 RF 자기장을 일반적으로 중심축(32)을 따라서 생성한다. 그 다음, RF 자기장은 방위각 전기장이 플라스마를 지지하고 밀도를 증가시키는 방위각 전류를 일으키도록 한다. 그러나 그 다음 전기장은 높은 전도성 플라스마에 의해 전기적으로 쇼트된다. 즉, RF장이 플라스마의 표면 깊이에서만 플라스 마 내에 도달한다. 그러나 플라스마는 분배(82)에서 도시되듯 웨이퍼(40)를 향한 축 방향에서 그리고 방사상으로 확산된다. 방사상 확산은 중심축(32)을 향한 내측 성분 및 코일(70)을 향한 외측 성분을 포함하며, 상기 코일이 전기적으로 구동된 코일(70)을 가격하는 전자를 가라앉게 한다. 중성 플라스마에서 이온 밀도는 전자 밀도를 따른다. 가장자리 손실 효과는 후속적인 분배(84)를 뾰족하게 하고, 여기에서 가장자리는 중심(32)에 이미 확산된 전자를 빼낸다. 그 결과, 플라스마가 웨이퍼(40)를 가격할 때, 플라스마 밀도의 분배는 중심(32)에서 최대이며 웨이퍼(40)의 가장자리를 향하여 감소된다. 이러한 플라스마 밀도 분배는 직접적으로 스퍼터링 에칭 비율에 나타난다.
장(field)이 없는 영역에서 확산 비율, 더 정확히 벡터 확산 유동(J)은 플라스마 밀도(n)에 비례하고 확산 상수(D0)에 곱해져서, 즉
J = D 0 ▽n
이다. 본 발명의 개념에 따라서, 확산은 자기적으로 제어 가능하다. 중성 플라스마의 자기장에서의 효과적 확산 상수(D)는
D = D 0 / (1 + ω 2 c τ 2 m )
이며, 여기에서 Tc는 자기장(B)에 관련된 사이클로트론(cyclotron) 주파수이고,
ω c = eB / m
이며, e와 m은 전자의 전하량(charge) 및 질량이고, σm은 충돌 모멘텀 전달 수명(collisional momentum transfer lifetime)이다.
본 발명의 일 양상에 따르면, 자기장은 자기막(magnetic barrier)을 생성하여 전자를 트랩하고 따라서 플라스마 전자가 코일(70), 챔버 측벽(30), 또는 도시되지 않은 그 사이의 쉴드에 누출되는 것을 방지한다. 축방향 전기장이 벽으로 확산을 방지하는데에 보다 효과적이다. 방위각 자기장이 벽으로의 확산을 늦추는 동안, 이는 스퍼터링 반응기의 일반적인 원 형태와 일치하지 않으며, 웨이퍼로의 플라스마의 축방향 확산을 늦춘다. 방사상 자기장은 축방향 방사상 확산을 늦추지 않으며, 오직 축방향 확산을 늦춘다.
이러한 개념은, 도 5에서 개략적으로 도시된 스퍼터링 반응기 내에 채택된 보조 측벽 링 마그넷(90) 내에 채택된다. 간단히 도시하도록, 본 도면이 도 2에서 이미 도시한 전력 회로를 도시하지 않는다. 이 점에서, 보다 실질적인 구조가 추후 상세히 언급되겠지만, 본 도면이 본 발명의 자기장 부분 및 그 수단을 이해하는데 적합하다.
자석 고리(90)는, 일반적으로 코일(70) 외측으로 방사상인 챔버 측벽(30) 외측에 배치되어, 코일(70)의 면에 평행하며 (중심축(32)에 평행한) 큰 축방향으로 인접한 쌍극 링 자기장(92)을 생성한다. 내측 코일면에서 이러한 축방향 부분은 에너지 및 속도 방향에 따라서 플라스마 전자를 트랩하고, 따라서 전자막을 생성하여 플라스마 전자의 코일(70) 또는 후술할 쉴드에 대한 확산을 감소시킨다. 자석 고리(90)는, 챔버의 외측 둘레를 따라 배열된 동일한 극성의 다수의 영구 자석으로 형성될 수 있다. 일반적으로 고리의 자극이 직접 원하는 막에 영향을 주지 않지만, 고리(90)의 극성이 루프 마그네트론(60)의 보다 강한 외측 폴(64)의 반대로 평행한 극성 또는 반대인 것이 바람직하다. 다른 면에서, 평행한 방향은 자기장(92)을 내측면으로부터 멀리 두고, 인접한 루프 마그네트론의 외측 폴(64)을 향하도록 하여, 코일(70)의 일 지점에서 원하는 효과를 낮춘다. 딩(Ding) 등은, 현재 US2003-089,601호로 공개된 미국 출원 제 09/993,543호에서 코일이 유출되어도 유사한 위치에서 유사한 자석 링을 두었다. 그러나 이들은, 외측 폴(64)로부터 웨이퍼(40)를 향하는 자기장을 돌출된 자기장을 연장하고 타겟으로부터 스퍼터링된 이온들을 안내하도록 루프 마그네트론(60)의 외측 폴(64)과 함께 자석 고리의 평행한 극성 방향을 주장한다.
자석 및 자석 폴 표면을 포함한 자석 고리(90)는 적어도 부분적으로 코일(70)의 방사상 외측을 향해 연장되고, 축방향 거리로 바람직하게는 코일(70)의 길이만큼 연장된다. 일 실시예에서, 자석 고리(90)의 상부 단부는 밴드 코일(70)의 평균 축방향 평면보다 약간 위거나 동일평면이며, 그 하부 단부는 코일(70)의 가장 낮은 축방향 평면 아래로 연장된다. 이러한 배치는, 코일(70)의 표면에 인접한 가장 강한 제 2 플라스마 공급원 영역에 인접하며 웨이퍼(4)를 향한 플라스마의 장 구동 전류 및 확산을 제어하는 보다 낮은 축방향 면 상의 중요한 축방향 자기 성분들을 강화한다.
자석 고리(90)는 마그네트론으로 작용하는 추가 효과를 갖는다. 밴드 코일 (70)의 표면에 평행한 자석 성분은 전자를 트랩하여 플라스마 밀도 및 밴드 코일(70)로부터의 스퍼터링 비율을 증가시킨다. 이러한 효과의 장점은, 주 타겟(34)으로부터 스퍼터링된 것과 동일한 물질로 이루어진 밴드 코일(70)의 표면 부분에 의한다는 점이다. 코일 스퍼터링은, 코일(70)이 유도적으로 제 2 플라스마 공급원에 전원을 공급하는 스퍼터링 에칭보다는 스퍼터링 증착의 원인이 된다.
링 자석 없이 도 3의 정보를 수집하는데 사용된 실험을 본 발명의 자석 고리를 사용하여 반복하였다. 두 개의 다른 바이어스 전력에서 웨이퍼를 가로지르는 에칭 비율이 도 6에 도시된다. 명백하게, 링 자석은 에칭 균등성을 매우 증가시킨다.
전자석이 영구 자석의 효과와 다소 유사하게 제공될 수 있다. 도 7에서 도시된 바와 같이, 전자석이라 불리는 솔레노이드 코일(100)이 챔버벽(30) 외측에서 중심축(32) 둘레로 싸여 있어서 도 5의 자석 고리(90)와 유사한 자석 고리로서 작용한다. DC 전류 공급원(102) 또는 다른 전력 공급원은 솔레노이드(100)에 전력을 공급하여, 도 5의 루프 자석(64)의 외측 폴(64)과 반대인 것이 바람직한 솔레노이드(100)의 보어 내에 극성을 구비하며 RF 밴드 코일(70)의 내측 면에서 매우 수직인 자기장(104)을 생성한다. 바람직하게는, 전류 공급원(102)이 선택적이어서 자기장의 강도가 다양할 수 있어서 처리를 최적화하거나 처리 단계 사이의 자기장을 변화시킬 수 있다. 솔레노이드(100)는 도 5의 영구 자석 고리(90)와 유사한 길이 빛 배치인 것이 바람직하다. 솔레노이드(100)는 단일 회전 또는 복수의 회전일 수 있으며 바람직한 RF 코일(70)과 같은 고리형 밴드로 형성될 수 있다. 단일 회전 보정은 360도 전체로 연장될 필요는 없으나 고리형 갭이 25도, 바람직하게는 약 18도가 코일(70)의 두 단부 사이에서 연장되어 두 단부에 분리된 전기적 연결을 제공할 수 있다.
솔레노이드는, 영구 자석 고리에 의해 제공되는 쌍극장(dipole field)과 정확하지 않으나 유사한 형태를 갖는 자기장을 제공한다. 링 쌍극장은 1/t에 의해 자석으로부터 떨어지며, 여기에서 t는 자석으로부터의 거리이고 링 반지름보다 매우 작다. 다른 한편으로, 솔레노이드장은 t의 로그형으로 떨어진다. 플라스마가 DC 자기장을 단축시키지 않기 때문에 솔레노이드 장은 중심축을 따른 보어의 중심에서 강해진다. 따라서, 솔레노이드 장은 고리형 플라스마 공급원에서 중심으로의 내측 확산을 방지하는 경향이 있다. 그럼에도, 전기 코일을 사용하여 링 쌍극 분배에 근접한 장 분배를 제공하는 것이 가능하다. 도 8에서 도시된 바와 같이 두 개의 동축 솔레노이드(106)(108)는 챔버벽(30)의 외측을 둘러싼다. 이는 동일한 축 배치를 갖지만, 방사상으로 s 거리 만큼 떨어져 있다. 이들이 반대 방위 방향 또는 상반된 방향으로 전력을 공급받으면, 이들은 대체로 다른 방향으로 평행한 일반적인 보어 내에 자기장을 각각 제공한다. 총 자기장, 즉 두 크기의 차이는 s값에 의존하며 링 쌍극장 분배에 근접한다. 두 코일(106)(108)은 연속적으로 연결되지만 단일 전력 공급원에 반대 방향이며 또는 도시된 바와 같이 각각 독립적인 전력 공급원(102a)(102b)에 연결된다.
솔레노이드 자석 고리는 처리 최적화 및 자기장 변화 면에서 조정 가능하다는 장점을 가지며, 따라서 동일 절차의 다른 단계들 사이의 플라스마 막 및 타겟 스퍼터링에서 조정 가능하다. 독립적으로 전력을 공급받는 동축의 솔레노이드는 솔레노이드 장과 쌍극 링 장 사이에서 조정 가능하며, 다시 처리 최적화 또는 처리 단계 사이에서 조정 가능하다. 이러한 조정 가능성은 RF 코일이 부족한 스퍼터링 반응기에 사용될 수 있다.
고리형 전자기 고리의 다른 종류는, 효과적으로 개별적으로 자석 고리의 영구 자석을 대체하는 보다 작은 축방향의 고리형 배열이다. 딩(Ding) 등은, 모출원인 09/993,543호에서 이러한 구성을 기재하였다. 이러한 솔레노이드 배열은, 솔레노이드가 분리되어 전력을 공급받는 경우 방위각으로 조정 가능할 수 있다.
전자석의 사용은 RF 코일에 인접한 챔버 내측에서 코일을 대체하는 것을 유용하게 한다.
보다 실제적인 플라스마 스퍼터링 반응기가 도 9에서 도시되며, 루프 마그네트론, 전력 공급원, 기저부와 같은 많은 부분들이 도시되지 않지만, 이는 도 2 및 도 5에서 확인할 수 있다. 상부 챔버는 상부 챔버벽(120) 및 금속 림(122)에 의해 형성되고, 중심축(124) 둘레로 거의 대칭이다. 절연체(126)는 이를 지지하는 림(122)과 차례로 이를 지지하는 타겟 배킹 플레이트(target backing plate)(130)의 플랜지(128)에 밀봉된다. 배킹 플레이트(130)는 모서리를 구비한 리세스(132)를 포함하며, 모서리 아래에서 타겟층(134)이 배킹 플레이트(130)와 연결된다. 타겟층(134)은 예를 들어 탄탈 또는 다른 내화 금속으로 이루어진다. 구리 또는 알루미늄이 스퍼터링되면 타겟 디자인은 보다 간단하다.
본 발명의 자석 고리(136)는 상부 챔버벽(120)의 하부 부분 내의 리세스 내 에 부분적으로 맞추어지고 이에 의해 지지된다. 자석 고리(136)는 단부와 단부가 볼트 결합한 두 개의 180도 세그먼트로 형성되어 예를 들어 20개 또는 그 이상의 다수의 영구 자석을 붙잡는 원형 구조를 형성한다. 캐리어는 도시되지 않은 기계적 구조에 의해 챔버벽(120)에 볼트 결합한다. 일반적인 캐리어 및 자석 디자인은 등 등에 의한 특허 출원 제 09/993,543 호에 개시된다.
하부 챔버는, 상부 챔버벽(120)에 밀봉되고 이를 지지하는 하부 챔버벽(140)에 의해 형성된다. 이는 기저부(146)의 도시되지 않은 스템(stem)을 위한 밀봉된 통로(144) 및 큰 진공 펌핑 포트(142)를 포함한다. 외측으로 구동되는 스템은 기저부(146)를 도시된 처리 위치로부터 낮은 전달 위치로 낮추어서 웨이퍼가 슬릿 밸브 틈(148)을 통해 기저부(146)로 또는 기저부로부터 전달되도록 한다. 도시되지 않은 증착 고리가 기저부의 레지(ledge)(150) 내에서 지지되어 증착으로부터 기저부(146)를 보호한다. 처리 가스를 위한 하나 또는 그 이상의 가스 포트(152)가 하부 챔버 내에 위치한다.
바람직하게는 4의 가로세로비를 갖는 밴드-형태 또는 튜브형의 RF 코일(160)은, 코일(160)의 외측으로 연장된 탭(166)을 붙잡는 5개의 절연체(164)를 통해 단일-부품 내측 쉴드(162) 상에서 지지된다. RF 코일(162)은 금속 쉴드 내에 비치되어서 쉴드가 RF장을 단축하는 것을 방지해야 한다. 쉴드가 비-자석 물질로 이루어지는 한, 쉴드는 링 자석(136)으로부터 DC 자기장에 거의 효과가 없다. RF 코일(160)의 상대적인 위치 및 자석 고리(136)는 도 5를 참조하여 전술한 바와 같다.
내측 쉴드(162)는 타겟 배킹 플레이트(130)에 인접한 상부 단부로부터 RF 코 일(160) 아래의 바닥 단부에 그리고 처리 위치에서 전형적으로 기저부(146)의 상부 표면 바로 아래에 연장된다. 내측 쉴드(162)는 챔버벽(120)을 스퍼터링 증착으로부터 보호하고, 증착 사이클의 일정 회수 이후 교체하는 소모성 아이템으로서 고려되는 것이 일반적이어서 실질적으로 두께로 축적되는 증착 물질은 얇은 조각이 되거나 파편을 형성하지 않는다. 내측 쉴드(162)의 상부 단부는 타겟 플랜지(128) 및 그것과 타겟 플랜지(128) 및 절연체(129) 사이에서 작게 분리된 모서리에 의해 형성된 리세스(132) 내에 맞추어진다. 작은 갭은, 플라스마를 지지할 수 없는 플라스마 다크 스페이스(plasma dark space)으로서 작용하며 따라서 갭 내의 금속의 스퍼터링 증착을 방지하고 접지된 쉴드(162) 또는 금속 림(122)으로 타겟을 단축한다. 고리형 플랜지(168)는 내측 쉴드로부터 방사상 외측으로 연장되고 상부 챔버벽(120)의 내측 레지에 전기적으로 접지되고 지지된다. 플랜지(168)는 내측 쉴드(162)의 상부 단부와 하부 단부 사이에 배치되어 내측 쉴드(162)의 상부 단부가 림(122)과 절연체(126)의 정면에서 연장되도록 한다. 분리된 림(122)은 쉴드를 챔버벽(126)에 나사 결합하도록 한다. 내측 쉴드(162)의 내측 표면은, 둥근 상부 및 하부 팁 외에는 수직으로부터 10도보다 크게 분기된 표면이 없는 비드 블래스팅(bead blasting) 넘어 부드러운 윤곽을 갖는다. 이러한 부드러운 표면은 뾰족한 모서리에서 증착된 물질이 조각나는 것을 감소시킨다.
내측 쉴드(162)는 추가로 도 10에서 평면도로 도시되며, 도 11에서 사시도로 도시된다. 5개의 원형 리세스(170)가 쉴드(162)의 외측벽 상에 형성되어 외측 캡(172)이 홀을 통해 나사 결합하여 외측 캡(172) 및 내측 칼라(176)가 리세스(170) 영역 내에서 쉴드(162)를 통하는 틈(178)을 통해 코일 탭(166)을 붙잡도록 하고, 따라서 코일(170)을 내측 쉴드(162)에 맞춘다.
쉴드 플랜지(168)는 다수의 스루홀(through hole)(180)을 포함하며, 이를 통해 나사는 쉴드를 챔버 바디에 고정한다. 플랜지(168)의 외측 및 상부 모서리에 형성된 두 개의 부분적인 리세스(182)가 스루홀(184)을 통하는 나사 부재에 너트 나사 결합하도록 하여, 두 개의 쉴드가 하나의 유닛으로 조립되도록 한다. 홀(184)의 쌍은 나사산이 나서 위쪽으로의 호이스트(hoist)와 순간적 나사 결합이 쉴드 유닛을 기계적으로 들어올리도록 한다. 두 개의 각도 상 오프셋 평면(186)은 외측벽면 내에서 형성되어, RF 피드(feed)를 쉴드(162)로부터 절연시키는 절연 세라믹 플레이트에 채택된다. 스루홀(188) 내에 위치한 세라믹 부슁(bushing)은 이들이 통과하는 쉴드(162)로부터 코일(160)의 외측으로 원형으로 연장된 단부를 절연한다. 홀(190)은 구조를 통해 쉴드(162)에 피드를 나사 고정한다. 평면(186) 영역 내에서 플랜지(168)의 외측 부분들 통한 두 개의 일반적인 준-원형 절단부(192)는, 챔버벽(120)을 통해 RF 진공 피드스루(feedthrough)에 각각의 평면(186) 내에 위치한 코일 단부의 나사 고정을 하도록 한다.
도 9에서 단면도로 도시되고 도 12에서 사시도로 도시되는 고리형 외측 쉴드(200)는 일반적으로 내측 쉴드(162)와 상부 챔버벽(120) 사이에 배치된다. 이는, 챔버벽(120) 내의 레지와 내측 쉴드(162)의 플랜지(168) 사이에 배치된 플랜지(202)를 포함한다. 한 세트의 나사가 내측 쉴드(162)의 스루홀(180) 및 외측 쉴드(200)의 스루홀(204)을 통과하여, 챔버벽에 이들을 고정하고 전기적으로 접지한다.
외측 쉴드(200)는 하부 단부에서 방사상으로 연장된 벽(206)과 짧게 상방으로 연장된 내측벽(208)을 포함하는 보울(bowl) 부분을 포함하며, 이들은 바닥 챔버가 증착되는 것을 방지한다. 기저부(146)가 처리 위치에 있으나 기저부(146)가 웨이퍼 전달부보다 낮아서 내측 쉴드벽(208) 상에 지지될 때, 도시되지 않은 쉐도우 고리가 기저부 레지(150) 내에서 증착 링 상에 지지된다.
예를 들어 적어도 20인 다수의 원형 틈(210)이 외측 쉴드(200)의 외측 곧은 벽(212)의 바닥 근처에서 원형 배열로서 형성된다. 이러한 가스 틈(210)은 처리 가스의 유동이 하부 챔버 내의 가스 포트(152)로부터 상부 챔버 내의 처리 공간으로 용이하게 유동하도록 한다. 개선된 가스 유동은 특히 하나의 가스 종류가 소비된 스퍼터링 반응에서 중요하다. 가스 유동은 내측 쉴드(162)의 바닥 근처 뒤쪽에서 고리형 리세스(214)를 형성함으로써 더욱 개선된다.
5개의 넓은 원형 틈(216)이 작은 틈(210) 상의 곧은 벽(212) 내에 형성된다. 넓은 틈(216)이 내측 쉴드(162)에 고정된 절연체(164)를 따라 형성되어 절연체(164)의 외측 캡(172)으로의 접근을 허용하고 이를 위한 공간을 허용한다. 넓은 사각형의 호 형태인 절단부(218)가 RF 코일(160) 영역의 단부 및 RF 피드스루 영역에서 플랜지(204)와 곧은 벽(212)을 절단한다.
도 9에서 도시되는 세 번째 고리형 주변 쉴드(220)가 상부 챔버벽(120)에 고정되지만 외측 쉴드(200)의 바닥 근처 및 약간 안쪽으로의 꼬리 또는 주름(222)에서의 단부 근처에서 하강한다. 이는 상부 및 하부 챔버벽(120)(140)이 작은 가스 틈(210)을 통해 증착이 누출되는 것을 방지한다. 내측 및 외측 쉴드(160)(200)가 전형적으로 알루미늄으로 형성되며, 주변 쉴드(220)는 비-자기 강으로 형성된다.
쉴드 다자인은 반응기 내에서 비교적 공간을 거의 차지하지 않지만 가스 유동을 용이하게 한다. 또한, 쉴드 시스템은 RF 코일을 지지하고, 이에 전기적 연결을 통한다. 쉴드 시스템이 내측 코일 및 외측 자석 고리를 구비한 반응기의 예에서 개시되었으나, 코일 또는 자석이 부족한 다른 반응기에서도 사용될 수 있다. 반응기가 내측 RF 코일이 부족하다면, 내측 쉴드의 원형 리세스 및 외측 쉴드의 절단면과 넓은 틈이 포함될 필요가 없다.
스퍼터링 반응기의 개시된 실시예에서 탄탈 타겟을 포함했다. 특히 내화 금속인 티타늄이나 텅스텐과 같은 다른 금속 타겟이 사용될 수도 있다. 본 발명은 구리 스퍼터링에도 적용 가능하지만 알루미늄과 유사하게 구리 RF 코일을 녹이지 않도록 주의해야 한다.
개시된 반응기 및 그 일부는 작은 공간에서 추가 비용이 거의 없이 개선되고 용이한 수행을 제공한다.

Claims (23)

  1. 플라스마 스퍼터링 반응기로서,
    중심축 둘레에 배열되고, 스퍼터링 타겟에 밀봉되도록 구성되며, 스퍼터링될 표면 물질을 포함하는 진공 챔버;
    처리 위치에서 처리될 기판을 지지하기 위한 기저부로서, 상기 타겟과 상기 기저부 사이에서 상기 중심축을 따라 연장된 처리 공간을 건너 상기 타겟의 반대편에 위치한 기저부;
    상기 중심축 둘레에 배열된 RF 코일; 및
    상기 RF 코일의 내측에 DC 자기장을 제공하며, 적어도 부분적으로 상기 RF 코일의 방사상 외측으로 배열되는 배열을 따르며, 적어도 부분적으로 상기 RF 코일과 축방향으로 동일 공간(coextensive)에 있는 고리형 자석 링을 포함하는, 플라스마 스퍼터링 반응기.
  2. 제 1 항에 있어서,
    상기 고리형 자석 링에서, 상기 중심축에 따른 축방향 길이가 상기 코일의 길이 이상인, 플라스마 스퍼터링 반응기.
  3. 제 2 항에 있어서,
    상기 고리형 자석 링은, 상기 코일보다 상기 기판에 축방향으로 더 근접하도 록 연장된, 플라스마 스퍼터링 반응기.
  4. 제 3 항에 있어서,
    상기 코일은 상기 자석 링보다 상기 타겟에 축방향으로 더 근접하도록 연장된, 플라스마 스퍼터링 반응기.
  5. 제 1 항에 있어서,
    상기 고리형 자석 링은, 상기 축방향을 따라 자력을 띈 영구 자석의 고리형 배열을 포함하는, 플라스마 스퍼터링 반응기.
  6. 제 1 항에 있어서,
    상기 고리형 자석 링은, 상기 중심축을 둘러싸는 전자석 코일을 포함하는, 플라스마 스퍼터링 반응기.
  7. 제 1 항에 있어서,
    상기 자석 코일은 단일-회전 밴드-형 코일인, 플라스마 스퍼터링 반응기.
  8. 제 1 항에 있어서,
    상기 타겟은 탄탈을 포함하는, 플라스마 스퍼터링 반응기.
  9. 플라스마 스퍼터링 및 처리 반응기로서,
    중심축 둘레에 배열되고, 스퍼터링 타겟에 밀봉되도록 구성되며, 스퍼터링될 표면 물질을 포함하는 진공 챔버;
    처리 위치에서 처리될 기판을 지지하기 위한 기저부로서, 상기 타겟과 상기 기저부 사이에서 상기 중심축을 따라 연장된 처리 공간을 건너 상기 타겟의 반대편에 위치한 기저부;
    상기 처리 공간의 절반 아래에서 상기 중심축을 따라 배열되며, 튜브 두께에 대한 축방향 길이의 비가 4 이상인 튜브 형태인 단일-회전 코일; 및
    상기 챔버의 방사상 외측에 있으며 적어도 부분적으로 상기 코일과 축방향으로 동일 공간에 있는 고리형 자석 링을 포함하는, 플라스마 스퍼터링 및 처리 반응기.
  10. 제 9 항에 있어서,
    상기 자석 링이 상기 중심축에 수직인 한 평면으로부터 연장하여, 상기 코일을 통해 상기 코일과 상기 기저부 사이의 중심축에 수직인 한 평면으로 통하는, 플라스마 스퍼터링 및 처리 반응기.
  11. 제 9 항에 있어서,
    상기 코일의 반대쪽 단부들 사이에서 RF 전류를 통과시키는 선택적 RF 전력 공급원; 및
    상기 코일을 선택적 전압으로 바이어싱시키는 선택적 DC 전력 공급원을 더 포함하는, 플라스마 스퍼터링 및 처리 반응기.
  12. 제 9 항에 있어서,
    상기 플라스마 스퍼터링 및 처리 반응기는, 상기 타겟의 일면에 배치된 마그네트론(magnetron), 상기 중심축을 따르는 제 1 자극(magnetic polarity)의 내측 폴, 및 상기 내측 폴을 둘러싸고 상기 제 1 자극에 반대인 제 2 자극을 구비한 외측 폴을 포함하며,
    상기 고리형 자석 링은 상기 제 1 자극을 구비한 다수의 자석을 포함하는, 플라스마 스퍼터링 및 처리 반응기.
  13. 플라스마 스퍼터링 반응기에서 사용되도록 이루어지며, 축 둘레로 일반적으로 원형 대칭인 쉴드에 있어서,
    상기 축을 따라 연장된 상부 단부;
    상기 축을 따라 연장된 하부 단부; 및
    상기 상부 단부와 상기 하부 단부 사이의 상기 축으로부터 방사상 외측으로 연장된 플랜지를 포함하며,
    내측 표면 쉴드는 상기 축으로부터 10도 이하의 경사로서 상기 축을 향하고 평탄한, 쉴드.
  14. 제 13 항에 있어서,
    상기 상부 단부의 상부 말단은 상기 플라스마 스퍼터링 반응기의 아이솔레이터(isolator)와 타겟 사이의 플라스마 다크 스페이스(plasma dark space)을 형성하도록 이루어진, 쉴드.
  15. 제 13 항에 있어서,
    상기 하부 단부의 하부 부분은 상기 하부 단부의 외측 표면 상에 형성된 고리형 리세스를 구비하여 상기 하부 부분의 방사상의 두께를 감소시키는, 쉴드.
  16. 제 13 항에 있어서,
    상기 하부 단부의 외측 표면에서 원형 배열로 형성된 다수의 원형 리세스를 더 포함하는, 쉴드.
  17. 제 13 항에 있어서,
    상기 리세스는, 상기 리세스 영역 내에서 상기 하부 단부를 통하는 전기적 절연체(standoff) 부분을 수용하도록 구성된, 쉴드.
  18. 제 13 항에 있어서,
    각각의 플레이트들을 수용하고 그리고 각각의 전기선을 통과하기 위해 각각의 상기 플레이트들을 통하도록 형성된 각각의 홀들을 구비하도록 상호 인접한 두 개의 평면을 더 포함하는, 쉴드.
  19. 제 18 항에 있어서,
    상기 두 개의 평면에 인접한 상기 플랜지의 외측면 내에 두 개의 절단부를 더 포함하는, 쉴드.
  20. (a) 중심축 둘레에 배열된 진공 챔버,
    (b) 상기 챔버 상의 타겟을 지지하는 지지 플랜지 및 상기 타겟의 스퍼터링 영역과 상기 플랜지 사이에 형성된 리세스를 포함하는, 타겟, 및
    (c) 상기 타겟의 반대에서 기판을 지지하기 위해 상기 중심축을 따라 작동 위치를 갖는 기저부를 포함하는, 스퍼터링 반응기에 사용되기 위한, 쉴드로서
    상기 쉴드는 상기 중심축 둘레에서 일반적으로 원형 대칭이고,
    상기 리세스 내에서 상기 축을 따라 연장된 상부 단부;
    상기 작동 방향으로 상기 기저부의 상부 표면 뒤에서 상기 축을 따라 연장된 하부 단부; 및
    상기 상부 단부와 상기 하부 단부 사이의 상기 축으로부터 방사상 외측으로 연장된 플랜지를 포함하며,
    상기 내측 표면 쉴드는 상기 축으로부터 10도 이하의 경사로서 또는 평탄하게 상기 축을 향하고 평탄한, 쉴드.
  21. 제 20 항에 있어서,
    상기 상부 단부의 상부 말단은
    상기 챔버의 금속성 측벽과 상기 타겟 사이에 배치된 아이솔레이터와 타겟 사이의 플라스마 다크 스페이스을 형성하도록 이루어진, 쉴드.
  22. 제 20 항에 있어서,
    상기 하부 단부의 하부 부분은 상기 하부 단부의 외측 표면 상에 형성된 고리형 리세스를 구비하여 상기 하부 부분의 방사상 두께를 감소시키는, 쉴드.
  23. 제 20 항에 있어서,
    상기 하부 단부의 외측 표면에서 원형 배열로 형성된 다수의 원형 리세스를 더 포함하는, 쉴드.
KR1020057024985A 2003-06-26 2004-06-22 유도 결합 플라스마의 균등성을 증가시키는 측벽 자석 및이에 사용되는 쉴드 KR101138566B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/608,306 US7041201B2 (en) 2001-11-14 2003-06-26 Sidewall magnet improving uniformity of inductively coupled plasma and shields used therewith
US10/608,306 2003-06-26
PCT/US2004/020186 WO2005004189A2 (en) 2003-06-26 2004-06-22 Sidewall magnet improving uniformity of inductively coupled plasma and shields used therewith

Publications (2)

Publication Number Publication Date
KR20060082398A true KR20060082398A (ko) 2006-07-18
KR101138566B1 KR101138566B1 (ko) 2012-05-10

Family

ID=33564205

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057024985A KR101138566B1 (ko) 2003-06-26 2004-06-22 유도 결합 플라스마의 균등성을 증가시키는 측벽 자석 및이에 사용되는 쉴드

Country Status (5)

Country Link
US (2) US7041201B2 (ko)
JP (2) JP4970937B2 (ko)
KR (1) KR101138566B1 (ko)
CN (2) CN101924006B (ko)
WO (1) WO2005004189A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101227673B1 (ko) * 2010-12-08 2013-01-29 엘아이지에이디피 주식회사 화학기상 증착장치
KR101298837B1 (ko) * 2010-06-25 2013-08-23 주식회사 유아이디 터치 패널용 ito 코팅 유리 제조 방법 및 이에 사용되는 스퍼터링 장치

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US7041201B2 (en) * 2001-11-14 2006-05-09 Applied Materials, Inc. Sidewall magnet improving uniformity of inductively coupled plasma and shields used therewith
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
US7449780B2 (en) * 2003-03-31 2008-11-11 Intel Corporation Apparatus to minimize thermal impedance using copper on die backside
US7182816B2 (en) * 2003-08-18 2007-02-27 Tokyo Electron Limited Particulate reduction using temperature-controlled chamber shield
US7431195B2 (en) * 2003-09-26 2008-10-07 Praxair S.T. Technology, Inc. Method for centering a sputter target onto a backing plate and the assembly thereof
US7294224B2 (en) * 2003-12-01 2007-11-13 Applied Materials, Inc. Magnet assembly for plasma containment
US7892406B2 (en) * 2005-03-28 2011-02-22 Tokyo Electron Limited Ionized physical vapor deposition (iPVD) process
US20090321247A1 (en) * 2004-03-05 2009-12-31 Tokyo Electron Limited IONIZED PHYSICAL VAPOR DEPOSITION (iPVD) PROCESS
JP2006148074A (ja) * 2004-10-19 2006-06-08 Tokyo Electron Ltd 成膜方法及びプラズマ成膜装置
US9659758B2 (en) * 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US20060278520A1 (en) * 2005-06-13 2006-12-14 Lee Eal H Use of DC magnetron sputtering systems
US20070068795A1 (en) * 2005-09-26 2007-03-29 Jozef Brcka Hollow body plasma uniformity adjustment device and method
US20070074968A1 (en) * 2005-09-30 2007-04-05 Mirko Vukovic ICP source for iPVD for uniform plasma in combination high pressure deposition and low pressure etch process
US9127362B2 (en) * 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US20070209925A1 (en) * 2006-03-09 2007-09-13 Applied Materials, Inc. Etch and sidewall selectivity in plasma sputtering
US8920613B2 (en) * 2007-01-31 2014-12-30 Applied Materials, Inc. Offset magnet compensation for non-uniform plasma
US20080257263A1 (en) * 2007-04-23 2008-10-23 Applied Materials, Inc. Cooling shield for substrate processing chamber
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
US20090107955A1 (en) * 2007-10-26 2009-04-30 Tiner Robin L Offset liner for chamber evacuation
US20090194414A1 (en) * 2008-01-31 2009-08-06 Nolander Ira G Modified sputtering target and deposition components, methods of production and uses thereof
JP5916384B2 (ja) 2008-04-16 2016-05-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ウェハ処理堆積物遮蔽構成材
US9123511B2 (en) 2008-05-02 2015-09-01 Applied Materials, Inc. Process kit for RF physical vapor deposition
US20100096255A1 (en) * 2008-10-22 2010-04-22 Applied Materials, Inc. Gap fill improvement methods for phase-change materials
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US9567666B2 (en) * 2009-01-12 2017-02-14 Guardian Industries Corp Apparatus and method for making sputtered films with reduced stress asymmetry
KR20120004502A (ko) * 2009-04-03 2012-01-12 어플라이드 머티어리얼스, 인코포레이티드 고압 rf-dc 스퍼터링과 이 프로세스의 단차 도포성 및 막 균일성을 개선하기 위한 방법
JP5558020B2 (ja) * 2009-04-06 2014-07-23 株式会社アルバック 成膜方法
US20110036709A1 (en) * 2009-08-11 2011-02-17 Applied Materials, Inc. Process kit for rf physical vapor deposition
US8591709B1 (en) 2010-05-18 2013-11-26 WD Media, LLC Sputter deposition shield assembly to reduce cathode shorting
CN105256276B (zh) 2010-06-10 2018-10-26 应用材料公司 具有增强的离子化和rf 功率耦合的低电阻率钨pvd
US8741888B2 (en) * 2010-11-09 2014-06-03 Carl A. Forest Sleep aid composition and method
US9279179B2 (en) * 2012-02-06 2016-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi coil target design
US8647485B2 (en) 2012-03-30 2014-02-11 Applied Materials, Inc. Process kit shield for plasma enhanced processing chamber
US20140001576A1 (en) 2012-06-27 2014-01-02 Applied Materials, Inc. Lowering tungsten resistivity by replacing titanium nitride with titanium silicon nitride
US9534286B2 (en) * 2013-03-15 2017-01-03 Applied Materials, Inc. PVD target for self-centering process shield
US9957601B2 (en) * 2013-03-15 2018-05-01 Applied Materials, Inc. Apparatus for gas injection in a physical vapor deposition chamber
US9644262B2 (en) * 2013-03-15 2017-05-09 Applied Materials, Inc. Self-centering process shield
CN104746043B (zh) * 2013-12-31 2017-10-13 北京北方华创微电子装备有限公司 反应腔室及等离子体加工设备
CN105336640B (zh) * 2014-06-17 2018-12-11 北京北方华创微电子装备有限公司 一种反应腔室和反应设备
JP6672595B2 (ja) * 2015-03-17 2020-03-25 凸版印刷株式会社 成膜装置
KR20180003249A (ko) * 2016-06-30 2018-01-09 (주)에스엔텍 고밀도 플라즈마 증착 장비
US10886113B2 (en) * 2016-11-25 2021-01-05 Applied Materials, Inc. Process kit and method for processing a substrate
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US11345991B2 (en) * 2018-09-27 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method and machine of manufacture
CN109837513A (zh) * 2019-04-11 2019-06-04 德淮半导体有限公司 用于物理气相沉积设备的护罩结构及其物理气相沉积设备
CN110988005B (zh) * 2019-12-17 2021-10-22 中国科学技术大学 一种真空系统下磁化材料的强永磁体装置

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4871433A (en) * 1986-04-04 1989-10-03 Materials Research Corporation Method and apparatus for improving the uniformity ion bombardment in a magnetron sputtering system
KR880013424A (ko) * 1987-04-08 1988-11-30 미타 가츠시게 플라즈머 장치
US5178739A (en) * 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
JPH04329870A (ja) * 1991-05-07 1992-11-18 Oki Electric Ind Co Ltd 電子線蒸着装置
US5482611A (en) * 1991-09-30 1996-01-09 Helmer; John C. Physical vapor deposition employing ion extraction from a plasma
TW271490B (ko) * 1993-05-05 1996-03-01 Varian Associates
US5431799A (en) 1993-10-29 1995-07-11 Applied Materials, Inc. Collimation hardware with RF bias rings to enhance sputter and/or substrate cavity ion generation efficiency
JP3419899B2 (ja) * 1994-07-26 2003-06-23 東京エレクトロン株式会社 スパッタリング方法及びスパッタリング装置
JPH08288096A (ja) * 1995-02-13 1996-11-01 Mitsubishi Electric Corp プラズマ処理装置
US6224724B1 (en) 1995-02-23 2001-05-01 Tokyo Electron Limited Physical vapor processing of a surface with non-uniformity compensation
US5658442A (en) * 1996-03-07 1997-08-19 Applied Materials, Inc. Target and dark space shield for a physical vapor deposition system
US5907220A (en) * 1996-03-13 1999-05-25 Applied Materials, Inc. Magnetron for low pressure full face erosion
GB9606920D0 (en) * 1996-04-02 1996-06-05 Applied Vision Ltd Magnet array for magnetrons
US6014943A (en) * 1996-09-12 2000-01-18 Tokyo Electron Limited Plasma process device
US6514390B1 (en) * 1996-10-17 2003-02-04 Applied Materials, Inc. Method to eliminate coil sputtering in an ICP source
JP4002317B2 (ja) * 1996-11-13 2007-10-31 アプライド マテリアルズ インコーポレイテッド プラズマスパッタ装置
JPH10204614A (ja) 1997-01-13 1998-08-04 Toshiba Corp 半導体装置の製造方法および半導体製造装置
US6599399B2 (en) * 1997-03-07 2003-07-29 Applied Materials, Inc. Sputtering method to generate ionized metal plasma using electron beams and magnetic field
JPH111770A (ja) * 1997-06-06 1999-01-06 Anelva Corp スパッタリング装置及びスパッタリング方法
US5879523A (en) * 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6315872B1 (en) * 1997-11-26 2001-11-13 Applied Materials, Inc. Coil for sputter deposition
US6163006A (en) * 1998-02-06 2000-12-19 Astex-Plasmaquest, Inc. Permanent magnet ECR plasma source with magnetic field optimization
US6193854B1 (en) * 1999-01-05 2001-02-27 Novellus Systems, Inc. Apparatus and method for controlling erosion profile in hollow cathode magnetron sputter source
US6179973B1 (en) * 1999-01-05 2001-01-30 Novellus Systems, Inc. Apparatus and method for controlling plasma uniformity across a substrate
US6579421B1 (en) * 1999-01-07 2003-06-17 Applied Materials, Inc. Transverse magnetic field for ionized sputter deposition
US6290825B1 (en) * 1999-02-12 2001-09-18 Applied Materials, Inc. High-density plasma source for ionized metal deposition
US6344105B1 (en) * 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US6409890B1 (en) * 1999-07-27 2002-06-25 Applied Materials, Inc. Method and apparatus for forming a uniform layer on a workpiece during sputtering
US6610184B2 (en) * 2001-11-14 2003-08-26 Applied Materials, Inc. Magnet array in conjunction with rotating magnetron for plasma sputtering
US6398929B1 (en) * 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
WO2003042424A1 (en) * 2000-10-10 2003-05-22 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
JP4021601B2 (ja) * 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6451177B1 (en) 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6296747B1 (en) * 2000-06-22 2001-10-02 Applied Materials, Inc. Baffled perforated shield in a plasma sputtering reactor
US6352629B1 (en) 2000-07-10 2002-03-05 Applied Materials, Inc. Coaxial electromagnet in a magnetron sputtering reactor
US6358376B1 (en) * 2000-07-10 2002-03-19 Applied Materials, Inc. Biased shield in a magnetron sputter reactor
AU2001272643A1 (en) 2000-07-27 2002-02-13 Stephen Robert Burgess Magnetron sputtering
US6406599B1 (en) 2000-11-01 2002-06-18 Applied Materials, Inc. Magnetron with a rotating center magnet for a vault shaped sputtering target
WO2003030224A2 (en) * 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US7041201B2 (en) 2001-11-14 2006-05-09 Applied Materials, Inc. Sidewall magnet improving uniformity of inductively coupled plasma and shields used therewith
JP3716783B2 (ja) * 2001-11-22 2005-11-16 株式会社村田製作所 セラミック多層基板の製造方法及び半導体装置
US6730196B2 (en) * 2002-08-01 2004-05-04 Applied Materials, Inc. Auxiliary electromagnets in a magnetron sputter reactor

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101298837B1 (ko) * 2010-06-25 2013-08-23 주식회사 유아이디 터치 패널용 ito 코팅 유리 제조 방법 및 이에 사용되는 스퍼터링 장치
KR101227673B1 (ko) * 2010-12-08 2013-01-29 엘아이지에이디피 주식회사 화학기상 증착장치

Also Published As

Publication number Publication date
KR101138566B1 (ko) 2012-05-10
WO2005004189A2 (en) 2005-01-13
CN101924006A (zh) 2010-12-22
JP4970937B2 (ja) 2012-07-11
US20050199491A1 (en) 2005-09-15
JP2007526395A (ja) 2007-09-13
CN1813332A (zh) 2006-08-02
JP5410400B2 (ja) 2014-02-05
US20040055880A1 (en) 2004-03-25
US7041201B2 (en) 2006-05-09
WO2005004189A3 (en) 2006-01-19
CN101924006B (zh) 2012-07-18
CN1813332B (zh) 2010-09-29
JP2011080154A (ja) 2011-04-21
US7569125B2 (en) 2009-08-04

Similar Documents

Publication Publication Date Title
KR101138566B1 (ko) 유도 결합 플라스마의 균등성을 증가시키는 측벽 자석 및이에 사용되는 쉴드
US7618521B2 (en) Split magnet ring on a magnetron sputter chamber
JP4564750B2 (ja) プラズマスパッタリング用回転マグネトロンを組み合わせたマグネットアレイ
US6352629B1 (en) Coaxial electromagnet in a magnetron sputtering reactor
JP4837832B2 (ja) イオン化金属堆積用高密度プラズマ源
US6485617B2 (en) Sputtering method utilizing an extended plasma region
US8557094B2 (en) Sputtering chamber having auxiliary backside magnet to improve etch uniformity and magnetron producing sustained self sputtering of ruthenium and tantalum
US6491801B1 (en) Auxiliary vertical magnet outside a nested unbalanced magnetron
US20070051622A1 (en) Simultaneous ion milling and sputter deposition
WO2008094418A1 (en) Offset magnet compensation for non-uniform plasma
US6495009B1 (en) Auxiliary in-plane magnet inside a nested unbalanced magnetron
US20040000478A1 (en) Rotating hollow cathode magnetron

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190401

Year of fee payment: 8