CN101924006B - 用于溅射反应器中的护罩 - Google Patents

用于溅射反应器中的护罩 Download PDF

Info

Publication number
CN101924006B
CN101924006B CN2010102438224A CN201010243822A CN101924006B CN 101924006 B CN101924006 B CN 101924006B CN 2010102438224 A CN2010102438224 A CN 2010102438224A CN 201010243822 A CN201010243822 A CN 201010243822A CN 101924006 B CN101924006 B CN 101924006B
Authority
CN
China
Prior art keywords
guard shield
coil
target
plasma
axis
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2010102438224A
Other languages
English (en)
Other versions
CN101924006A (zh
Inventor
龚则敬
唐先民
约翰·福斯特
丁培军
马克·施韦策
基斯·A·米勒
伊利娅·拉维斯凯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101924006A publication Critical patent/CN101924006A/zh
Application granted granted Critical
Publication of CN101924006B publication Critical patent/CN101924006B/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3457Sputtering using other particles than noble gas ions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/358Inductive energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • H01J37/3408Planar magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3441Dark space shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3327Coating high aspect ratio workpieces

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

本发明涉及用于溅射反应器中的护罩。本发明的一个方面包括定位在等离子体溅射反应器的室壁外部的辅助磁环,其至少部分地布置在RF线圈的径向外部,该RF线圈用于感应发生等离子体,尤其是用于溅射刻蚀被溅射沉积的衬底。由此,磁阻挡防止等离子体向外泄漏至线圈,并改进溅射刻蚀的不均匀性。当线圈由与主靶相同材料制成时,线圈被用作第二磁体时,磁场还充当磁体。本发明的另一方面包括从靶延伸到支座的单片内护罩,该内护罩具有光滑内表面并在护罩中间部分由环形法兰支撑。该护罩可以被用于支撑RF线圈。

Description

用于溅射反应器中的护罩
本申请是基于申请日为2004年6月22日、申请号为200480017849.4、发明名称为“提高感应耦合等离子体均匀性的侧壁磁体及与其使用的护罩”的发明专利申请的分案申请。
技术领域
本发明一般地涉及材料的溅射。更具体地,本发明涉及用于感应耦合等离子体反应器中的辅助磁体。本发明还涉及用于溅射反应器中的护罩。
背景技术
溅射(或者称为物理气相沉积(PVD))是在半导体集成电路的制造中沉积金属或相关材料层的最流行方法。溅射的一种应用是沉积与图1中的剖面视图所图示的过孔结构相关联的阻挡层和晶种层。一种导电特征10形成于下电介质层12的表面中,该下电介质层通常由二氧化硅或其它硅玻璃形成,或许掺杂以具有低介电常数。上电介质层14沉积在下电介质层12之上,并在导电特征10的区域中刻蚀穿过上电介质层14的过孔16。在现代电路中,过孔16可以具有4∶1或更大的深宽比(衡量深度与最小宽度之比)。金属将最终填充到过孔16中,以在下线路平面和上线路平面之间提供垂直电互联,其中下线路平面包括导电特征10,而上线路平面形成在上电介质层14的顶表面上或形成于上电介质层14的顶表面中。图示了简单直过孔16。在双镶嵌中,简单过孔16由在电介质层14的下部分中连接到上部分中的宽沟槽的窄过孔取代,该宽沟槽水平延伸相当大的距离,以在上线路层中形成水平互连接。
在过孔金属化之前,衬垫层20沉积在电介质层14的顶表面之上以及过孔的底壁和侧壁上。衬垫层20执行多个功能,包括:过孔金属和氧化物电介质之间扩散的阻挡、氧化物和金属之间的粘接层、以及用于沉积金属之后的晶种层或晶核层。虽然铝在过去是主导的金属化,但是在双镶嵌结构中,铜开始主导先进的集成电路,因为其低电阻率和电迁移率以及使用电化学镀(ECP)用铜填充过孔16的能力。在使用铜的情况下,导电特征10通常是双镶嵌金属化的沟槽部分。对于铜的衬垫层20通常包括氮化钽(TaN)的阻挡层、Ta的粘接层。薄铜晶种层既要结核ECP铜,又要充当用于电化学处理的电极。化学气相沉积(CVD)或其改进的原子层沉积(ALD)可以被用于所述层中的一些。两种技术倾向于在高深宽比的孔中涂覆保形层,而ALD能够涂覆很薄的化合物层。然而,如果几个固有问题可以克服,则溅射通常是优选的,因为其经济性和良好的膜质量。侧壁的覆盖一般是差的,并且在孔16内部深处产生薄的侧壁部分22。溅射倾向于在孔16的顶部形成悬突物24,这对随后涂覆孔16来说最小限度地增大有效的深宽比,并且在最坏的情况下桥接孔16的顶部,阻止任何进一步沉积到孔16中。包括电偏压晶片的各种技术可以被用于减少悬突物24并增大侧壁覆盖。这些技术倾向于增大底部覆盖,如较厚底部部分26所表示。然而,底部部分26占据(stand in)到下导电特征的导电路径。钽(虽然是金属)具有比较高的电阻率。氮化钽是很大电阻性的。结果,希望刻蚀掉底部部分26。另一方面,悬突物24的刻蚀不应去除下面的阻挡层。
在母案国际申请中,Ding等人已经使用图2中所示意性表示的溅射反应器解决了这些多个矛盾的要求,其中该溅射反应器能够沉积Ta和TaN。真空室的侧壁30围绕反应器的中心轴线32布置。钽靶34通过环形隔离物36支撑在室30上并真空密封到室30。支座38保持待溅射处理的晶片40沿中心轴线32与靶34相对。真空泵系统42能够将室30泵吸至10-8Torr的低压力。然而,氩工作气体从气源44通过质流控制器46被供应到压力通常在0.1至10mTorr范围内。选择性的DC功率源48相对于接地金属室30或其未示出的护罩负偏压靶34,以放电工作气体形成等离子体。负的靶偏压将正氩离子吸引至靶34,并且高能离子从靶34溅射钽原子。一些钽离子撞击晶片40并在其上沉积一层钽。在处理的某些部分中,氮气从气源50通过其质流控制器52供应到室30中。在称为反应性离子溅射的处理中,氮与溅射的钽反应以在晶片40上形成氮化钽。
邻近靶34的等离子体密度通过放置在靶背后的小的不平衡嵌套(nested)磁体56而被增大。Fu在美国专利6,183,614中描述了这样的磁体。其包括一个极性的内极62,其由相反极性的环形外极64所包围,两者都支撑在磁轭上并通过该磁轭进行磁耦合。在靶34前面的磁场的水平分量捕获电子,并增大等离子体密度和由此增大溅射速率。磁体60的小面积在邻近磁体60的区域中集中靶溅射功率,又增大了等离子体密度。磁体56可以具有不同形状,包括圆形、椭圆形、三角形、以及跑道形。为提供均匀的溅射,磁体60支承在驱动轴68并由驱动轴68围绕中心轴线32旋转。外极64的总的磁场强度(即,其整个面上集中的磁通量)显著大于引起磁体60不平衡的内极62的磁场强度。该比为至少1.5,优选地大于2.0。不平衡引起磁分量从外极64朝向晶片40突出,两个都吸持等离子体并引导任何钽离子到晶片40。
如果足够的功率密度被应用至靶34,则磁体60下方的高密度等离子体区域电离溅射的钽原子的相当大部分。钽离子可以被吸回至靶34,以在称为自电离等离子体(SIP)溅射的效应中引起进一步的溅射。结果,氩溅射气体在支撑等离子体中变得越来越不重要,并且氩气压力可以减小。在用铜溅射的某些条件下,SIP等离子体是自维持的,而且氩气源可以被切断。
比晶片40大并且具有两个分离端的带状RF线圈70被定位在室30和其未示出的护罩的内部,并且该护罩定位在靶34和晶片40之间的处理空间的下半部分或下三分之一中。在一个实施例中,线圈70由与靶相同的材料制成,即,在讨论的示例中是钽。此外,其具有沿中心轴线32的管形状,并且通常至少为4的高宽比(轴向长度与径向厚度之比)。这种成分和形状允许线圈70在一种操作模式中充当第二溅射靶。DC功率源72和RF功率源74通过未示出的耦合和隔离电路耦合,以允许线圈70可以被独立地DC偏压或感应耦合RF能量到线圈70中或两者的组合。RF功率在线圈70的一端通过电容76接地,然而,该电容76根据DC功率源DC隔离线圈70。此图未示出功率源72、74和线圈70上的接地的相对位置。优选地,线圈在垂直于中心轴线32的平面内延伸接近360°,使得其两端分开最小的距离,例如绕中心轴线32小于25°。这些端中的一个被加电;另一个则接地。
当线圈70被负偏压时,其吸引氩离子,以从线圈70溅射钽。当线圈70由RF功率驱动时,其产生轴向的RF磁场,该RF磁场感应方位角(azimuthal)电场,以感应室30的下部分中的等离子体区域。即,第二等离子体源接近晶片引起盘状的氩离子区域。另一个RF功率源通过电容性耦合电路80耦合至支座电极38,其在相邻等离子体的边缘感应负DC自偏压。结果,在第二等离子体源中的氩离子以及来自顶部磁体/靶源的任何氩离子被加速至晶片40并溅射刻蚀晶片40。由于加速产生的各向异性,高能离子到达过孔的底部,并有效地相对于侧壁部分22有选择地刻蚀底部部分26。
虽然示出的反应器能够进行多种模式操作,但是两个极限模式是可以的。在沉积模式中,到线圈70的RF功率被关闭。较大DC功率被施加到靶34。由于自电离等离子体,氩气压力可以被减小,以减少晶片40的任何氩离子溅射刻蚀。如果希望,线圈70可以DC偏压,以充当第二靶。这种模式基本在晶片的最小溅射刻蚀的情况下沉积钽,如果有的话。另一方面,在刻蚀模式中,两个DC靶功率46、72被关闭,因此基本没有钽被溅射。此外,到线圈70的RF电流被增大,并且RF偏压源78DC自偏压晶片40。结果,少量钽被沉积,并且来自第二等离子体源的氩离子溅射刻蚀晶片40。同时沉积和刻蚀的组合可以通过利用所有功率源46、72、74、78实现。
然而,已经发现,由这种装置执行的刻蚀沿晶片的直径很不均匀。图3的曲线图中所示出的两条线表示实验观察到向支座施加800W和450W的偏压功率的刻蚀速率。刻蚀速率在晶片的中心附近最高,而朝晶片边缘下降近40%。虽然图2的溅射反应器显示出可接受的溅射沉积均匀性,但溅射刻蚀的均匀性需要改进。
线圈70不仅需要支撑在电接地室壁30内部,而且需要支撑在接地溅射护罩内部,该接地溅射护罩不仅用作保护壁免于沉积,而且充当与阴极靶34相对的阳极。需要简单和容易维护的机械系统来支撑线圈并提供到线圈的电连接。另一个问题(尤其是对于最近开发的300mm室)是室的大小需要最小化以减小反应器在昂贵的净室空间中的接地面积(footprint)。
发明内容
本发明的一个方面涉及等离子体溅射反应器,其包括定位于线圈背后的磁环,该磁环具有沿所述线圈轴线的磁极性。线圈可以用于在处理的衬底附近感应等离子体(尤其是用于溅射刻蚀该衬底)以及作为溅射沉积的第二靶。在两个处理之间改变或组合两个处理取决于线圈和靶上的RF和DC偏压环境以及晶片偏压。磁环产生邻近线圈的轴向DC磁场,该DC磁场充当等离子体阻挡并由此防止由线圈感应产生的等离子体在其扩散或者说吸引到衬底之前接地到线圈,由此提高衬底溅射刻蚀的径向不均匀性。轴向DC磁场还充当用于线圈溅射的磁体。
磁环可以由轴向极化的永磁体的环形阵列形成,永磁体由一个或多个与中心轴线同轴的电磁线圈或由更小电磁线圈的环形阵列形成。线圈优选地具有管形状,并且磁环然后优选地从线圈的中心轴线部分朝衬底延伸到线圈之外。多个电磁线圈可以分开独立地通电,或布置成电串联。
如果嵌套不平衡顶部磁体被用于主靶的背后,由磁环在线圈的内径中产生的DC磁场的轴向极性优选地与顶部磁体的外极引起的磁场相反。
磁阵列优选地安装在真空室的外部侧壁上,
本发明的另一方面包括可与等离子体溅射反应器使用的护罩系统,其包括但不限于包括磁环的那些。内护罩从靶延伸到支撑晶片的支座,并在护罩中间部分由法兰支撑。内护罩的内表面具有没有表面偏离室轴线超过10°的光滑外形。RF线圈可以由内护罩支撑,并具有穿过内护罩的电引线。
附图说明
图1是图示传统过孔衬垫的几个问题的示意性剖面视图。
图2是等离子体反应器的示意性剖视图,该等离子体反应器使用小的不平衡磁体和感应线圈,两者都用作等离子体源和溅射靶。
图3是图示在图2类型的溅射反应器中观察到的不均匀溅射刻蚀的曲线图。
图4是对图3的不均匀刻蚀的一个解释的示意性图示。
图5是本发明反应器的示意性剖面视图,该反应器包括RF线圈和在线圈背后的外部磁体阵列。
图6是图示在图5类型的溅射反应器中观察到的更均匀溅射刻蚀的曲线图。
图7是代替永磁体阵列的用作磁环的一个电磁线圈的示意性表示。
图8是用作磁环的两个同轴线圈的示意性表示。
图9是溅射反应器的剖面示图,其中该溅射反应器包括RF线圈、磁环、以及内护罩、外蔽屏和裙状护罩的护罩系统。
图10是内护罩的俯视图。
图11是内护罩的正投影视图。
图12是外护罩的正投影视图。
具体实施方式
将参考图4的示意性图示解释被认为是造成不均匀速率的机制。RF感应线圈70产生初始等离子体分布80,该初始等离子体分布80基本地集中在线圈70附近。边缘局部化可以就产生等离子体的集肤深度方面进行解释。RF线圈70一般沿中心轴线32产生RF磁场。RF磁场接着发生方位角电场,并激励支撑等离子体和增大其密度的方位角电流。然而,电场接着被高导电等离子体电减弱。即,RF场到达等离子体中仅至等离子体的集肤深度。然而,如分布82所示出的,等离子体沿轴线方向朝晶片40扩散并且还径向地扩散。径向扩散包括朝向中心轴线32的向内分量和朝向线圈70的向外分量,这使任何撞击电驱动线圈70的电子下沉。在中性等离子体中,离子密度跟随电子的密度。边缘损耗效应锐化为后续分布84,在其中,边缘排出预先扩散到中心32的电子。结果,当等离子体撞击晶片40时,等离子体密度的分布从中心32处的最大值朝向晶片40的边缘减少。此等离子体密度分布直接反应在溅射刻蚀速率中。
在零电场区域中,扩散速率(更精确地矢量扩散流J)与等离子体密度梯度n乘以扩散常数D0成正比,即:
J = D 0 ▿ n
根据本发明我们的理解,扩散可以被磁性地控制。众所周知,中性等离子体在磁场中的有效扩散常数D由下面公式给出:
D = D 0 1 + ω c 2 τ m 2
其中ωc是回旋频率,其与磁场B相关,关系如下:
ω c = eB m
其中e和m是电子的电荷和质量,而τm是碰撞动量转移持续时间。
根据本发明的一个方面,磁场被布置成产生磁阻挡,以捕获电子,并由此阻止等离子体电子泄露至线圈70、室侧壁30或其之间未示出的护罩。轴向磁场极其有效地阻止到壁的扩散。虽然方位角磁场也减慢到壁的扩散,但是其与溅射反应器的大致圆对称的几何形状不一致,并且其还减慢等离子体到晶片的轴向扩散。径向磁场不减慢径向扩散,而仅减慢轴向扩散。
这些概念被并入到辅助侧壁磁环90中,该磁环90被并入到图5中示意性图示的溅射反应器中。为简洁,本图没有图示已经在图2中图示的供电电路。在这点上,将提及下面将详细地描述的更实际的结构,但本图足以理解本发明的磁部件以及实现。
磁环90大致在线圈70的径向外部布置在室壁30外侧,以产生偶极环磁场92,该磁场92基本轴向(平行于中心轴线32)邻近并平行于线圈70的面。内线圈面的轴向部分倾向于捕获等离子体电子(取决于它们的能量和速度方向),并由此产生显著减弱到线圈70或下面描述的护罩的等离子体电子扩散的磁阻挡。磁环90可以由多个围绕室30的外圆周排列的相同极性的永磁体形成。虽然环的磁极性一般不直接影响希望的阻挡,但是优选地,环90的极性应与顶磁体60的更强的外极64的极性相反或反平行。另一方面,平行定向会倾向于将磁场92远离内线圈面并朝向邻近的顶部磁体60的外极64,因此在线圈70的一个点处降低希望的效果。在母案美国申请09/993,543(现在公布为US2003-089,601-A1)中,Ding等人在相似的位置放置一个相似的磁环,虽然没有线圈。然而,他们提倡磁环和顶部磁体60的外极64的平行极性定向,以进一步从外极64朝晶片40延伸突出的磁场,以由此进一步引导任何来自靶溅射的离子。
磁环90(包括其磁体和磁极面)应该至少部分地在线圈70的径向外部延伸,并还应该延伸优选地至少与线圈70的轴向长度一样长的轴向距离。在一个实施例中,磁环90的上端与带线圈70的中间轴向平面共面或稍微在带线圈70的中间轴向平面上方,并且其下端延伸低于线圈70的最低轴向平面。这种放置在邻近线圈70面和下轴向侧的最强的第二等离子体源区域附近增强重要的轴向磁分量,该轴向磁分量控制朝向晶片40的等离子体扩散和场驱动电流。
磁环90具有充当磁体的额外效果。平行于带线圈70面的磁分量捕获电子,由此增大来自带线圈70的等离子体密度和溅射速率。这个效果的优点至少取决于带线圈70的表面部分,带线圈的表面部分由与从主靶34溅射的材料相同的材料构成。线圈溅射有助于溅射沉积,而非溅射刻蚀,在溅射刻蚀中,线圈70感应地对第二等离子体源供电。
在没有环磁体但使用本发明的磁环的情况下重复用于获得图3的数据的实验。在图6中图示两种不同偏压功率的横过晶片直径的刻蚀速率。清楚地,环磁体大大地提高了刻蚀均匀性。
电磁体可以提供与永磁体有些相似的效应。如图7中的剖面视图所图示的,电磁线圈100(亦称为电磁体)在室壁30外部被围绕中心轴线32缠绕,以充当类似于图5的磁环90的磁环。DC电流源102或其它功率源对电磁线圈100供电,以产生磁场104,该磁场104在RF带线圈70的内面上基本是垂直的,且在电磁线圈100的内径内部具有优选地与图5的顶部磁体64的外极64的极性相反的极性。有利地,电流源102是可选择的,使得磁场强度可以变化,或者最选化处理或者改变处理步骤之间的磁场。电磁线圈100优选地具有类似于图5的永磁环90的长度和位置的长度和位置。电磁线圈100可以具有单匝或多匝,并且可以形成为环形带,如优选的RF线圈70。单匝实现不需要延伸一个圆的全部360°范围,25°的角间隔(优选约18°)可以存在于线圈70的两端之间,以允许隔离两端的电连接。
电磁线圈产生磁场,该磁场具有类似于、但并不完全是永磁体环所产生的作为偶极场的磁场。此外,环偶极场以约1/t的相关性从磁体下降,其中t是离磁体的距离,并且远小于环半径。另一方面,电磁线圈场随t呈对数性地下降。因为等离子体不减弱DC磁场,所以电磁线圈场沿中心轴线在内径的中心很强。于是,电磁线圈场倾向于阻止环形等离子体源向中心的向内扩散。尽管如此,仍可以使用电线圈,以产生接近于环偶极分布的场分布。如图8中所图示,两个同轴电磁线圈106、108围绕室壁30的外部缠绕。它们具有相同的轴位置,但径向地分开距离s。当它们沿相反方位角方向或指向被通电时,它们在它们共同内径内部产生各个磁场,该磁场基本是反平行的。总的磁场(即,两个量值的差)取决于s的值并接近于环偶极场分布。两个线圈106、108可以串联但指向相反地连接到单个功率源,或者可以连接到各个独立的功率源102a、102b,如图所示。
电磁线圈磁环在同一处理的不同步骤之间具有可调谐的优点,既为了处理的最优化又为了改变磁场,并由此改变等离子体阻挡和靶溅射。独立供电的同轴电磁线圈具有更进一步的优点:同样或者为了处理最优化或者在处理步骤之间,能够在电磁线圈场和偶极环场之间调谐。这种可调谐性可以用于缺少RF线圈的溅射反应器。
另一种类型的环型电磁环是更小的轴向定向的电磁线圈的环形阵列,其有效地个别替换磁环的永磁体。在母案申请09/993,543中,Ding等人已经描述了这种构造。如果电磁线圈分别地供电,那么这样的电磁线圈阵列可以被方位角地调谐。
电磁体的使用有助于将室内部的线圈放置得更接近于RF线圈。
更实际的等离子体溅射反应器如图9中所图示,虽然诸如顶部磁体、功率源、以及支座部分等许多部分未图示,但可以在图2和图5中找到。上室由上室壁120和金属轮圈122形成,上室壁120和金属轮圈122主要地关于中心轴线124对称。隔离物126被密封到支撑其的轮圈122以及靶背衬板130的法兰128,隔离物126接着支撑法兰128。背衬板130包括具有拐角的凹槽132,靶层134在凹槽132下方被结合到背衬板130。靶层134由待溅射的金属组成,例如,钽或其它耐熔金属。如果铜或铝将被溅射,则靶设计可以更简单了。
本发明的磁环136部分地装配在上室壁120的下部分中的凹槽中并由凹槽支撑。环形磁铁136可以由两个180°的扇形体端对端栓接在一起形成的,以形成捕获大量的永磁体(例如,20或更多)的圆形结构。托架由未图示的机械结构栓接到室壁120上。一般的托架和磁体设计已在09/993,543专利申请中由Ding等人公开。
下室由密封到并支撑上室壁120的下室壁140形成。其包括大真空泵吸端口142和用于支座146未图示的杆的密封通道144。外部驱动的杆可以从图示的处理位置将支座146降低至下传输位置,以便晶片可以通过狭缝阀孔148传输到支座146或从支座146传输出。未示出的沉积环被支撑在支座的凸缘150中,以保护支座146免于沉积。用于处理气体的一个或多个气体端口152也位于下室中。
具有优选地至少4的高宽比的带状或管状RF线圈160通过5个绝缘支架164被支撑在单片内护罩162上,绝缘支架164捕获线圈160向外延伸的突出部166。RF线圈162应定位在金属护罩内部,以防止护罩减弱RF磁场。只要护罩由非磁性材料制成,则护罩对于来自磁环136的DC磁场没有实质影响。RF线圈160和磁环136的相对位置已经在前面参考图5讨论过。
内护罩162从邻近靶背衬板130的顶端延伸到RF线圈160下方的底端,并且通常延伸到刚刚低于在其处理位置的支座146的上表面。内护罩162保护室壁免于溅射沉积,并经常被认为是耗材,该耗材在固定数量沉积循环后被替换,以使已经累积到相当大厚度的沉积材料不剥落而产生颗粒。内护罩162的顶端装配至由靶法兰128及其拐角形成的凹槽132中,该顶端与靶法兰128和隔离物128之间具有小的间隙。该小间隙充当不支撑等离子体的等离子体暗区,由此阻止金属在间隙中的溅射沉积以及靶到接地护罩162或金属轮圈122的短路。环形法兰168从内护罩162向外径向延伸,并被支撑在上室壁120的内凸缘上并电接地至该内凸缘。法兰168位于内护罩162的上下端之间,以允许内护罩162上端在轮圈122和隔离物126前面延伸。单独的轮圈122更能允许护罩螺栓固定至室壁120上。内护罩162的内表面在珠光喷砂处理外具有光滑外形,除圆形顶部和底部尖端之外,没有表面偏离垂直方向超过10°。这种光滑表面减少沉积在尖锐拐角上的材料的剥落。
内护罩162另外图示于图10的俯视图以及图11中的正投影视图中。五个环形凹槽170形成于护罩162的外壁上,以容纳外帽172以及穿过通孔的螺栓,以允许外帽172和内套环176捕获通过在凹槽170区域内穿过护罩162的孔178的线圈突出部166,由此将线圈160固定到内护罩162上。
护罩法兰168包括大量通孔180,螺栓通过通孔180将护罩固定到室主体上。形成在法兰168的外、上拐角处的两个局部凹槽182容纳拧到通过通孔184的螺纹构件上的螺母,以允许到两个护罩被组装成一个单元。一对孔184可以车有螺纹,以允许到顶上升降机的螺纹暂时联接以机械地提升护罩单元。两个环形偏离平坦面186形成于外壁表面中,以容纳从护罩162隔离RF引线的绝缘陶瓷板。放置于通孔188中的陶瓷套管隔离线圈160的圆形向外延伸端和护罩162,陶瓷套管通过护罩162。孔190允许引线结构螺纹固定到护罩162。通过平坦面186区域中的法兰168外部分的两个大致半圆切口192允许线圈端螺纹固定到通过室壁的RF真空引线上,其中线圈端位于各个平坦面186中。
环形外护罩200(在图9中的剖面视图中图示和图12中正投影地图示)一般布置于内护罩162和上室壁120之间。其包括位于内护罩162的法兰168和室壁120中的凸缘之间的法兰202。一组螺钉通过内护罩162的通孔180和外护罩200的通孔204,以将它们固定到室壁120,并通过其将它们电接地。
外护罩200包括在其下端的碗形部分,碗形部分包括底部径向延伸壁206和短的向上延伸的内壁208,壁206和208保护底室免于沉积。当支座146处于处理位置时,未示出的遮蔽环被支撑在支座凸缘150中的沉积环上,而当支座为晶片传输被降低时,遮蔽环被支撑在内护罩壁208上。
大量圆形孔210(例如至少20)以圆形阵列形成在外护罩200的外直壁212的底部附近。这些气体孔210允许处理气体从下室中的气体端口152容易地流动到上室的处理空间。增强气体流对其中消耗一种气体种类的反应性溅射尤其重要。气体流通过在内护罩162的底部附近的背后形成环形凹槽214进一步增强。
五个大圆形孔216在更小的孔210上方形成于直壁212中。大孔216对应于固定到内护罩162的支架164形成,并允许通向支架164的外帽172并且为外帽172留空间。此外,大矩形(虽然是弧形状)切口218在RF引线和RF线圈160端的区域中被切入到直壁212和法兰204中。大孔216和切口218也促进气体流动。
第三环形裙状护罩220(图9中所示)固定到上室壁120,但下降至外护罩200的底部附近并以稍微向内的尾或荷叶边222结束。其保护上下室壁120、140免于可能通过小气体孔210泄漏的沉积。当内外护罩162、200通常由铝形成时,裙状护罩220可以由非磁钢形成。
护罩设计在反应器内部占据了相对小的空间,虽然如此但是能够利于气体流动。此外,护罩系统支撑RF线圈并向其传递电连接。虽然护罩系统已经在具有内部线圈和外部磁环的反应器的示例中进行描述,但是其可以用于没有这种线圈或磁体的其它反应器中。如果反应器缺少内部RF线圈,则不需要包括内护罩的圆形凹槽以及外护罩的大孔和切口。
所描述实施例的溅射反应器包括钽靶。可以使用其它金属靶,特别是耐熔性金属钛和钨。本发明可应用于铜溅射,注意必须不能够熔化铜RF线圈;同样地用于铝。
所描述的反应器及其部件提供具有小接地面积和小增加成本的改进和灵活的性能。
本申请是2002年11月14日递交的国际申请PCT/US02/36940的部分接案,而PCT/US02/36940是2001年11月14日递交、公告费已付的序列号NO.09/993,543的部分接续案,此两个申请均通过引用而全文包含于此。

Claims (9)

1.适于在等离子体溅射反应器中使用并大致关于轴线圆对称的护罩,包括:
沿所述轴线延伸的上端;
沿所述轴线延伸的下端,其中,所述下端的下部分具有形成在所述下端的外表面上的环形凹槽,以减少所述下部分的径向厚度;以及
在所述上端和所述下端之间从所述轴线径向向外延伸的法兰;
其中,面对所述轴线的内表面护罩从所述轴线倾斜不超过10°并且另外是光滑的。
2.如权利要求1所述的护罩,其中所述上端的上终端被成形为形成所述等离子体溅射反应器的靶和隔离物之间的等离子体暗区,所述隔离物布置于所述靶和所述等离子体溅射反应器的室的金属侧壁之间。
3.如权利要求1所述的护罩,还包括以圆形阵列形成在所述下端的外表面中的多个圆形凹槽。
4.如权利要求3所述的护罩,其中所述圆形凹槽被构造成对电支架部分进行容纳,所述电支架部分绝缘地支撑RF线圈并在所述圆形凹槽区域中穿过所述下端。
5.如权利要求1所述的护罩,还包括用于容纳各个绝缘陶瓷板的相互邻近的两个平坦面,所述各个绝缘陶瓷板使所述护罩与RF引线隔离并且具有穿过其形成的用于通过各个电线的各个孔。
6.如权利要求5所述的护罩,还包括在邻近所述两个平坦面的所述法兰的外侧中的两个切口。
7.一种用于溅射反应器的护罩,其中所述溅射反应器包括(a)真空室,绕中心轴线布置,(b)靶,包括支撑所述靶于所述室上的支撑法兰和形成于所述法兰和所述靶的溅射区域之间的凹槽,以及(c)支座,具有沿所述轴线用于支撑衬底与所述靶相对的可操作位置,
其改进包括:
所述护罩大致关于所述中心轴线圆对称,其包括:
上端,沿所述轴线延伸到所述凹槽;
下端,沿所述轴线延伸到在所述可操作位置中的所述支座的顶表面背后,其中,所述下端的下部分具有形成在所述下端的外表面上的环形凹槽,以减少所述下部分的径向厚度;以及
法兰,所述上下端之间从所述轴线径向向外地延伸;
其中,面对所述轴线的内表面护罩从所述中心轴线倾斜不超过10°,并且另外是光滑的。
8.如权利要求7所述的护罩,其中所述上端的上终端被成形为形成靶和隔离物之间的等离子体暗区,所述隔离物布置于所述靶和所述室的金属侧壁之间。
9.如权利要求7所述的护罩,还包括以圆形阵列形成在所述下端的外表面中的多个圆形凹槽。
CN2010102438224A 2003-06-26 2004-06-22 用于溅射反应器中的护罩 Expired - Fee Related CN101924006B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/608,306 2003-06-26
US10/608,306 US7041201B2 (en) 2001-11-14 2003-06-26 Sidewall magnet improving uniformity of inductively coupled plasma and shields used therewith

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2004800178494A Division CN1813332B (zh) 2003-06-26 2004-06-22 提高感应耦合等离子体均匀性的侧壁磁体

Publications (2)

Publication Number Publication Date
CN101924006A CN101924006A (zh) 2010-12-22
CN101924006B true CN101924006B (zh) 2012-07-18

Family

ID=33564205

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2004800178494A Expired - Lifetime CN1813332B (zh) 2003-06-26 2004-06-22 提高感应耦合等离子体均匀性的侧壁磁体
CN2010102438224A Expired - Fee Related CN101924006B (zh) 2003-06-26 2004-06-22 用于溅射反应器中的护罩

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2004800178494A Expired - Lifetime CN1813332B (zh) 2003-06-26 2004-06-22 提高感应耦合等离子体均匀性的侧壁磁体

Country Status (5)

Country Link
US (2) US7041201B2 (zh)
JP (2) JP4970937B2 (zh)
KR (1) KR101138566B1 (zh)
CN (2) CN1813332B (zh)
WO (1) WO2005004189A2 (zh)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US7041201B2 (en) * 2001-11-14 2006-05-09 Applied Materials, Inc. Sidewall magnet improving uniformity of inductively coupled plasma and shields used therewith
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
US7449780B2 (en) * 2003-03-31 2008-11-11 Intel Corporation Apparatus to minimize thermal impedance using copper on die backside
US7182816B2 (en) * 2003-08-18 2007-02-27 Tokyo Electron Limited Particulate reduction using temperature-controlled chamber shield
US7431195B2 (en) * 2003-09-26 2008-10-07 Praxair S.T. Technology, Inc. Method for centering a sputter target onto a backing plate and the assembly thereof
US7294224B2 (en) * 2003-12-01 2007-11-13 Applied Materials, Inc. Magnet assembly for plasma containment
US7892406B2 (en) * 2005-03-28 2011-02-22 Tokyo Electron Limited Ionized physical vapor deposition (iPVD) process
US20090321247A1 (en) * 2004-03-05 2009-12-31 Tokyo Electron Limited IONIZED PHYSICAL VAPOR DEPOSITION (iPVD) PROCESS
JP2006148074A (ja) * 2004-10-19 2006-06-08 Tokyo Electron Ltd 成膜方法及びプラズマ成膜装置
US9659758B2 (en) * 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US20060278520A1 (en) * 2005-06-13 2006-12-14 Lee Eal H Use of DC magnetron sputtering systems
US20070068795A1 (en) * 2005-09-26 2007-03-29 Jozef Brcka Hollow body plasma uniformity adjustment device and method
US20070074968A1 (en) * 2005-09-30 2007-04-05 Mirko Vukovic ICP source for iPVD for uniform plasma in combination high pressure deposition and low pressure etch process
US9127362B2 (en) * 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US20070209925A1 (en) * 2006-03-09 2007-09-13 Applied Materials, Inc. Etch and sidewall selectivity in plasma sputtering
US8920613B2 (en) * 2007-01-31 2014-12-30 Applied Materials, Inc. Offset magnet compensation for non-uniform plasma
US20080257263A1 (en) * 2007-04-23 2008-10-23 Applied Materials, Inc. Cooling shield for substrate processing chamber
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
US20090107955A1 (en) * 2007-10-26 2009-04-30 Tiner Robin L Offset liner for chamber evacuation
US20090194414A1 (en) * 2008-01-31 2009-08-06 Nolander Ira G Modified sputtering target and deposition components, methods of production and uses thereof
KR20150136142A (ko) 2008-04-16 2015-12-04 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 프로세싱 증착 차폐 컴포넌트들
WO2009135050A2 (en) * 2008-05-02 2009-11-05 Applied Materials, Inc. Process kit for rf physical vapor deposition
US20100096255A1 (en) * 2008-10-22 2010-04-22 Applied Materials, Inc. Gap fill improvement methods for phase-change materials
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US9567666B2 (en) * 2009-01-12 2017-02-14 Guardian Industries Corp Apparatus and method for making sputtered films with reduced stress asymmetry
KR20120004502A (ko) 2009-04-03 2012-01-12 어플라이드 머티어리얼스, 인코포레이티드 고압 rf-dc 스퍼터링과 이 프로세스의 단차 도포성 및 막 균일성을 개선하기 위한 방법
JP5558020B2 (ja) * 2009-04-06 2014-07-23 株式会社アルバック 成膜方法
KR20120089647A (ko) * 2009-08-11 2012-08-13 어플라이드 머티어리얼스, 인코포레이티드 Rf 물리적 기상 증착을 위한 프로세스 키트
US8591709B1 (en) 2010-05-18 2013-11-26 WD Media, LLC Sputter deposition shield assembly to reduce cathode shorting
TWI517390B (zh) 2010-06-10 2016-01-11 應用材料股份有限公司 具增強的遊離及rf功率耦合的低電阻率鎢pvd
KR101298837B1 (ko) * 2010-06-25 2013-08-23 주식회사 유아이디 터치 패널용 ito 코팅 유리 제조 방법 및 이에 사용되는 스퍼터링 장치
WO2012064349A1 (en) * 2010-11-09 2012-05-18 Forest Carl A Sleep aid composition and method
KR101227673B1 (ko) * 2010-12-08 2013-01-29 엘아이지에이디피 주식회사 화학기상 증착장치
US9279179B2 (en) * 2012-02-06 2016-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi coil target design
US8647485B2 (en) 2012-03-30 2014-02-11 Applied Materials, Inc. Process kit shield for plasma enhanced processing chamber
US20140001576A1 (en) 2012-06-27 2014-01-02 Applied Materials, Inc. Lowering tungsten resistivity by replacing titanium nitride with titanium silicon nitride
US9534286B2 (en) * 2013-03-15 2017-01-03 Applied Materials, Inc. PVD target for self-centering process shield
US9957601B2 (en) * 2013-03-15 2018-05-01 Applied Materials, Inc. Apparatus for gas injection in a physical vapor deposition chamber
US9644262B2 (en) * 2013-03-15 2017-05-09 Applied Materials, Inc. Self-centering process shield
CN104746043B (zh) * 2013-12-31 2017-10-13 北京北方华创微电子装备有限公司 反应腔室及等离子体加工设备
CN105336640B (zh) * 2014-06-17 2018-12-11 北京北方华创微电子装备有限公司 一种反应腔室和反应设备
JP6672595B2 (ja) * 2015-03-17 2020-03-25 凸版印刷株式会社 成膜装置
KR20180003249A (ko) * 2016-06-30 2018-01-09 (주)에스엔텍 고밀도 플라즈마 증착 장비
US10886113B2 (en) * 2016-11-25 2021-01-05 Applied Materials, Inc. Process kit and method for processing a substrate
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US11345991B2 (en) 2018-09-27 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method and machine of manufacture
CN109837513A (zh) * 2019-04-11 2019-06-04 德淮半导体有限公司 用于物理气相沉积设备的护罩结构及其物理气相沉积设备
CN110988005B (zh) * 2019-12-17 2021-10-22 中国科学技术大学 一种真空系统下磁化材料的强永磁体装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6296747B1 (en) * 2000-06-22 2001-10-02 Applied Materials, Inc. Baffled perforated shield in a plasma sputtering reactor
US6409890B1 (en) * 1999-07-27 2002-06-25 Applied Materials, Inc. Method and apparatus for forming a uniform layer on a workpiece during sputtering
US6514390B1 (en) * 1996-10-17 2003-02-04 Applied Materials, Inc. Method to eliminate coil sputtering in an ICP source

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4871433A (en) 1986-04-04 1989-10-03 Materials Research Corporation Method and apparatus for improving the uniformity ion bombardment in a magnetron sputtering system
KR880013424A (ko) 1987-04-08 1988-11-30 미타 가츠시게 플라즈머 장치
US5178739A (en) 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
JPH04329870A (ja) * 1991-05-07 1992-11-18 Oki Electric Ind Co Ltd 電子線蒸着装置
US5482611A (en) 1991-09-30 1996-01-09 Helmer; John C. Physical vapor deposition employing ion extraction from a plasma
TW271490B (zh) 1993-05-05 1996-03-01 Varian Associates
US5431799A (en) 1993-10-29 1995-07-11 Applied Materials, Inc. Collimation hardware with RF bias rings to enhance sputter and/or substrate cavity ion generation efficiency
JP3419899B2 (ja) * 1994-07-26 2003-06-23 東京エレクトロン株式会社 スパッタリング方法及びスパッタリング装置
JPH08288096A (ja) 1995-02-13 1996-11-01 Mitsubishi Electric Corp プラズマ処理装置
US6224724B1 (en) 1995-02-23 2001-05-01 Tokyo Electron Limited Physical vapor processing of a surface with non-uniformity compensation
US5658442A (en) * 1996-03-07 1997-08-19 Applied Materials, Inc. Target and dark space shield for a physical vapor deposition system
US5907220A (en) 1996-03-13 1999-05-25 Applied Materials, Inc. Magnetron for low pressure full face erosion
GB9606920D0 (en) 1996-04-02 1996-06-05 Applied Vision Ltd Magnet array for magnetrons
TW351825B (en) 1996-09-12 1999-02-01 Tokyo Electron Ltd Plasma process device
JP4002317B2 (ja) * 1996-11-13 2007-10-31 アプライド マテリアルズ インコーポレイテッド プラズマスパッタ装置
JPH10204614A (ja) 1997-01-13 1998-08-04 Toshiba Corp 半導体装置の製造方法および半導体製造装置
US6599399B2 (en) * 1997-03-07 2003-07-29 Applied Materials, Inc. Sputtering method to generate ionized metal plasma using electron beams and magnetic field
JPH111770A (ja) 1997-06-06 1999-01-06 Anelva Corp スパッタリング装置及びスパッタリング方法
US5879523A (en) * 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6315872B1 (en) * 1997-11-26 2001-11-13 Applied Materials, Inc. Coil for sputter deposition
US6163006A (en) 1998-02-06 2000-12-19 Astex-Plasmaquest, Inc. Permanent magnet ECR plasma source with magnetic field optimization
US6193854B1 (en) 1999-01-05 2001-02-27 Novellus Systems, Inc. Apparatus and method for controlling erosion profile in hollow cathode magnetron sputter source
US6179973B1 (en) 1999-01-05 2001-01-30 Novellus Systems, Inc. Apparatus and method for controlling plasma uniformity across a substrate
US6579421B1 (en) * 1999-01-07 2003-06-17 Applied Materials, Inc. Transverse magnetic field for ionized sputter deposition
US6290825B1 (en) 1999-02-12 2001-09-18 Applied Materials, Inc. High-density plasma source for ionized metal deposition
US6344105B1 (en) * 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US6398929B1 (en) 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
WO2003042424A1 (en) * 2000-10-10 2003-05-22 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6610184B2 (en) 2001-11-14 2003-08-26 Applied Materials, Inc. Magnet array in conjunction with rotating magnetron for plasma sputtering
JP4021601B2 (ja) 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6451177B1 (en) 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6358376B1 (en) * 2000-07-10 2002-03-19 Applied Materials, Inc. Biased shield in a magnetron sputter reactor
US6352629B1 (en) 2000-07-10 2002-03-05 Applied Materials, Inc. Coaxial electromagnet in a magnetron sputtering reactor
CN100437886C (zh) 2000-07-27 2008-11-26 特利康控股有限公司 磁控管溅射
US6406599B1 (en) 2000-11-01 2002-06-18 Applied Materials, Inc. Magnetron with a rotating center magnet for a vault shaped sputtering target
WO2003030224A2 (en) * 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US7041201B2 (en) * 2001-11-14 2006-05-09 Applied Materials, Inc. Sidewall magnet improving uniformity of inductively coupled plasma and shields used therewith
JP3716783B2 (ja) * 2001-11-22 2005-11-16 株式会社村田製作所 セラミック多層基板の製造方法及び半導体装置
US6730196B2 (en) * 2002-08-01 2004-05-04 Applied Materials, Inc. Auxiliary electromagnets in a magnetron sputter reactor

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6514390B1 (en) * 1996-10-17 2003-02-04 Applied Materials, Inc. Method to eliminate coil sputtering in an ICP source
US6409890B1 (en) * 1999-07-27 2002-06-25 Applied Materials, Inc. Method and apparatus for forming a uniform layer on a workpiece during sputtering
US6296747B1 (en) * 2000-06-22 2001-10-02 Applied Materials, Inc. Baffled perforated shield in a plasma sputtering reactor

Also Published As

Publication number Publication date
JP4970937B2 (ja) 2012-07-11
CN1813332B (zh) 2010-09-29
US7041201B2 (en) 2006-05-09
WO2005004189A3 (en) 2006-01-19
US20040055880A1 (en) 2004-03-25
JP2007526395A (ja) 2007-09-13
US20050199491A1 (en) 2005-09-15
WO2005004189A2 (en) 2005-01-13
KR101138566B1 (ko) 2012-05-10
CN1813332A (zh) 2006-08-02
CN101924006A (zh) 2010-12-22
JP5410400B2 (ja) 2014-02-05
KR20060082398A (ko) 2006-07-18
US7569125B2 (en) 2009-08-04
JP2011080154A (ja) 2011-04-21

Similar Documents

Publication Publication Date Title
CN101924006B (zh) 用于溅射反应器中的护罩
US8871064B2 (en) Electromagnet array in a sputter reactor
US6610184B2 (en) Magnet array in conjunction with rotating magnetron for plasma sputtering
US7618521B2 (en) Split magnet ring on a magnetron sputter chamber
US6758949B2 (en) Magnetically confined metal plasma sputter source with magnetic control of ion and neutral densities
US7527713B2 (en) Variable quadruple electromagnet array in plasma processing
EP1174902A2 (en) Coaxial electromagnet in a magnetron sputtering reactor
US8920613B2 (en) Offset magnet compensation for non-uniform plasma
US20070051622A1 (en) Simultaneous ion milling and sputter deposition
EP3369108A1 (en) Biasable flux optimizer/collimator for pvd sputter chamber
JP2001316809A (ja) ボールト形状のターゲット及び高磁界マグネトロン
CN101142094A (zh) 磁控管溅射室上的分离磁体环
WO2012040158A2 (en) Creation of magnetic field (vector potential) well for improved plasma deposition and resputtering uniformity

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120718

Termination date: 20150622

EXPY Termination of patent right or utility model