KR20050106036A - 층간 부착 개선 방법 - Google Patents

층간 부착 개선 방법 Download PDF

Info

Publication number
KR20050106036A
KR20050106036A KR1020057015586A KR20057015586A KR20050106036A KR 20050106036 A KR20050106036 A KR 20050106036A KR 1020057015586 A KR1020057015586 A KR 1020057015586A KR 20057015586 A KR20057015586 A KR 20057015586A KR 20050106036 A KR20050106036 A KR 20050106036A
Authority
KR
South Korea
Prior art keywords
layer
deposited
processing
insulating layer
carbon
Prior art date
Application number
KR1020057015586A
Other languages
English (en)
Other versions
KR101061331B1 (ko
Inventor
프란시마르 씨. 슈미트
리-쿤 시아
손 반 엔구엔
산카르 벤카타라만
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20050106036A publication Critical patent/KR20050106036A/ko
Application granted granted Critical
Publication of KR101061331B1 publication Critical patent/KR101061331B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

2개의 낮은 k 절연층들 사이에 낮은 유전율을 갖는 부착층을 증착하기 위한 기판 프로세싱 방법이 제공된다. 일 특징으로, 본 발명은 기판 상에 규소 및 탄소를 포함하고 4 이하의 유전율을 갖는 장벽층을 증착하는 단계, 장벽층에 인접하여 절연 개시층을 증착하는 단계 및 절연 개시층에 인접하여 규소, 산소 및 탄소를 포함하고 약 3 이하의 유전율을 갖는 제 1 절연층을 증착하는 단계를 포함하는 기판 프로세싱 방법을 제공한다.

Description

층간 부착 개선 방법{METHOD OF IMPROVING INTERLAYER ADHESION}
본 발명은 집적 회로 제조 및 기판 상에 절연 층을 증착하는 프로세스 및 절연층에 의해 형성된 구조물에 관한 것이다.
현대의 반도체 장치 제조의 기본 단계들 중 하나는 가스의 화학 반응에 의해 기판 상에 금속층 및 절연층을 형성하는 것이다. 그러한 증착 프로세스는 화학 기상 증착 또는 CVD로서 언급된다. 종래의 열적 CVD 프로세스는 목적하는 층을 생산하기 위해 열적 유도된 화학 반응이 일어나는 기판 표면에 반응 가스들을 공급한다.
반도체 장치 기하 구조들은 반도체 장치가 처음 도입된 몇십 년 전 이래로 현저히 크기가 줄어들고 있다. 그 때 이래로, 집적 회로는 대체로 2년/절반 크기 법칙(소위, 무어(Moor)의 법칙이라 함)을 따라왔고, 그것은 하나의 칩 상에 설치되는 장치들의 수가 매 2년마다 2배로 되었음을 의미한다. 오늘날의 제조 플랜트는 일상적으로 0.35 ㎛, 심지어는 0.18 ㎛의 피쳐(feature) 크기를 갖는 장치들을 생산하고 있고, 미래의 플랜트는 조만간 훨씬 더 작은 기하 구조를 갖는 장치들을 생산할 것이다.
집적 회로 상의 장치들의 크기를 더 줄이기 위하여, 낮은 저항을 갖는 도전성 재료들을 사용하고 또한 인접한 금속 라인들 간의 용량성 결합을 감소시키기 위하여 낮은 유전율(유전율 < 4.0)을 갖는 절연체를 사용하는 것은 필수사항으로 되어 왔다. 상기와 같이 낮은 k를 갖는 재료는 비도핑 실리콘 글래스(un-doped silicon glass; SG) 또는 불소 도핑된 실리콘 글래스와 같은 스핀-온(spin-on) 글래스이고, 이것은 반도체 제조 프로세스의 갭 충진 층 및 다마신 피쳐(damascene feature)를 제조함에 있어 절연층으로서 사용될 수 있는 실리콘 옥시카바이드로서 증착될 수 있다.
억셉턴스(acceptance)를 얻는 도전성 재료는 구리 및 구리 합금이고, 구리는 알루미늄보다 더 낮은 저항(알루미늄에 대한 3.1 μΩ-㎝에 비해 1.7 μΩ-㎝)을 갖고 더 높은 전류 및 더 높은 운반 용량을 갖기 때문에 서브-쿼터-마이크론 인터커넥트 기술에 대한 선택 재료가 되어 왔다. 이러한 특성들은 높은 수준의 집적도 및 증가된 장치 속도에서 경험하는 더 높은 전류 밀도를 지원하는데 있어 중요하다. 부가하여, 구리는 양호한 열 전도성을 갖고 매우 순수한 상태로 이용가능하다.
반도체 장치들에 구리를 사용하는 데 있어 한 가지 어려운 점은 구리가 에칭하기 어려워 정밀한 패턴을 얻기 어렵다는 것이다. 인터커넥트를 형성하기 위한 전통적 증착/에칭 프로세스를 사용하여 구리로 에칭하는 것은 만족스러운 수준 이하이다. 따라서, 구리 함유 재료 및 낮은 k 절연 재료를 갖는 인터커넥트를 제조하는 신규한 방법이 개발되고 있다.
수직 및 수평 인터커넥트를 형성하는 한 가지 방법은 다마신 방법 또는 이중 다마신 방법에 의해서이다. 다마신 방법에서, 낮은 k 절연 재료와 같은 하나 이상의 절연 재료가 수직 인터커넥트, 즉, 비아, 및 수평 인터커넥트, 즉, 라인을 형성하기 위하여 증착 및 패턴 에칭된다. 그 다음, 구리 함유 재료와 같은 도전성 재료, 및 주위의 낮은 k 절연물 내로 구리 함유 재료가 확산하는 것을 방지하기 위해 사용되는 장벽층 재료와 같은 다른 재료는 에칭된 패턴으로 새겨진다. 그 다음, 에칭된 패턴 외부에 있는, 예를 들어, 기판의 필드 상에 있는, 임의의 초과 구리 함유 재료 및 초과 장벽층 재료가 제거된다.
그러나, 실리콘 옥시카바이드 층 및 실리콘 카바이드 층이 다마신 형성에 있어 낮은 k 재료로서 사용될 때, 프로세싱 동안에 만족스럽지 않은 층간 부착이 관찰되었다. 기판을 프로세싱하기 위한 소정의 기술들은 층 박리와 같은 레이어링 결함을 증가시킬 수 있는 힘들을 가할 수 있다. 예를 들어, 초과 구리 함유 재료는 화학 기계적 연마 프로세스에서 기판과 연마 패드 사이의 기계적 마모에 의해 제거될 수 있고, 기판과 연마 패드 사이의 힘은 층 박리를 야기하는 증착된 낮은 k 절연 재료의 응력을 유도할 수 있다. 또 다른 예에서, 증착된 재료들을 어닐링하는 것은 낮은 k 절연 재료의 박리를 야기할 수 있는 높은 열적 응력을 유도할 수 있다.
따라서, 낮은 k 절연층들 사이의 층간 부착을 개선하는 프로세스에 대한 필요성이 남아 있다.
도 1은 본 명세서에서 설명되는 실리콘 카바이드 및 실리콘 옥시카바이드를 포함하는 이중 다마신 구조물을 보여주는 단면도이다.
도 2A-2H는 본 발명의 이중 다마신 증착 시퀀스의 일 실시예를 보여주는 단면도이다.
본 발명의 태양들은 대체로 2개의 낮은 k 절연층들 사이에 낮은 유전율을 갖는 부착층을 증착하는 방법을 제공한다. 일 태양에서, 본 발명은 기판 상에 규소와 탄소를 포함하고 4 이하의 유전율을 갖는 장벽층(barrier layer)을 증착하는 단계, 장벽층에 인접하여 절연 개시층을 증착하는 단계, 및 절연 개시층에 인접하여 규소, 산소 및 탄소를 포함하고 약 3 이하의 유전율을 갖는 제 1 절연층을 증착하는 단계를 포함한다.
본 발명의 다른 태양에서, 기판을 프로세싱하는 방법은 기판 상에 제 1 절연층을 증착하는 단계를 포함하고, 여기서, 제 1 절연층은 규소 및 탄소를 포함하고, 유기실리콘 화합물을 갖는 프로세싱 가스를 도입하는 단계 및 제 1 절연층을 증착하기 위하여 프로세싱 가스를 반응시키는 단계를 포함하는 프로세스에 의해 증착되며, 제 1 절연층의 표면 부분에 탄소 함량을 감소시키는 단계 및 제 1 절연층에 인접하여 제 2 절연층을 증착하는 단계를 포함하며, 제 1 절연층은 규소, 산소 및 탄소를 포함하고 약 3 이하의 유전율을 갖는다.
본 발명의 다른 태양에서, 기판을 프로세싱하는 본 방법은 기판 상에 장벽층을 증착하는 단계를 포함하고, 장벽층은 유기실리콘 화합물을 포함하는 프로세싱 가스를 프로세싱 챔버 내로 도입하고 프로세싱 가스를 반응시킴으로써 증착되고, 장벽층에 인접하여 장벽층 종결층을 증착하는 단계를 포함하며, 장벽층은 유기실리콘 화합물 및 산화 화합물을 포함하는 프로세싱 가스를 프로세싱 챔버로 도입하고 프로세싱 가스를 반응시킴으로써 증착되고, 장벽층 종결층에 인접하여 제 1 절연층을 증착하는 단계를 포함하며, 절연층은 규소, 산소 및 탄소를 포함하고 약 3 이하의 유전율을 갖는다.
전술한 본 발명의 태양들이 달성되고 상세히 이해될 수 있는 방식으로, 앞서 요약된 본 발명의 보다 특정한 설명이 첨부된 도면에 예시된 실시예들을 참조하여 이루어진다.
그러나, 첨부된 도면들은 단지 본 발명의 전형적인 실시예들만을 도시하므로 본 발명의 범위를 제한하는 것으로 간주되어서는 안 되며, 다른 균등한 실시예들을 인정할 수 있다.
본 발명의 태양을 더 잘 이해할 수 있도록, 이하의 상세한 설명이 계속된다.
본 명세서에 기재된 본 발명의 태양들은 부착 절연 재료를 증착 및/또는 절연층들의 층간 부착을 개선하기 위하여 절연층들 사이의 표면을 처리하는 방법 및 장치에 관한 것이다. 부착 절연층의 증착은 제 1 절연층 상에 종결층을 형성하는 단계 또는 후속의 절연층을 증착하기 이전에 절연 개시층을 형성하는 단계를 포함할 수 있다. 부착 절연 재료는 규소, 탄소, 선택적으로 산소를 포함할 수 있다. 절연층들 사이에 부착을 개선하는 처리는 후속의 증착에 앞서 증착된 층의 표면을 변형하는 단계, 예를 들어, 절연층 증착물간 산화된 플라즈마 처리의 인가를 포함한다. 규소, 탄소 및 선택적으로 산소 함유 재료의 표면 처리는 증착된 재료로부터 탄소 함량을 감소시키고, 그에 의해 층간 부착을 개선하는 것으로 여겨진다. 이하의 설명은 실리콘 카바이드 층들 및 실리콘 옥시카바이드 층 사이의 부착을 개선하는 것에 관한 것이나, 이하의 예시 및 설명은 본 발명의 범위를 제한하는 것으로 해석되어서는 안 된다.
실리콘 카바이드 층
규소 및 탄소 함유 층은 실리콘 카바이드 절연층 또는 도핑된 실리콘 카바이드 층을 포함할 수 있다. 실리콘 카바이드 층은 도전성 재료 또는 절연 재료에 인접하여 배치된 장벽층일 수 있거나, 하나 이상의 절연 층들 사이에 증착된 에치 스톱(etch stop)일 수 있다.
실리콘 카바이드 층 또는 산소 도핑된 실리콘 카바이드 층은 탄소-규소 결합 및 약 4 이하의 유전율을 포함하는 절연층을 형성하기 위해 유기실리콘 화합물과 선택적으로 하나 이상의 도펀트를 반응시킴으로써 증착된다. 규소 및 탄소 함유 층은 바람직하게 비정질 수소화 실리콘 카바이드이다. 비정질 실리콘 카바이드 층은 불활성 가스의 플라즈마 내 유기실리콘 화합물, 또는 탄소 함유 재료 및 규소 함유 재료의 반응에 의해 생성된다.
실리콘 카바이드 층은 또한 수소, 산소, 질소 또는 그 결합물을 포함할 수 있다. 산소, 오존 또는 산소 함유 유기실리콘 선구체와 같은 산소원(oxygen source) 또는 암모니아와 같은 질소원이 산소 도핑 및/또는 질소 도핑 실리콘 카바이드 층들을 형성하는 반응 동안 사용될 수 있다. 산소 도핑된 실리콘 카바이드는 대체로 약 15 원자 퍼센트(원자(atomic) %) 이하의 산소 또는 바람직하게 약 10 원자 % 이하의 산소를 포함한다. 질소 도핑된 실리콘 카바이드는 20 원자 % 까지의 질소를 포함할 수 있다.
적합한 유기실리콘 화합물은 지방족 유기실리콘 화합물, 고리형 유기실리콘 화합물, 또는 그 결합물을 포함한다. 고리형 유기실리콘 화합물은 전형적으로 3 이상의 규소 원자를 포함하는 링을 갖고, 링은 하나 이상의 산소 원자를 더 포함한다. 상업적으로 구입가능한 고리형 유기실리콘 화합물은 하나 또는 2개의 알킬기가 규소 원자에 결합된 교대하는 규소 및 산소 원자를 갖는다.
지방족 유기실리콘 화합물은 하나 이상의 규소 원자 및 하나 이상의 탄소 원자를 포함하는 선형 또는 브랜치형 구조를 갖고, 그 구조는 부가하여 산소를 포함할 수 있다. 상업적으로 구입가능한 지방족 유기실리콘 화합물은 규소 원자들 사이에 산소를 포함하지 않는 유기실란, 및 2 이상의 규소 원자들 사이에 산소를 포함하는 유기실록산을 포함한다.
유기실리콘 화합물은 유기기(organic group)에 탄소 원자를 포함한다. 낮은 유전율 층이 규소에 부착된 하나 이상의 탄소 원자들을 갖는 유기실리콘 화합물로부터 준비되고, 여기서, 탄소는 적합한 프로세싱 조건들에서 산화에 의해 쉽게 제거되지 않는다. 유기기들은 기능적 유도체에 부가하여 알킬, 알케닐, 사이클로헥세닐, 및 아릴기를 포함할 수 있다.
고리형 유기실리콘 화합물은 예를 들어, 하나 이상의 이하의 화합물들을 포함한다.
1,3,5-트리실라노-2,4,6-트리메틸렌 -(-SiH2CH2-)3- (고리형)
2,4,6,8-테트라메틸사이클로테트라실록산(TMCTS) -(-SiHCH3-O-)4- (고리형)
옥타메틸사이클로테트라실록산(OMCTS) -(-Si(CH3)2-O-)4- (고리형)
2,4,6,8,10-펜타메틸사이클로펜타실록산 -(-SiHCH3-O-)5- (고리형)
1,3,5,7-테트라실라노-2,6-디옥시-4,8-디메틸렌 -(-SiH2-CH2-SiH2-O-)2- (고리형)
헥사메틸사이클로트리실록산 -(-Si(CH3)2-O-)3- (고리형)
지방족 유기실리콘 화합물은 예를 들어, 하나 이상의 이하의 화합물을 포함한다.
메틸실란 CH3-SiH3
디메틸실란 (CH3)2-SiH2
트리메틸실란 (CH3)3-SiH
디메틸디메쓰옥시실란 (CH3)2-Si-(OCH3)2
에틸실란 CH3-CH2-SiH3
디실라노메탄 SiH3-CH2-SiH3
비스(메틸실라노)메탄 CH3-SiH2-CH2-SiH2-CH3
1,2-디실라노에탄 SiH3-CH2-CH2-SiH3
1,2-비스(메틸실라노)에탄 CH3-SiH2-CH2-CH2-SiH2-CH3
2,2-디실라노프로판 SiH3-C(CH3)2-SiH3
1,3-디메틸디실록산 CH3-SiH2-O-SiH2-CH3
1,1,3,3-테트라메틸디실록산 (CH3)2-SiH-O-SiH-(CH3)2
헥사메틸디실록산(HMDS) (CH3)3-Si-O-Si-(CH3)3
1,3-비스(실라노메틸렌)디실록산 (SiH3-CH2-SiH2-)2-O
비스(1-메틸디실록사닐)메탄 (CH3-SiH2-O-SiH2-)2-CH2
2,2-비스(1-메틸디실록사닐)프로판 (CH3-SiH2-O-SiH2-)2-C(CH3)2
헥사메쓰옥시디실록산(HMDOS) (CH3O)3-Si-O-Si-(OCH3)3
상기 리스트는 예시적이므로 본 발명의 범위를 제한하는 것으로 해석되어서는 안 된다.
대체로, 유기실리콘 화합물은 질소(N2)와 같은 상대적으로 비활성인 가스, 및 바람직하게는 헬륨 또는 아르곤과 같은 불활성 가스를 포함하는 플라즈마에서 반응된다. 증착된 실리콘 카바이드 층들은 약 5 이하의 유전율을 갖고, 도핑된 실리콘 카바이드 층들은 약 3 이하의 유전율을 가질 수 있다.
바람직한 실리콘 카바이드 층은 일 실시예에서 약 10 내지 약 5000 표준 분당 세제곱 센티미터(sccm) 사이의 유량으로 플라즈마 프로세싱 챔버로 트리메틸실란을 공급함으로써 증착된다. 헬륨, 아르곤 또는 그 결합물과 같은 불활성 가스는 또한 약 50 sccm과 약 5000 sccm 사이의 유량으로 챔버로 공급된다. 챔버 압력은 약 100 milliTorr 내지 약 15 Torr 사이에서 유지된다. 기판 표면 온도는 증착 프로세스 동안에 약 100℃ 내지 약 450℃ 사이에서 유지된다.
대안적으로, 도핑된 실리콘 카바이드 층은 약 50 sccm 내지 약 10,000 sccm 사이의 유량으로 프로세싱 챔버 내로 산소원 및/또는 질소원, 또는 다른 도펀트를 도입함으로써 증착될 수 있다. 예를 들어, 질소 함유 또는 질소 도핑된 실리콘 카바이드 층은 증착 동안에 암모니아, 질소, 질소와 수소의 혼합물, 또는 그 결합물과 같은 질소원을 도입함으로써 증착될 수 있다. 질소 함유 실리콘 카바이드 층을 증착하는 프로세스 예는 2001년 2월 23일자로 출원된 미국 특허 출원 제 09/793,818호에 개시되고, 상기 출원은 본 명세서에 기재된 청구범위 및 상세한 설명과 불일치하지 않는 범위 내에서 참조로서 편입된다. 산소 함유 실리콘 카바이드 층을 증착하는 프로세스 예는 2002년 7월 15일에 출원된 미국 특허 출원 제 10/196,498호에 개시되고, 상기 출원은 본 명세서에 기재된 청구범위 및 상세한 설명과 불일치하지 않는 범위 내에서 참조로서 편입된다.
유기실리콘 화합물, 불활성 가스 및 선택적인 도펀트는 실리콘 카바이드 층이 증착되는 기판으로부터 약 200 밀리미터(mm) 내지 약 600 밀리미터로 이격된 가스 분배 플레이트를 경유하여 프로세싱 챔버로 도입된다. 전력이 단일 또는 이중 주파수 RF 전원에 대해 적용될 수 있다. 예를 들어, 단일 13.56 MHz RF 전원으로부터의 전력은 약 0.3 watt/cm2 내지 약 3.2 watt/cm2 사이의 전력 밀도로 또는 200 mm 기판에 대해 약 100 watt 내지 약 1000 watt 사이의 전력 레벨로 플라즈마를 형성하기 위해 챔버에 공급된다. 약 0.9 watt/cm2 내지 약 2.3 watt/cm2 사이의 전력 밀도, 또는 200 mm 기판에 대해 약 300 watt 내지 약 700 watt 사이의 전력 레벨이 바람직하게 플라즈마를 생성하기 위해 프로세싱 챔버에 공급된다.
부가적으로, 가스 혼합물에서 실리콘원(silicon source)에 대한 도펀트의 비율은 약 1:1 내지 약 100:1 사이의 범위를 가져야 한다. 상기 프로세스 파라미터들은 캘리포니아 산타 클라라에 위치한 어플라이드 머티어리얼 사로부터 구입가능한 증착 챔버 내 200 mm 기판 상에 실시될 때 약 100 Å/min 내지 약 3000 Å/min 사이의 범위의 실리콘 카바이드 층에 대한 증착률을 제공한다.
실리콘 카바이드 층을 증착하기 위해 본 명세서에서 기술되는 실시예들은 본 발명을 예시하기 위해 제공되나, 제공된 특정 실시예가 본 발명의 범위를 제한하는데 사용되어서는 안 된다. 본 발명은 또한 실리콘 카바이드 층을 증착하기 위해 사용되는 다른 프로세스 및 재료들을 고려한다.
실리콘 옥시카바이드
실리콘 옥시카바이드 층은 대체로 약 15 원자 % 또는 그 이상의 산소를 층에 포함한다. 실리콘 옥시카바이드 층은 또한 수소, 질소 또는 그 결합물을 포함할 수 있다.
바람직한 실리콘 옥시카바이드 층은 낮은 유전율 및 장벽 특성에 기여하는 규소-산소 결합 및 규소-탄소 결합을 포함한다. 증착된 층의 탄소 함량은 수소 원자를 배제하고 약 5 내지 약 30 원자 % 사이이고, 바람직하게는 수소 원자를 배제하고 약 10 내지 약 20 원자% 사이이다. 증착된 층은 실리콘 옥시카바이드 층에 소수성을 제공하기 위해 모든 부분에 걸쳐 C-H 또는 C-F 결합을 포함할 수 있다.
실리콘 옥시카바이드 층은 프로세싱 조건들에서 산화에 의해 쉽게 제거되지 않는 유기기 내에 탄소를 함유하는 유기실리콘 화합물로부터 생성된다. 적절한 유기실리콘 화합물이 전술한 바와 같이 기술되고, 지방족 유기실리콘 화합물, 고리형 유기실리콘 화합물, 또는 그 결합물을 포함한다. 예를 들어, 적절한 유기기는 알킬, 알케닐, 사이클로헥세닐과 아릴기 및 기능성 유도체를 포함한다.
본 발명의 바람직한 태양에서, 실리콘 옥시카바이드 층은 3 이상의 알킬기를 포함하는 유기실리콘 화합물을 오존을 포함하는 산화 가스와 반응시킴으로써 증착된다. 실리콘 옥시카바이드 층은 유기실리콘 화합물이 산소를 포함한다면 산화제 없이 증착될 수 있다. 바람직한 유기실리콘 화합물은 예를 들어 이하를 포함한다.
트리메틸실란 (CH3)3-SiH
테트라메틸실란 (CH3)4-Si
1,1,3,3-테트라메틸디실록산 (CH3)2-SiH-O-SiH-(CH3)2
헥사메틸디실록산 (CH3)3-Si-O-Si-(CH3)3
2,2-비스(1-메틸디실록사닐)프로판 (CH3-SiH2-O-SiH2-)2-C(CH3)2
1,3,5,7-테트라메틸사이클로테트라실록산 -(-SiHCH3-O-)4- (고리형)
옥타메틸사이클로테트라실록산 -(-Si(CH3)2-O-)4- (고리형)
1,3,5,7,9-펜타메틸사이클로펜타실록산 -(-SiHCH3-O-)5- (고리형)
및 이들의 불화 유도체들
유기실리콘 화합물은 실리콘 옥시카바이드층의 증착 동안, 바람직하게 산소(O2), 오존(O3), 산화 질소(N2O), 일산화탄소(CO), 이산화탄소(CO2), 물(H2O) 또는 그 결합물과 반응시킴으로써 산화된다. 오존이 산화 가스로서 사용될 때, 오존 생성기는 전형적으로 원천 가스 내 약 15 wt%의 산소를 오존으로 변환하고 나머지는 전형적으로 산소이다. 그러나, 오존 농도는 목적하는 오존양 및 사용되는 오존 생성 장비의 타입에 기초하여 증가 또는 감소될 수 있다. 산소를 포함하는 유기실리콘 화합물은 산소를 제공하기 위해 해리될 수 있다. 실리콘 옥시카바이드 층의 증착 동안, 기판은 약 -20℃ 내지 약 500℃ 사이의 온도에서 유지되고, 바람직하게는 약 170℃와 약 180℃ 사이의 온도에서 유지된다.
실리콘 옥시카바이드 층의 플라즈마 증착에 대하여, 유기실리콘 재료는 약0.03 W/cm2 내지 약 6.4 W/cm2 사이의 범위에 이르는 전력 밀도를 사용하여 증착되고, 그것은 200 mm 기판에 대해 약 10 W 내지 약 2000 W 사이의 RF 전력 레벨이다. 바람직하게, RF 전력 레벨은 약 300 W 내지 약 1700 W 사이이다. RF 전력은 약 0.01 MHz 내지 300 MHz 사이의 주파수에서 제공된다. RF 전력은 연속적으로 또는 짧은 지속기간 사이클로 제공될 수 있고, 전력은 약 200 Hz 이하의 사이클에 대해 전술된 레벨에서 온(on) 상태이고, 온 상태 사이클은 총합하여 전체 듀티 사이클(duty cycle)의 약 10% 내지 약 50%가 된다. 낮은 유전율 층의 증착 프로세스는 이하에서 더 상세히 기술되는 기판 프로세싱 시스템에서 수행된다. 실리콘 옥시카바이드 층은 연속적으로 증착될 수 있거나, 또는 다공성을 개선하기 위해 챔버를 변화시키거나 냉각 시간을 제공하는 것과 같은 중단을 가지면서 증착될 수 있다.
일 태양에서, 고리형 유기실리콘 화합물 및 지방족 유기실리콘 화합물은 반도체 기판 상에 낮은 유전율 층을 증착하기에 충분한 양의 산화 가스와 반응하고, 고리형 유기실리콘 화합물은 적어도 하나의 규소-탄소 결합을 포함한다. 지방족 유기실리콘 화합물은 규소-수소 결합 또는 규소-산소 결합, 바람직하게는 규소-수소 결합을 포함한다. 예를 들어, 고리형 유기실리콘 화합물은 1,3,5,7-테트라메틸사이클로테트라실록산 또는 옥타메틸사이클로테트라실록산이고, 지방족 유기실리콘 화합물은 트리메틸실란 또는 1,1,3,3-테트라메틸디실록산일 수 있다.
또 다른 태양에서, 고리형 유기실리콘 화합물 및 지방족 유기실리콘 화합물 둘 다 규소-수소 결합을 포함한다. 예를 들어, 1,3,5,7-테트라메틸사이클로테트라실록산 및 트리메틸실란 또는 1,1,3,3-테트라메틸디실록산은 RF 전력을 인가하는 동안 혼합 및 산화된다.
플라즈마 증착의 일 실시예에서, 산소 또는 산소 함유 화합물은 반응성을 증가시키고 증착된 층의 목적하는 산화를 달성하기 위해 해리된다. RF 전력은 화합물의 해리를 증가시키기 위해 증착 챔버에 결합된다. 화합물은 또한 증착 챔버에 진입하기 이전에 마이크로웨이브 챔버에서 해리될 수 있다.
비록 바람직하게는 증착이 단일 증착 챔버에서 일어나지만, 실리콘 옥시카바이드 층은 예를 들어, 증착 동안 층의 냉각을 허용하기 위해 2 이상의 증착 챔버에서 순차적으로 증착될 수 있다. 부가적으로, 실리콘 옥시카바이드 및 실리콘 카바이드 층은 동일 챔버에서 인시츄(in situ) 증착될 수 있고 선택적인 선구체를 사용하고 프로세싱 파라미터 및 프로세싱 가스의 조성을 제어함으로써 순차적으로 증착될 수 있다. 예를 들어, 실리콘 카바이드 및 실리콘 옥시카바이드 층은 둘 다 트리메틸실란을 질소 도핑된 실리콘 카바이드를 형성하기 위해 실리콘 카바이드 증착에 사용되고 있는 암모니아와 함께 사용하여, 그리고 순차적으로 실리콘 옥시카바이드 재료의 증착 동안 오존을 사용하여 증착될 수 있다.
종결층 개시층
일 태양에서, 층간 부착은 실리콘 옥시카바이드 층을 증착하기에 앞서 실리콘 카바이드 층 또는 절연 개시층(dielectric initiation layer) 상에 종결층(termination layer)을 증착함으로써 개선될 수 있다.
종결층은 절연 재료의 후속적인 증착을 개선하기 위하여 실리콘 카바이드 층 상에 증착될 수 있다. 종결층은 도핑된 실리콘 카바이드 층 또는 이전 층에 비해 증가된 산소 함량을 가진 도핑된 실리콘 카바이드 층을 형성하기 위해 실리콘 카바이드 프로세스 가스의 산소 농도를 증가시킴으로써 인시츄 증착될 수 있다. 산소 농도는 산화 가스, 산소 함유 유기실리콘 선구체, 또는 둘 다를 사용함으로써 증가될 수 있고, 그 층이 또한 산소 도핑된 실리콘 카바이드 층이라면 초기 규소 탄소 층보다 더 많은 양이 사용될 수 있다. 예를 들어, 산소 도핑된 실리콘 카바이드 층의 산소 함량은 약 3 원자 퍼센트(원자 %) 내지 약 10 원자 % 사이에 있을 수 있다. 증가된 산소 농도는 증착된 막으로부터 탄소 함량을 제거하고 층간 부착을 개선하기 위해 실리콘 카바이드 표면을 강화하는 것으로 여겨진다. 종결층은 약 100 Å 내지 약 1000 Å 사이의 두께로 증착될 수 있다.
절연 개시층은 실리콘 옥시카바이드 층의 증착을 도입(seed)하기 위해 실리콘 카바이드 층 상에 증착될 수 있다. 절연 개시층은 실리콘 옥시카바이드 증착과 유사한 또는 균등한 프로세싱 조건에서 층을 증착하기 위해 산화제 대신 유기실리콘 선구체와 함께 사용되는 탄소 함유 산화 화합물 및 질산염 화합물로 증착된다. 탄소 함유 산화 화합물은 이산화탄소, 일산화탄소 및 그 결합물을 포함할 수 있다. 질소 함유 화합물은 암모니아, 암모니아 유도체, 히드라진, 수소와 질소 혼합물, 및 그 결합물을 포함할 수 있다. 절연 개시층 및 실리콘 옥시카바이드 층은 산화 가스를 변형함으로써 그리고 질소 함유 화합물의 흐름을 종결 또는 감소시킴으로써 인시츄 증착될 수 있다. 절연 개시층을 형성하는데 있어, 탄소 함유 화합물 및 질산염 화합물을 둘 다 사용하는 것이 단지 하나의 성분만을 사용하는 것에 비해 현저히 부착을 개선함이 예상 밖으로 발견되었다.
일 실시예에서 절연 개시층의 증착 예는 이하와 같다. 탄소 함유 산화 화합물 및 질산염 화합물의 프로세싱 가스 및 유기실리콘 선구체가 프로세싱 챔버에 공급된다. 트리메틸실란 및/또는 1,3,5,7-테트라메틸사이클로테트라실록산과 같은 유기실리콘 화합물이 각각 약 100 밀리그램/분(mgm) 내지 5000 mgm 사이의 유량으로 플라즈마 프로세싱 챔버에 공급되고, 탄소 함유 산화 화합물이 약 10 sccm 내지 약 2000 sccm 의 유량으로 공급되며, 질산염 화합물이 약 10 sccm 내지 약 2000 sccm 사이의 유량으로 공급되며, 선택적으로, 약 1 sccm 내지 약 10000 sccm 의 유량으로 불활성 가스를 공급한다. 챔버는 약 0℃ 내지 약 500℃ 사이의 기판 온도에서 유지되고, 챔버 압력은 약 100 milliTorr 내지 약 100 Torr 사이에서 유지되며, 인가된 RF 전력은 약 0.03 watt/cm2 내지 약 1500 watt/cm2 이다. 프로세싱 가스는 가스 분배기에 의해 챔버 내로 도입될 수 있고, 가스 분배기는 기판 표면으로부터 약 200 mil 내지 약 700 mil에 배치될 수 있다.
RF 전력은 13 MHz 내지 14 MHz와 같은 고주파수에서 또는 고주파수와 저주파수의 혼합된 주파수에서 제공될 수 있다. 예를 들어, 13.56 MHz의 고주파수는 약 13.56 MHz의 고주파수 및 약 356 KHz의 저주파수를 갖는 혼합된 주파수와 마찬가지로 사용될 수 있다. RF 전력은 연속적으로 또는 짧은 지속기간 사이클로 제공될 수 있고, 전력은 약 200 Hz 이하의 사이클에 대해 전술한 레벨에서 온 상태이고, 온 상태 사이클은 총합하여 듀티 사이틀의 약 10 % 내지 약 30 %가 된다. 부가적으로, 저주파수 RF 전력은 증착 프로세스 동안 인가될 수 있다. 예를 들어, 약 100 KHz 내지 약 1 MHz에서의 약 100 watt 이하와 같은 약 300 watt 이하의 인가는 구리 응력 이동을 감소시키기 위하여 SiC 막의 압축 응력을 증가시키는 것과 같은 막 특성을 변형하기 위해 사용될 수 있다.
실리콘 카바이드 층과 비교하여 종결층을 증착하기 위해 사용되는 불활성 가스의 증가된 양 및 실리콘 옥시카바이드 층과 비교하여 절연 개시층의 불활성 가스의 증가된 양은 또한 층간 부착을 개선하는 것으로 관찰되었다.
층간 부착을 개선하는 증착 동반 처리
실리콘 카바이드 층과 실리콘 옥시카바이드 층 사이 경계면에서 탄소 함량을 감소시키는 것은 층간 부착을 개선하는 것으로 여겨진다. 증착 동안 프로세싱 변수의 수정은 탄소 함량에 영향을 미치고, 그리하여 부착에 영향을 미치는 것으로 여겨진다.
예를 들어, 또 다른 태양에서, 절연 개시층은 하나 이상의 프로세싱 변수를 수정함으로써 유기실리콘 화합물 및 산화 화합물로 증착될 수 있다. 절연 개시층 증착 동안에 스페이서 가열을 감소하는 것과 증착 온도를 증가시키는 것은 또한 실리콘 카바이드 층들과의 부착을 개선시키는 것으로 관찰되었다. 부가적으로, 절연 개시층 증착 동안에 유기실리콘 선구체 유량을 감소시키는 것은 실리콘 카바이드 재료와의 부착을 증가시키는 것으로 관찰되었다. 부가하여, 후속적으로 증착된 실리콘 옥시카바이드에 비해 증가된 증착률로 실리콘 옥시카바이드의 절연 개시층을 증착하는 것은 실리콘 카바이드 층의 부착을 증가시키는 것으로 관찰되었다.
또한, 절연 개시층의 증착에 사용되는 이중 주파수 RF 전원은 다른 모든 프로세싱 파라미터가 일정한 것으로 가정할 때 단일 주파수 RF 전원 및/또는 어플리케이션에 비해 증착을 개선하는 것으로 관찰되었다.
층간 부착을 개선하는 증착 후처리
증착 이후에, 각각의 증착된 절연 재료는 바람직하다면 수분 함량을 감소시키고 절연 재료의 고형성 및 경도를 증가시키기 위해 약 1분 내지 약 60분 동안, 바람직하게는 약 30분 동안 약 100℃ 내지 약 400℃ 사이의 온도에서 어닐링될 수 있다. 어닐링은 바람직하게 절연층의 수축 또는 변형을 방지하는 다음 층의 증착 이후에 수행된다. 아르곤 및 헬륨과 같은 불활성가스는 어닐링 분위기에 추가될 수 있다.
증착된 실리콘 옥시카바이드 층 또는 실리콘 카바이드 층은 또한 그 위에 레지스트 재료(resist material)가 증착되기 이전에 플라즈마 처리될 수 있다. 플라즈마 처리는 후속적으로 증착되는 재료에 대한 표면의 반응성을 감소시키는 실리콘 옥시카바이드 층 또는 실리콘 카바이드 층의 표면으로부터 탄소 재료의 일부를 제거하는 것으로 여겨진다. 플라즈마 처리는 규소 및 탄소 함유 재료를 증착하기 위해 사용되는 동일한 챔버에서 수행될 수 있다.
플라즈마 처리는 대체로 약 500 sccm 내지 약 3000 sccm의 유량으로 프로세싱 챔버에 헬륨, 아르곤, 네온, 크세논, 크립톤 또는 그 결합물을 포함하는 불활성 가스를 제공하는 단계, 및 프로세싱 챔버에서 플라즈마를 생성하는 단계를 포함한다. 선택적으로, 산소와 같은 산화 가스는 증착 후처리 프로세스에 불활성 가스와 함께 또는 불활성 가스 대신에 사용될 수 있다. 플라즈마는 약 0.03 W/cm2 내지 약 3.2 W/cm2 범위에 이르는 전력 밀도를 사용하여 생성될 수 있고, 그것은 200 mm 기판에 대하여 약 10 W 내지 약 1000 W 사이의 RF 전력 레벨이다. 바람직하게, 약 200 watt 내지 약 800 watt의 전력 레벨은 200 mm 기판에 대해 실리콘 카바이드 재료를 증착하는데 사용된다. RF 전력은 고주파수, 예를 들어, 13 MHz 내지 14 MHz의 주파수로 제공될 수 있다. RF 전력은 연속적으로 또는 짧은 지속기간 사이클로 제공될 수 있고, 전력은 약 200 Hz 이하의 사이클에 대해 전술할 레벨에서 온 상태에 있고, 온 상태 사이클은 총합하여 총 듀티 사이클의 약 10% 내지 약 30%가 된다.
프로세싱 챔버는 대체로 약 3 Torr 내지 약 12 Torr의 챔버 압력에서 유지된다. 챔버 압력은 약 7 Torr 내지 약 10 Torr이다. 기판은 플라즈마 처리 동안에 약 300℃ 내지 약 450℃ 사이의 온도에서 유지된다. 약 350℃ 내지 약 400℃ 사이의 기판 온도는 플라즈마 처리 동안 사용될 수 있다. 플라즈마 처리는 약 3초 내지 약 120초 사이에서 수행될 수 있고, 바람직하게 플라즈마 처리는 약 5초 내지 약 40초 사이에서 사용된다. 프로세싱 가스들은 기판 표면으로부터 약 200 mil 내지 약 1000 mil 사이에, 예를 들어, 300 mil 내지 500 mil 사이에 배치될 수 있는 가스 분배기, 또는 "샤워헤드"를 통해 프로세싱 챔버 내로 도입될 수 있다. 플라즈마 처리 동안 기판으로부터 약 300 mil 내지 약 400 mil 사이에 배치되는 샤워헤드는 기판 표면 상에서 프로세싱 가스에 의해 효과적인 플라즈마 처리를 생성하는 것으로 관찰되었다.
그러나, 각각의 파라미터는 300 mm 기판과 같은 상이한 기판 크기에 대해, 다양한 챔버에서 플라즈마 프로세스를 수행하도록 수정될 수 있다. 규소 및 탄소 함유 막에 대한 플라즈마 처리의 예는 1999년 6월 18일자로 출원된 미국 특허 출원 제 09/336,525호, "Plasma Treatment to Enhance Adhesion and to Minimize Oxidation of Carbon-Containing Layers(부착을 개선하고 탄소 함유 층의 산화를 감소시키는 플라즈마 처리)"에 개시되고, 상기 출원은 본 명세서에 기재된 본 발명의 개시 및 청구된 특징과 불일치하지 않는 한도에서 참조로서 편입된다.
E-빔 처리
본 발명의 다른 태양에서, 증착된 실리콘 카바이드 층 및 실리콘 옥시카바이드 층은 층간 부착을 개선하기 위해 전자 빔(e-빔)에 의해 큐어링(cure)될 수 있다. e-빔 처리는 예를 들어, 진공의 중단없이 하나의 챔버로부터 다른 챔버로 전달되는 동일한 프로세싱 시스템 내에서 인시츄로 수행될 수 있다. 부착에 대한 최적 결과는 실리콘 카바이드 층 및 실리콘 옥시카바이드 층으로 이루어진 스택의 e-빔 처리에 의해 관찰되어 온 반면, 개별적인 실리콘 카바이드 층들 및 실리콘 옥시카바이드 층들은 인접한 층들과의 부착을 개선하기 위해 처리될 수 있다.
실리콘 카바이드 층의 e-빔 처리는 약 0.5 킬로전자 볼트(KeV) 내지 약 30 KeV 사이의 에너지 범위에서, 예를 들어, 약 4 KeV 내지 약 10 KeV, 예를 들어, 8 KeV의 에너지 범위에서 약 50 제곱 센티미터 당 마이크로 쿨롱(μC/cm2) 내지 약 1600 μC/cm2 사이의 조사량, 예를 들어, 약 800 μC/cm2의 조사량에 적용 또는 노출되는 것을 포함할 수 있다. 조사량은 처리되는 기판의 크기에 따라 변화할 수 있고, 예를 들어, 약 50 μC/cm2 내지 약 1600 μC/cm2 사이의 조사량이 300 mm 기판 상에 형성된 층들의 부착을 야기하는 것으로 관찰되었고, 약 200 μC/cm2 내지 약 800 μC/cm2 사이의 조사량은 200 mm 기판 상에 형성된 층들의 부착을 야기하는 것으로 관찰되었다.
전자 빔들은 대체로 약 1 mTorr 내지 약 100 mTorr의 압력에서 생성된다. 주위의 가스는 질소, 헬륨, 아르곤, 크세논을 포함하는 불활성 가스, 산소를 포함하는 산화 가스, 수소를 포함하는 환원 가스, 수소와 질소의 혼합물, 암모니아 또는 이러한 가스들의 임의의 결합물을 포함한다. 전자 빔 전류는 약 1 mA 내지 약 40 mA 범위에 이르고, 보다 바람직하게는 약 2 mA 내지 약 20 mA 범위에 이른다. 전자 빔은 약 4 제곱 인치 내지 약 700 제곱 인치의 영역을 커버할 수 있다. e-빔 프로세스 장치(200)는 약 섭씨 -200도 내지 약 섭씨 600도 사이의 범위에서, 예를 들어, 약 섭씨 400도에서 동작한다.
비록 임의의 e-빔 장치가 사용될 수 있지만, 하나의 예시적인 장치는 캘리포니아 산타 클라라에 위치한 어플라이드 머티어리얼 사로부터 구입가능한 EBK 챔버이다. E-빔 프로세싱은 2002년 11월 22일자로 출원된 미국 특허 출원 제 10/302,375(AMAT 7625)호, "Method For Curing Low Dielectric Constant Film By Electron Beam (전자 빔에 의해 저 유전율 막을 큐어링하는 방법)"에 보다 완전히 개시되고, 상기 출원은 본 명세서의 기재 내용 및 청구된 특징과 불일치하지 않는 한도까지 참조로 편입된다.
이중 다마신 구조의 증착
실리콘 카바이드 층 상에 배치된 실리콘 옥시카바이드 층에 대하여 본 명세서에서 기술된 플라즈마 처리를 사용항 형성된 다마신 구조는 도 1에 도시된다.
본 명세서에서 기술되는 이하의 층간 부착 프로세스는 낮은 k 에치 스톱층(114)과 층간 절연층(118) 사이에 사용되는 한편, 본 발명은 층간 부착 프로세스가 실리콘 카바이드 장벽층(112)과 절연층(110) 사이와 같이, 다마신 구조의 임의의 적절한 절연층들 사이에 사용될 수 있음을 고려한다. 대안적으로, 도시되지는 않았으나, 본 발명은 부착 개선층 및 본 명세서에서 기술되는 기술들이 실리콘 옥시카바이드 층과 실리콘 옥시카바이드 층의 최상부 상에 증착되는 실리콘 카바이드 층 사이, 예를 들어, 층(110)과 층(114) 사이의 부착을 개선하기 위해 사용될 수 있다.
실리콘 옥시카바이드 재료는 제 1 절연층(110)과 같은 층간 절연 재료로서 본 명세서에서 기술되는 프로세스에 의해 산화된 유기실리콘 화합물로부터 증착된다. 제 1 실리콘 카바이드 장벽층(112)은 대체로 기판과 후속적으로 증착되는 재료 사이의 레벨 간 확산을 제거하기 위해 기판 표면 상에 증착된다. 제 1 실리콘 카바이드 장벽층(112)은 질소 및/또는 도핑된 산소일 수 있다. 질소가 없는 실리콘 카바이드의 캡 층(capping layer)(미도시)은 질소원 가스를 최소화 또는 제거함으로써 제 1 실리콘 카바이드 장벽층(112) 상에 인시츄 증착될 수 있다.
산화된 유기실리콘 화합물의 제 1 절연층(110)은 기판 표면 상에서 제 1 실리콘 카바이드 장벽층(112) 상에 증착된다. 그 다음, 제 1 절연층(110)은 본 명세서에서 기술되는 프로세스들에 따라 플라즈마 처리 또는 e-빔 처리될 수 있다. 대안적으로, 실리콘 옥사이드 캡 층(미도시)은 증착된 재료로부터 탄소를 제거하기 위하여 본 명세서에서 기술되는 실리콘 옥시카바이드 증착 프로세스의 산소 농도를 증가시킴으로써 제 1 절연층(110) 상에 인시츄 증착될 수 있다.
질소 또는 산소로 도핑되는 실리콘 카바이드의 에치 스톱(또는 제 2 장벽층)(114)은 그 다음 제 1 절연층(110) 상에 증착된다. 에치 스톱(114)은 그 위에 증착된 무 질소 실리콘 카바이드 캡 층을 가질 수 있다. 그 다음, 에치 스톱(114)은 콘택/비아(116)의 개구부를 한정하기 위해 패턴 에칭된다. 층간 부착층 또는 표면(115)은 후속적으로 증착된 절연 재료와의 층간 부착을 개선하기 위해 에칭 또는 부가적인 절연 에칭과 같은 후속적인 프로세싱에 앞서 층(114) 상에 형성될 수 있다. 개선된 부착층은 본 명세서에서 기술되는 바와 같이 절연 개시층 또는 실리콘 카바이드 종결층을 포함할 수 있다. 층간 부착 표면은 본 명세서에 기재된 기술들에 의해 형성될 수 있다. 그 다음, 산화된 유기실란 또는 유기실록산의 제 2 절연층(118)이 패터닝된 에치 스톱 위에 증착된다.
그 다음 제 2 절연층(118)이 본 명세서에서 기술되는 프로세스에 따라 플라즈마 처리되거나, 본 명세서에서 기술되는 프로세스에 의해 그 위에 배치되는 실리콘 옥사이드 캡 재료를 갖는다. 그 다음, 당업계에서 통상적으로 공지된 매사추세츠 말보로에 위치한 쉽리 컴퍼니(Shipley Company) 사로부터 상업적으로 구입가능한 포토레지스트 재료 UV-5와 같은 레지스트가 증착되고, 인터커넥트 라인(120)을 형성하기 위하여 당업계에서 공지된 종래 수단에 의해 패터닝된다. 그 다음 단일 에치 프로세스가 에치 스톱 아래로 인터커넥트를 형성하기 위해, 그리고 콘택/비아를 형성하기 위해 패터닝된 에치 스톱에 의해 노출된 보호되지 않은 절연물을 에칭하기 위해 수행된다.
본 발명에 따라 제조된 바람직한 이중 다마신 구조는 도 2E에 도시된 바와 같이 노출된 실리콘 옥시카바이드 층의 플라즈마 처리 또는 e-빔 처리를 포함하고, 상기 구조를 만드는 방법은 기판 상에 형성되는 본 발명의 단계들을 갖는 기판의 단면도에 관한 도 2A-2H에 순차적으로 도시된다.
도 2A에 도시된 바와 같이, 제 1 실리콘 카바이드 장벽층(112)이 기판 표면 상에 증착된다. 제 1 실리콘 카바이드 장벽층(112)의 실리콘 카바이드 재료가 질소 및/또는 산소로 도핑될 수 있다. 도시되지는 않았으나, 무 질소 실리콘 카바이드 또는 실리콘 옥사이드의 캡 층이 장벽층(112) 상에 증착될 수 있다. 무 질소 실리콘 카바이드 또는 실리콘 옥사이드는 프로세싱 가스의 조성을 조정함으로써 인시츄 증착될 수 있다. 제 1 실리콘 카바이드 장벽층(112)은 불활성 가스로 플라즈마 처리될 수 있다. 헬륨(He), 아르곤(Ar), 네온(Ne), 및 그 결합물이 불활성 가스를 위해 사용될 수 있다. 플라즈마 처리는 실리콘 카바이드 장벽층(112)의 증착과 인시츄로 수행될 수 있다.
일반적으로, 이하의 프로세스 파라미터들은 제 1 실리콘 카바이드 장벽층(112)를 플라즈마 처리하기 위해 사용될 수 있다. 프로세스 파라미터들은 약 1 Torr 내지 약 10 Torr의 챔버 압력, 약 1000 sccm 내지 약 7000 sccm의 불활성 가스 유량, 및 약 1 watt/cm2 내지 약 10 watt/cm2의 고주파수(RF) 전력에 이른다. 실리콘 카바이드 층 장벽층(112)은 약 120 초 이하 동안 플라즈마 처리된다.
트리메틸실란과 같은, 본 명세서에서 기술된 프로세스에 의해 산화된 유기실란 또는 유기실록산으로부터 실리콘 옥시카바이드의 최초 제 1 절연층(110)이 제조될 구조물의 크기에 따라, 약 5,000 내지 약 15,000 Å의 두께로 제 1 실리콘 카바이드 장벽층(112) 상에 증착된다. 제 1 절연층은 또한 파랄린(paralyne)을 포함하여 낮은 폴리머 재료와 같은 다른 낮은 k 절연 재료 또는 비도핑 실리콘 글래스(USG) 또는 불소-도핑된 실리콘 글래스(FSG)와 같은 낮은 k 스핀-온 글래스를 포함할 수 있다. 그 다음 제 1 절연층이 본 명세서에서 기술되는 바와 같이 플라즈마 프로세스에 의해 처리될 수 있다.
도 2B에 도시된 바와 같이, 질소 및/또는 산소 도핑된 실리콘 카바이드일 수 있는 낮은 k 에치 스톱(114)이 그 다음 제 1 절연층 상에 약 100 Å 내지 약 1000 Å의 두께로 증착된다. 그 다음, 절연 개시층과 같이 본 명세서에서 기술되는 프로세스들 중 하나에 의해 형성된 층간 절연 부착층 또는 표면(115)이 낮은 k 에치 스톱층(114) 상에 형성 또는 증착된다. 낮은 k 에치 스톱(114) 및/또는 층간 절연 부착층 또는 표면(115)은 실리콘 카바이드 재료 또는 실리콘 옥시카바이드 재료에 대해 본 명세서에서 기술되는 바와 같이 플라즈마 처리될 수 있다.
그 다음, 낮은 k 에치 스톱(114)이 도 2C에 도시된 바와 같이 콘택/비아 개구부(116)를 형성하기 위해 그리고 콘택/비어가 형성될 영역들에서 제 1 절연층(110)을 노출시키기 위해 패턴 에칭된다. 바람직하게, 낮은 k 에치 스톱(114)은 종래의 포토리소그래피 및 불소, 탄소 및 산소 이온들을 사용하는 에치 프로세스를 사용하여 패턴 에칭된다. 도시되지는 않았으나, 약 100 Å 내지 약 500 Å의 무 질소 실리콘 카바이드 또는 실리콘 옥사이드 캡 층은 부가의 재료를 증착하기에 앞서 낮은 k 에치 스톱(114) 및/또는 층간 절연 부착층 또는 표면(115) 상에 증착될 수 있다.
낮은 k 에치 스톱(114)이 콘택/비아를 패터닝하도록 에칭되고 레지스트 재료가 제거된 이후에, 트리메틸실란과 같이 본 명세서에서 기술된 프로세스에 의해 산화된 유기실란 또는 유기실록산으로부터 실리콘 옥시카바이드의 제 2 절연층(118)이 도 2D에 도시된 바와 같이 약 5,000 내지 약 15,000 Å의 두께로 증착된다. 그 다음 제 2 절연층(118)이 본 명세서에서 기술된 바와 같이 제 1 절연층(110)에 대해 헬륨으로 플라즈마 처리된다.
그 다음 레지스트 재료(122)는 도 2E에 도시된 바와 같이 제 2 절연층(118)(또는 캡 층) 상에 증착되고, 바람직하게는 인터커넥트 라인들(120)을 형성하기 위해 종래의 포토리소그래프 프로세스들을 사용하여 패터닝된다. 레지스트 재료(122)는 매사추세츠 말보로에 위치한 십리 컴퍼니 사로부터 상업적으로 구입가능한 UV-5와 같은 당업계에 공지된 재료, 바람직하게는 높은 활성화 에너지 레지스트 재료를 포함한다. 그 다음, 인터커넥트 및 콘택/비아는 도 2F에 도시된 바와 같이 금속배선 구조(즉, 인터커넥트 및 콘택/비아)를 형성하기 위해 반응성 이온 에칭 또는 다른 이방성 에칭 기술을 사용하여 에칭된다. 임의의 레지스트 재료 또는 에치 스톱(114) 또는 제 2 절연층(118)을 패터닝하기 위해 사용되는 다른 재료는 산소 스트립 또는 다른 적절한 프로세스를 사용하여 제거된다.
그 다음 금속 배선 구조는 알루미늄, 구리, 텅스텐 또는 그 결합물과 같은 도전성 재료로 형성된다. 현재 트렌드는 낮은 저항성 구리(알루미늄에 대한 3.1 mW-cm에 비해 1.7 mW-cm)로 인한 더 작은 피쳐(feature)를 형성하기 위해 구리를 사용하는 것이다. 바람직하게, 도 2G에 도시된 바와 같이, 탄탈륨 나이트라이드와 같은 적절한 금속 장벽층(124)이 우선 주변의 규소 및/또는 절연 재료 내로의 구리 이동을 방지하기 위하여 금속배선 패턴에 컨포멀하게 증착된다. 그 이후에, 구리(126)는 도전성 구조물을 형성하기 위하여 화학 기상 증착, 물리적 기상 증착, 전기도금 또는 그 결합을 사용하여 증착된다. 일단 구조물이 구리 또는 다른 금속들로 충진되었다면, 표면은 도 2H에 도시된 바와 같이 화학기계적 연마를 사용하여 평탄화된다.
예시
이하의 예시는 개선된 층간 부착을 보여주기 위하여 표면 층간 스택과 비교하여 본 명세서에서 기술되는 부착 프로세스의 여러 실시예들을 나타낸다. 이러한 예시들은 화학 기상 증착 챔버를 사용하여, 2 피스 석영 프로세스 키트를 구비한 고체-상태 RF 매칭 유닛을 포함하는 Centura DxZ™ 또는 Producer™에서 수행되었고, 상기 Centura DxZ™ 및 Producer™는 캘리포니아 산타 클라라에 위치한 어플라이드 머티어리얼즈에 의해 제조 및 판매된다.
베이스 층간 스택 및 부착 테스트
테스트 샘플들은 이하와 같이 준비되었다. 절연층들의 스택은 이하와 같이 실리콘(silicon) 기판 상에 증착되었다. 본 명세서에서 기술된 바와 같이 제 1 실리콘 카바이드 층은 실리콘 기판 상에 약 1000 Å 두께로 증착되었고, 본 명세서에서 기술된 바와 같이 약 5000 Å의 실리콘 옥시카바이드 절연층은 제 1 실리콘 카바이드 층 상에 증착되었으며, 본 명세서에서 기술된 바와 같이 제 2 실리콘 카바이드 층은 실리콘 옥시카바이드 층 상에 약 1000 Å 두께로 증착되었다.
실리콘 카바이드 층들은 재료를 증착하기 위해 히터와 기판 사이에 약 300 mil의 히터 간격을 두고 350℃의 온도, 3 Torr의 압력 및 300 watt의 RF 전력에서 동작하는 프로세싱 챔버 내로 160 sccm의 유량으로 트리메틸실란(TMS)을, 400 sccm의 유량으로 헬륨을, 325 sccm의 유량으로 암모니아를 도입함으로써 증착되었다.
실리콘 옥시카바이드 층은 재료를 증착하기 위해 히터와 기판 사이에 약 360 mil의 히터 간격을 두고 350℃의 온도, 5 Torr의 압력 및 700 watt의 RF 전력에서 동작하는 프로세싱 챔버 내로 1400 sccm의 유량으로 트리메틸실란(TMS)을, 400 sccm의 유량으로 헬륨을, 그리고 400 sccm의 유량으로 산소를 도입함으로써 증착되었다.
부착 테스팅은 테스트 샘플 상에서 이하와 같이 수행되었다. 공지된 박리를 가진 약 120 ㎛ 내지 약 150 ㎛의 에폭시 재료가 테스트 샘플들 상에 증착되었다. 그 다음 테스트 샘플들은 대략 190℃에서 1 시간 동안 베이킹 또는 큐어링되었고, 그 다음 1 cm × 1 cm 샘플들로 쪼개졌으며 액체 질소를 사용하여 -170℃로 냉각되었다. 그 다음 샘플들은 박리를 결정하는 것으로 관찰되었고, 박리는 주어진 온도에서 가장 약한 층간 경계면에서 발생한다. 주어진 온도에서의 에폭시의 수축은 필링을 유도하기 위해 요구되는 힘들과 상호연관된다. 이러한 관찰로부터, 부착의 결정이 계산될 수 있다. 부착(kic))은 식 σ√(h/2)에 기초하며, h는 에폭시 두께이고 잔여 응력이다. 전술된 처리되지 않거나 변형되지 않은 스택의 측정된 부착(kic)은 약 0.22 Mpa-m1/2이었다.
전술된 프로세스들과 대응하는 부착값의 예는 이하와 같다.
RF 주파수
절연 개시층들의 단일 및 이중 주파수 증착은 이하와 같이 준비되었다. 단일 주파수 절연 개시층은 재료를 증착하기 위해 히터와 기판 사이에 약 350 mil의 히터 간격을 두고 400℃의 온도, 3.5 Torr의 압력 및 1100 watt의 고주파수 RF 전력에서 동작하는 프로세싱 챔버 내로 600 sccm의 유량으로 트리메틸실란(TMS)을, 1200 sccm의 유량으로 헬륨을, 1000 sccm의 유량으로 산소를, 1000 sccm의 유량으로 아세틸렌(C2H4)을, 그리고 4000 mgm의 유량으로 OMCTS를 도입함으로써 증착되었다.
단일 주파수 절연 개시층의 측정된 부착(kic)은 약 0.3 Mpa-m1/2으로 관찰되었다. 유전율은 3.28로 측정되었고, 증착된 층의 경도는 2.68 GPa이었으며, 층 모듈러스는 17.3 GPa로 측정되었다.
이중 주파수 절연 개시층은 재료를 증착하기 위해 히터와 기판 사이에 약 350 mil의 히터 간격을 두고 400℃의 온도, 4.5 Torr의 압력 및 400 watt의 고주파수 RF 전력에서 동작하는 프로세싱 챔버 내로 300 sccm의 유량으로 트리메틸실란(TMS)을, 600 sccm의 유량으로 헬륨을, 300 sccm의 유량으로 산소를, 500 sccm의 유량으로 아세틸렌(C2H4)을, 그리고 2000 mgm의 유량으로 OMCTS를 도입함으로써 증착되었다.
이중 주파수 절연 개시층의 측정된 부착(kic)은 약 0.29 Mpa-m1/2으로 관찰되었다. 유전율은 2.96으로 측정되었고, 증착된 층의 경도는 2.03 GPa이었으며, 층 모듈러스는 12.27GPa로 측정되었다.
대체로, 이중 주파수 층 부착은 단일 주파수 층 부착에 대해 개선됨이 관찰되었다. 부가하여, 증가된 전력 레벨이 증가된 부착을 야기함이 또한 관찰되었다. 부가적으로, 증가된 유전율, 경도 및 모듈러스는 증착된 부착을 야기할 수 있다. 상기 예시들과 관련하여, 단일 주파수 층 부착 0.3 Mpa-m1/2은 이중 주파수 층 부착 0.29 Mpa-m1/2 보다 더 큰 것으로 관찰되었으나, 단일 주파수 층의 전력 레벨 1110W는 이중 주파수 층 400W/150W보다 더 크고, 이중 주파수 층 부착의 유전율 2.96은 단일 주파수 유전율 3.28보다 개선되었다. 상기와 같은 절연층 특성 트렌드 하에서, 절연 층들을 증착하기 위한 프로세스들은 감소된 부착 또는 최적의 절연 특성 이하를 갖는 개선된 부착을 갖는 개선된 유전율과 같은 바람직한 절연층 특성을 제공하도록 변형될 수 있다.
도핑된 절연 개시층
층간 부착을 개선하는 절연 개시층과 도펀트 부가의 비교. 이산화탄소 부가 절연 개시층은 재료를 증착하기 위해 히터와 기판 사이에 약 360 mil의 히터 간격을 두고 350℃의 온도, 5 Torr의 압력 및 700 watt의 RF 전력에서 동작하는 프로세싱 챔버 내로 1400 sccm의 유량으로 트리메틸실란(TMS)을, 400 sccm의 유량으로 헬륨을, 400 sccm의 유량으로 이산화탄소를 도입함으로써 증착되었다. 측정된 부착(kic)은 약 0.21 Mpa-m1/2이었다.
암모니아 부가 절연 개시층은 재료를 증착하기 위해 히터와 기판 사이에 약 360 mil의 히터 간격을 두고 350℃의 온도, 5 Torr의 압력 및 700 watt의 RF 전력에서 동작하는 프로세싱 챔버 내로 1400 sccm의 유량으로 트리메틸실란(TMS)을, 400 sccm의 유량으로 헬륨을, 325 sccm의 유량으로 암모니아를 도입함으로써 증착되었다. 측정된 부착(kic)은 약 0.26 Mpa-m1/2이었다.
이산화탄소 및 암모니아 부가 절연 개시층은 재료를 증착하기 위해 히터와 기판 사이에 약 360 mil의 히터 간격을 두고 350℃의 온도, 5 Torr의 압력 및 700 watt의 RF 전력에서 동작하는 프로세싱 챔버 내로 1400 sccm의 유량으로 트리메틸실란(TMS)을, 400 sccm의 유량으로 헬륨을, 400 sccm의 유량으로 이산화탄소를, 그리고 325 sccm의 유량으로 암모니아를 도입함으로써 증착되었다. 측정된 부착(kic)은 약 0.28 Mpa-m1/2이었다.
산소 도핑된 종결층
층간 부착을 개선하는 산소 도핑된 종결층 테스트 결과 및 예시는 이하와 같다. 산소 도핑된 실리콘 카바이드 종결층은 재료를 증착하기 위해 히터와 기판 사이에 약 300 mil의 히터 간격을 두고 350℃의 온도, 3 Torr의 압력 및 300 watt의 RF 전력에서 동작하는 프로세싱 챔버 내로 160 sccm의 유량으로 트리메틸실란(TMS)을, 400 sccm의 유량으로 헬륨을, 400 sccm의 유량으로 산소를 도입함으로써 증착되었다. 측정된 부착(kic)은 약 0.27 Mpa-m1/2이었다.
변형된 절연 개시층 종결층
온도, 선구체 유량 및 간격과 관련하여 층간 부착을 개선하는 절연 개시층 테스트 샘플들은 이하와 같이 나타난다. 350℃ 및 400℃ 온도에서의 2개의 샘플들은 재료를 증착하기 위해 히터와 기판 사이에 약 360 mil의 히터 간격을 두고 5 Torr의 압력 및 700 watt의 RF 전력에서 동작하는 프로세싱 챔버 내로 1400 sccm의 유량으로 트리메틸실란(TMS)을, 400 sccm의 유량으로 헬륨을, 400 sccm의 유량으로 산소를 도입함으로써 증착되었다. 측정된 부착(kic)은 350℃에서 약 0.22 Mpa-m1/2이었고 400℃에서 약 0.27 Mpa-m1/2이었으며, 증가된 증착 온도에서 개선된 증착을 나타낸다.
1400 sccm, 700 sccm 및 160 sccm의 TMS 유량을 갖는 3개의 샘플들은 재료를 증착하기 위해 히터와 기판 사이에 약 360 mil의 히터 간격을 두고 350℃의 온도, 5 Torr의 압력 및 700 watt의 RF 전력에서 동작하는 프로세싱 챔버 내로 400 sccm의 유량으로 헬륨을, 400 sccm의 유량으로 산소를 도입함으로써 증착되었다. 측정된 부착(kic)은 1400 sccm에서 약 0.22 Mpa-m1/2, 700 sccm에서 약 0.24 Mpa-m1/2, 그리고 160 sccm에서 약 0.28 Mpa-m1/2이었으며, 감소된 선구체 유량에서 개선된 증착을 나타낸다.
300 mil, 360 mil, 및 460 mil의 히터 간격을 갖는 3개의 샘플들은 재료를 증착하기 위해 350℃의 온도, 5 Torr의 압력 및 700 watt의 RF 전력에서 동작하는 프로세싱 챔버 내로 1400 sccm의 유량으로 트리메틸실란(TMS)을, 400 sccm의 유량으로 헬륨을, 400 sccm의 유량으로 산소를 도입함으로써 증착되었다. 측정된 부착(kic)은 360 mil에서 약 0.22 Mpa-m1/2, 460 mil에서 약 0.22 Mpa-m1/2, 그리고 300 mil에서 약 0.30 Mpa-m1/2이었으며, 히터와 기판 표면 사이의 더 좁은 간격에 의해 개선된 증착을 나타낸다.
전술한 설명은 본 발명의 바람직한 실시예들에 관한 것이나, 본 발명의 다른 부가적인 실시예들이 본 발명의 기본 범위를 벗어나지 않고 구현될 수 있으며, 본 발명의 범위는 이하의 청구범위에 의해 결정된다.

Claims (23)

  1. 기판 상에 규소 및 탄소를 포함하고 4 이하의 유전율을 갖는 장벽층을 증착하는 단계;
    상기 장벽층에 인접하여 절연 개시층을 증착하는 단계; 및
    상기 절연 개시층에 인접하여 규소, 산소 및 탄소를 포함하고 약 3 이하의 유전율을 갖는 제 1 절연층을 증착하는 단계;
    를 포함하는 기판 프로세싱 방법.
  2. 제 1항에 있어서,
    상기 절연 개시층은 유기실리콘 화합물, 탄소 함유 산화 화합물, 및 질산염 화합물을 포함하는 프로세싱 가스를 프로세싱 챔버 내로 도입하는 단계, 및 상기 절연 개시층을 증착하기 위해 상기 프로세싱 가스를 반응시키는 단계에 의해 증착되는 기판 프로세싱 방법.
  3. 제 2항에 있어서,
    상기 탄소 함유 산화 화합물은 산소 함유 유기실리콘 화합물, 이산화탄소, 일산화탄소, 및 그 결합물의 그룹으로부터 선택되는 기판 프로세싱 방법.
  4. 제 3항에 있어서,
    상기 유기실리콘 화합물은 트리메틸실란, 2,4,6,8-테트라메틸사이클로테트라실록산, 옥타메틸사이클로테트라실록산 및 그 결합물의 그룹으로부터 선택되는 기판 프로세싱 방법.
  5. 제 2항에 있어서,
    상기 질소 함유 화합물은 암모니아, 암모니아 유도체, 히드라진, 수소와 질소의 혼합물, 및 그 결합물의 그룹으로부터 선택되는 기판 프로세싱 방법.
  6. 제 2항에 있어서,
    상기 프로세싱 가스를 반응시키는 단계는 단일 주파수 RF 전원에 의해 플라즈마를 생성하는 단계를 포함하는 기판 프로세싱 방법.
  7. 제 2항에 있어서,
    상기 프로세싱 가스를 반응시키는 단계는 이중 주파수 RF 전원에 의해 플라즈마를 생성하는 단계를 포함하는 기판 프로세싱 방법.
  8. 제 2항에 있어서,
    상기 프로세싱 가스는 부가하여 불활성 가스를 포함하는 기판 프로세싱 방법.
  9. 제 1항에 있어서,
    후속적인 프로세싱에 앞서 증착된 절연 개시층을 e-빔 큐어링 기술로 처리하는 단계;
    를 더 포함하는 기판 프로세싱 방법.
  10. 제 9항에 있어서,
    상기 e-빔 큐어링 기술은 약 6kV 내지 약 8kV 사이에서 400 μC/cm2의 조사량으로 절연 개시층에 적용되는 기판 프로세싱 방법.
  11. 제 1항에 있어서,
    상기 절연 개시층은 규소, 산소 및 탄소를 포함하고, 제 1 온도로 증착되며,
    제 1 절연층은 상기 제 1 온도보다 낮은 제 2 온도로 증착되는 기판 프로세싱 방법.
  12. 제 1항에 있어서,
    상기 절연 개시층은 유기실리콘 화합물 및 산화 화합물을 포함하는 제 1 프로세싱 가스를 제 1 유기실리콘 유량으로 프로세싱 챔버 내로 도입하고 상기 제 1 프로세싱 가스를 반응시킴으로써 증착되고,
    상기 제 1 절연층은 유기실리콘 화합물 및 산화 화합물을 포함하는 제 2 프로세싱 가스를 제 2 유기실리콘 유량으로 프로세싱 챔버 내로 도입하고 상기 제 2 프로세싱 가스를 반응시킴으로써 증착되고,
    상기 제 2 유기실리콘 유량은 상기 제 1 유기실리콘 유량보다 더 큰 기판 프로세싱 방법.
  13. 제 1항에 있어서,
    상기 절연 개시층은 규소, 산소 및 탄소를 포함하고, 제 1 증착률로 증착되며, 상기 절연층은 상기 제 1 증착률보다 더 낮은 제 2 증착률로 증착되는 기판 프로세싱 방법.
  14. 제 1 절연층을 기판 상에 증착하는 단계 - 여기서, 상기 제 1 절연층은 규소 및 탄소를 포함하고, 유기실리콘 화합물을 갖는 프로세싱 가스를 도입하는 단계 및 상기 제 1 절연층을 증착하기 위하여 상기 프로세싱 가스를 반응시키는 단계를 포함하는 프로세스에 의해 증착됨 -;
    상기 제 1 절연층의 표면 부분에서 상기 탄소 함량을 감소시키는 단계; 및
    상기 제 1 절연층에 인접하여 제 2 절연층을 증착하는 단계 - 상기 제 1 절연층은 규소, 산소 및 탄소를 포함하고 약 3 이하의 유전율을 가짐 - ;
    를 포함하는 기판 프로세싱 방법.
  15. 제 14항에 있어서,
    상기 제 1 절연층의 표면 부분에서 탄소 함량을 감소시키는 단계는 상기 제 1 절연층 상에서 산화된 표면을 형성하는 단계를 포함하는 기판 프로세싱 방법.
  16. 제 15항에 있어서,
    상기 제 1 절연층의 산화된 표면을 형성하는 단계는 상기 제 1 절연층을 무 질소 산화 플라즈마에 노출시키는 단계를 포함하는 기판 프로세싱 방법.
  17. 제 15항에 있어서,
    상기 제 1 절연층의 상기 산화된 표면을 형성하는 단계는 상기 프로세싱 가스에 산소 함유 화합물을 도입하는 단계를 포함하는 기판 프로세싱 방법.
  18. 제 14항에 있어서,
    상기 제 2 절연층을 증착하는 단계는 유기실리콘 화합물 및 산화 화합물을 포함하는 프로세싱 가스를 도입하는 단계 및 상기 제 1 절연층을 증착하기 위해 상기 프로세싱 가스를 반응시키는 단계를 포함하고,
    상기 제 1 절연층의 표면 부분에서 상기 탄소 함량을 감소시키는 단계는 유기실리콘 화합물, 탄소 함유 산화 화합물 및 질산염 화합물을 포함하는 프로세싱 가스를 프로세싱 챔버 내로 도입하는 단계, 및 상기 장벽층의 표면에 절연 재료를 증착하기 위해 상기 프로세싱 가스를 반응시키는 단계를 포함하는 기판 프로세싱 방법.
  19. 제 14항에 있어서,
    후속적인 프로세싱에 앞서 상기 제 1 절연층을 e-빔 큐어링 기술로 처리하는 단계를 더 포함하는 기판 프로세싱 방법.
  20. 기판 상에 장벽층을 증착하는 단계 - 상기 장벽층은 유기실리콘 화합물을 포함하는 프로세싱 가스를 프로세싱 챔버 내로 도입하고 상기 프로세싱 가스를 반응시킴으로써 증착됨 -;
    상기 장벽층에 인접하여 장벽층 종결층을 증착하는 단계 - 상기 장벽층은 유기실리콘 화합물 및 산화 화합물을 포함하는 프로세싱 가스를 프로세싱 챔버 내로 도입하고 상기 프로세싱 가스를 반응시킴으로써 증착됨 - ; 및
    상기 장벽층 종결층에 인접하여 규소, 산소 및 탄소를 포함하고 약 3 이하의 유전율을 갖는 제 1 절연층을 증착하는 단계;
    를 포함하는 기판 프로세싱 방법.
  21. 제 20항에 있어서,
    후속적인 프로세싱에 앞서 상기 장벽층 종결층을 e-빔 큐어링 기술로 처리하는 단계를 더 포함하는 기판 프로세싱 방법.
  22. 제 21항에 있어서,
    상기 e-빔 큐어링 기술은 약 6kV 내지 약 8kV 사이에서 400 μC/cm2의 조사량으로 상기 절연 개시층에 적용되는 기판 프로세싱 방법.
  23. 제 20항에 있어서,
    후속적인 프로세싱에 앞서 상기 장벽층 종결층을 무 질소 산화 플라즈마에 노출시키는 단계를 더 포함하는 기판 프로세싱 방법.
KR1020057015586A 2003-03-07 2004-03-05 층간 부착 개선 방법 KR101061331B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/383,837 2003-03-07
US10/383,837 US6913992B2 (en) 2003-03-07 2003-03-07 Method of modifying interlayer adhesion
PCT/US2004/006849 WO2004082010A2 (en) 2003-03-07 2004-03-05 Method of improving interlayer adhesion

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020117001449A Division KR101124781B1 (ko) 2003-03-07 2004-03-05 층간 부착 개선 방법

Publications (2)

Publication Number Publication Date
KR20050106036A true KR20050106036A (ko) 2005-11-08
KR101061331B1 KR101061331B1 (ko) 2011-08-31

Family

ID=32927137

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020117001449A KR101124781B1 (ko) 2003-03-07 2004-03-05 층간 부착 개선 방법
KR1020057015586A KR101061331B1 (ko) 2003-03-07 2004-03-05 층간 부착 개선 방법
KR1020117031029A KR101236474B1 (ko) 2003-03-07 2004-03-05 층간 부착 개선 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020117001449A KR101124781B1 (ko) 2003-03-07 2004-03-05 층간 부착 개선 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020117031029A KR101236474B1 (ko) 2003-03-07 2004-03-05 층간 부착 개선 방법

Country Status (6)

Country Link
US (5) US6913992B2 (ko)
EP (1) EP1604394A2 (ko)
KR (3) KR101124781B1 (ko)
CN (1) CN100437933C (ko)
TW (1) TWI339417B (ko)
WO (1) WO2004082010A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180028541A (ko) * 2014-01-29 2018-03-16 어플라이드 머티어리얼스, 인코포레이티드 저온 경화 모듈러스 강화

Families Citing this family (191)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
KR20040096377A (ko) * 2003-05-09 2004-11-16 삼성전자주식회사 산화막 및 산질화막 형성 방법
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
US6972252B1 (en) 2003-08-25 2005-12-06 Novellus Systems, Inc. Method of improving adhesion between two dielectric films
US20050062164A1 (en) * 2003-09-23 2005-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving time dependent dielectric breakdown lifetimes
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4879159B2 (ja) * 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜堆積のためのcvdプロセス
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7547643B2 (en) 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7223692B2 (en) * 2004-04-30 2007-05-29 Taiwan Semiconductor Manufacturing Co., Ltd Multi-level semiconductor device with capping layer for improved adhesion
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US20060228889A1 (en) * 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US7189658B2 (en) * 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
US20060264042A1 (en) * 2005-05-20 2006-11-23 Texas Instruments, Incorporated Interconnect structure including a silicon oxycarbonitride layer
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
US20070155186A1 (en) * 2005-11-22 2007-07-05 International Business Machines Corporation OPTIMIZED SiCN CAPPING LAYER
US7485912B2 (en) * 2006-03-28 2009-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Flexible metal-oxide-metal capacitor design
US7598183B2 (en) * 2006-09-20 2009-10-06 Applied Materials, Inc. Bi-layer capping of low-K dielectric films
DE102006046790B4 (de) * 2006-10-02 2014-01-02 Infineon Technologies Ag Integriertes Bauelement und Verfahren zum Trennen einer elektrisch leitfähigen Verbindung
US7410916B2 (en) * 2006-11-21 2008-08-12 Applied Materials, Inc. Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
US7964442B2 (en) * 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US20090176367A1 (en) * 2008-01-08 2009-07-09 Heidi Baks OPTIMIZED SiCN CAPPING LAYER
US20110204382A1 (en) * 2008-05-08 2011-08-25 Base Se Layered structures comprising silicon carbide layers, a process for their manufacture and their use
US20100015816A1 (en) * 2008-07-15 2010-01-21 Kelvin Chan Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors
DE102008044987B4 (de) * 2008-08-29 2019-08-14 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Verringerung von Partikeln in PECVD-Prozessen zum Abscheiden eines Materials mit kleiner Dielektrizitätskonstante unter Anwendung eines plasmaunterstützten Schritts nach der Abscheidung
US8993460B2 (en) * 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
JP5671253B2 (ja) * 2010-05-07 2015-02-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8373271B2 (en) * 2010-05-27 2013-02-12 International Business Machines Corporation Interconnect structure with an oxygen-doped SiC antireflective coating and method of fabrication
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102420170A (zh) * 2011-05-13 2012-04-18 上海华力微电子有限公司 用于超厚顶层金属的先沟槽金属硬掩模双大马士革工艺
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US9318345B2 (en) * 2011-10-05 2016-04-19 Globalfoundries Inc. Enhancing transistor performance by reducing exposure to oxygen plasma in a dual stress liner approach
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
FR2982609B1 (fr) * 2011-11-16 2014-06-20 Saint Gobain Vitrage hydrophobe
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
CN103579083B (zh) * 2012-07-20 2016-04-20 中芯国际集成电路制造(上海)有限公司 开口的形成方法
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
CN104103572B (zh) * 2013-04-02 2017-02-08 中芯国际集成电路制造(上海)有限公司 多孔低k介质层的形成方法及多孔低k介质层
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9111931B2 (en) * 2014-01-22 2015-08-18 Nanya Technology Corporation Method of forming an interconnect structure with high process margins
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9892957B2 (en) * 2015-03-16 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US20190157213A1 (en) 2017-11-20 2019-05-23 Globalfoundries Inc. Semiconductor structure with substantially straight contact profile
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
KR102672436B1 (ko) 2019-01-10 2024-06-04 삼성전자주식회사 반도체 장치 제조 방법
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11164776B2 (en) * 2019-09-30 2021-11-02 International Business Machines Corporation Metallic interconnect structure

Family Cites Families (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2554570A (en) * 1948-09-27 1951-05-29 Leo M Harvey Measuring liquid dispenser having resilient walls
US5087959A (en) 1987-03-02 1992-02-11 Microwave Technology, Inc. Protective coating useful as a passivation layer for semiconductor devices
US5817672A (en) * 1991-12-06 1998-10-06 Hoechst Marion Roussel, Inc. Trans cyclopentanyl purine analogs useful as immunosuppressants
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
JP2899600B2 (ja) 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
US5818071A (en) 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
US6652922B1 (en) 1995-06-15 2003-11-25 Alliedsignal Inc. Electron-beam processed films for microelectronics structures
US5906042A (en) 1995-10-04 1999-05-25 Prolinx Labs Corporation Method and structure to interconnect traces of two conductive layers in a printed circuit board
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
JPH1116904A (ja) 1997-06-26 1999-01-22 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5926740A (en) 1997-10-27 1999-07-20 Micron Technology, Inc. Graded anti-reflective coating for IC lithography
KR19990030660A (ko) 1997-10-02 1999-05-06 윤종용 전자빔을 이용한 반도체장치의 층간 절연막 형성방법
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6448655B1 (en) 1998-04-28 2002-09-10 International Business Machines Corporation Stabilization of fluorine-containing low-k dielectrics in a metal/insulator wiring structure by ultraviolet irradiation
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6436824B1 (en) 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US6541369B2 (en) 1999-12-07 2003-04-01 Applied Materials, Inc. Method and apparatus for reducing fixed charges in a semiconductor device
FR2802336B1 (fr) 1999-12-13 2002-03-01 St Microelectronics Sa Structure d'interconnexions de type damascene et son procede de realisation
US6350670B1 (en) 1999-12-17 2002-02-26 Intel Corporation Method for making a semiconductor device having a carbon doped oxide insulating layer
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6582777B1 (en) 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6362091B1 (en) 2000-03-14 2002-03-26 Intel Corporation Method for making a semiconductor device having a low-k dielectric layer
US6409238B1 (en) 2000-04-26 2002-06-25 Illinois Tool Works Inc. Anti-rattle structure for door handle
JP3532830B2 (ja) 2000-05-24 2004-05-31 キヤノン販売株式会社 半導体装置及びその製造方法
JP2002009069A (ja) 2000-06-22 2002-01-11 Canon Sales Co Inc 成膜方法
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
EP1184508A1 (de) 2000-08-30 2002-03-06 Star Coating AG Transfermaterial
TW535253B (en) * 2000-09-08 2003-06-01 Applied Materials Inc Plasma treatment of silicon carbide films
US6756323B2 (en) 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
EP1352107A2 (en) 2000-10-25 2003-10-15 International Business Machines Corporation An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device, a method for fabricating the same, and an electronic device containing the same
US6504379B1 (en) 2000-11-16 2003-01-07 Fluke Networks, Inc. Cable assembly
US6340628B1 (en) 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
US6583047B2 (en) * 2000-12-26 2003-06-24 Honeywell International, Inc. Method for eliminating reaction between photoresist and OSG
US6407013B1 (en) * 2001-01-16 2002-06-18 Taiwan Semiconductor Manufacturing Co., Ltd Soft plasma oxidizing plasma method for forming carbon doped silicon containing dielectric layer with enhanced adhesive properties
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
TW559860B (en) 2001-05-10 2003-11-01 Toshiba Corp Method for manufacturing semiconductor device
US6506692B2 (en) 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6879046B2 (en) 2001-06-28 2005-04-12 Agere Systems Inc. Split barrier layer including nitrogen-containing portion and oxygen-containing portion
US6517363B2 (en) * 2001-06-29 2003-02-11 Universal Electric Corporation Connection assembly for electrical busways
US6597003B2 (en) 2001-07-12 2003-07-22 Axcelis Technologies, Inc. Tunable radiation source providing a VUV wavelength planar illumination pattern for processing semiconductor wafers
US6570256B2 (en) 2001-07-20 2003-05-27 International Business Machines Corporation Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates
US7816188B2 (en) 2001-07-30 2010-10-19 Sandisk 3D Llc Process for fabricating a dielectric film using plasma oxidation
US6521300B1 (en) 2001-08-16 2003-02-18 United Microelectronics Corp. Method of a surface treatment in improving adhesion of an organic polymeric low-k dielectric layer
US6489238B1 (en) 2001-08-21 2002-12-03 Texas Instruments Incorporated Method to reduce photoresist contamination from silicon carbide films
US20040058090A1 (en) 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US20030054115A1 (en) 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6605549B2 (en) 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6528423B1 (en) 2001-10-26 2003-03-04 Lsi Logic Corporation Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6734533B2 (en) 2002-05-30 2004-05-11 Intel Corporation Electron-beam treated CDO films
US7105460B2 (en) * 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
US6806185B2 (en) 2002-09-19 2004-10-19 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing a carbon doped silicon oxide capping layer
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US20040099283A1 (en) 2002-11-26 2004-05-27 Axcelis Technologies, Inc. Drying process for low-k dielectric films
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US20040150096A1 (en) 2003-02-03 2004-08-05 International Business Machines Corporation Capping coating for 3D integration applications
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US6737365B1 (en) 2003-03-24 2004-05-18 Intel Corporation Forming a porous dielectric layer
US20040192058A1 (en) 2003-03-28 2004-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-etching plasma treatment to form dual damascene with improved profile
US6893985B2 (en) 2003-03-31 2005-05-17 Intel Corporation UV-activated dielectric layer
US20050037153A1 (en) 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US7622399B2 (en) 2003-09-23 2009-11-24 Silecs Oy Method of forming low-k dielectrics using a rapid curing process
US6902440B2 (en) 2003-10-21 2005-06-07 Freescale Semiconductor, Inc. Method of forming a low K dielectric in a semiconductor manufacturing process
US7008882B2 (en) 2003-11-28 2006-03-07 United Microelectronics Corp. Method and structure for the adhesion between dielectric layers
US6903004B1 (en) 2003-12-16 2005-06-07 Freescale Semiconductor, Inc. Method of making a semiconductor device having a low K dielectric
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US7094442B2 (en) 2004-07-13 2006-08-22 Applied Materials, Inc. Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
US7563727B2 (en) * 2004-11-08 2009-07-21 Intel Corporation Low-k dielectric layer formed from aluminosilicate precursors
US7259111B2 (en) 2005-01-19 2007-08-21 Applied Materials, Inc. Interface engineering to improve adhesion between low k stacks
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
US7598183B2 (en) * 2006-09-20 2009-10-06 Applied Materials, Inc. Bi-layer capping of low-K dielectric films

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180028541A (ko) * 2014-01-29 2018-03-16 어플라이드 머티어리얼스, 인코포레이티드 저온 경화 모듈러스 강화

Also Published As

Publication number Publication date
US6913992B2 (en) 2005-07-05
US20050208759A1 (en) 2005-09-22
KR101124781B1 (ko) 2012-03-23
WO2004082010A2 (en) 2004-09-23
US20090305514A1 (en) 2009-12-10
CN100437933C (zh) 2008-11-26
EP1604394A2 (en) 2005-12-14
US7226876B2 (en) 2007-06-05
TW200503122A (en) 2005-01-16
US7563728B2 (en) 2009-07-21
TWI339417B (en) 2011-03-21
US20040175929A1 (en) 2004-09-09
US20110237085A1 (en) 2011-09-29
WO2004082010A3 (en) 2005-03-31
US20070141855A1 (en) 2007-06-21
KR20120031955A (ko) 2012-04-04
KR101236474B1 (ko) 2013-02-22
KR101061331B1 (ko) 2011-08-31
US8569166B2 (en) 2013-10-29
KR20110013574A (ko) 2011-02-09
CN1754251A (zh) 2006-03-29
US7960294B2 (en) 2011-06-14

Similar Documents

Publication Publication Date Title
KR101061331B1 (ko) 층간 부착 개선 방법
KR101046467B1 (ko) 낮은 k 유전체들의 접착력을 증가시키기 위한 플라즈마처리 방법
KR100960755B1 (ko) 다마신 분야에서 유전체 재료를 증착하는 방법
KR101122458B1 (ko) 차세대 다마신 배리어 용도를 위한 양호한 내산화성의두-층 필름
KR101230326B1 (ko) 낮은 k 유전체의 전도성 재료들에 대한 접착 개선
US7091137B2 (en) Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US20050277302A1 (en) Advanced low dielectric constant barrier layers
US20050042858A1 (en) Method of improving stability in low k barrier layers
US7288205B2 (en) Hermetic low dielectric constant layer for barrier applications
KR20050084286A (ko) 나이트로겐-비함유 유전성 비반사 코팅부 및 하드마스크

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140730

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170629

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190801

Year of fee payment: 9