KR20040026639A - 농축 프로세싱 유체와 초음파 에너지를 사용한 반도체구성 요소의 처리 방법 - Google Patents

농축 프로세싱 유체와 초음파 에너지를 사용한 반도체구성 요소의 처리 방법 Download PDF

Info

Publication number
KR20040026639A
KR20040026639A KR1020030066164A KR20030066164A KR20040026639A KR 20040026639 A KR20040026639 A KR 20040026639A KR 1020030066164 A KR1020030066164 A KR 1020030066164A KR 20030066164 A KR20030066164 A KR 20030066164A KR 20040026639 A KR20040026639 A KR 20040026639A
Authority
KR
South Korea
Prior art keywords
fluid
processing
concentrated
processing chamber
introducing
Prior art date
Application number
KR1020030066164A
Other languages
English (en)
Other versions
KR100584105B1 (ko
Inventor
맥더모트웨인토마스
수바왈라호상
존슨앤드류데이비드
슈바르쯔알렉산더
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20040026639A publication Critical patent/KR20040026639A/ko
Application granted granted Critical
Publication of KR100584105B1 publication Critical patent/KR100584105B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/10Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration
    • B08B3/12Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration by sonic or ultrasonic vibrations
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5013Organic solvents containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/40Specific cleaning or washing processes
    • C11D2111/46Specific cleaning or washing processes applying energy, e.g. irradiation
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/24Hydrocarbons
    • C11D7/241Hydrocarbons linear
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/264Aldehydes; Ketones; Acetals or ketals
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3263Amides or imides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67057Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing with the semiconductor substrates being dipped in baths or vessels

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Cleaning In General (AREA)
  • Cleaning By Liquid Or Steam (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

본 발명의 방법은 프로세싱 챔버에서 농축 프로세싱 유체로 물품을 처리하는 한편, 처리 중에 초음파 에너지를 인가한다. 농축 유체는 별도의 가압 용기에서 생성되어, 프로세싱 챔버로 전달될 수 있으며, 대안적으로 프로세싱 챔버 내에서 직접 생성될 수도 있다. 프로세싱제가 가압 용기에, 프로세싱 챔버에, 또는 가압 용기에서 프로세싱 챔버로 전달되는 중에 있는 농축 유체에 첨가될 수 있다. 초음파 에너지는 일정한 주파수로 또는 가변 주파수로 연속적으로 발생시킬 수 있다. 대안적으로 초음파 에너지는 단속적으로 발생시킬 수 있다.

Description

농축 프로세싱 유체와 초음파 에너지를 사용한 반도체 구성 요소의 처리 방법{PROCESSING OF SEMICONDUCTOR COMPONENTS WITH DENSE PROCESSING FLUIDS AND ULTRASONIC ENERGY}
반도체 전자 구성 요소를 제조에 있어서 소량의 오염 물질도 마이크로칩 제조 공정에 유해하다. 입자, 박막 또는 분자 형태의 오염 물질은 단락, 개회로(open circuit), 실리콘 결정의 적층 결함 또는 기타 결함을 야기한다. 이들 결함은 완성된 마이크로전자 회로에 손상을 초래하고, 그러한 손상은 현저한 수율 감소를 가져와 제조 비용을 상당히 증가시킨다.
마이크로전자 회로를 제조하는 데에는 많은 처리 단계가 요구된다. 이러한 처리는 매우 청결한 조건하에 수행되며, 마이크로회로에 치명적인 결함을 야기하기에 필요한 오염물의 양이 매우 적다. 예를 들면, 크기가 0.01㎛만큼 작은 개별 입자는 최신 마이크로회로에 치명적인 결함을 가져올 수 있다. 미세 오염물은 마이크로회로를 완성하는 데에 필요한 많은 단계 중에 언제든지 발생할 수 있다. 따라서, 경제적인 수율을 유지하기 위해, 마이크로전자 회로에 사용되는 웨이퍼를 주기적으로 세척할 필요가 있다. 또, 처리 재료의 순도 및 청결을 엄격하게 제어할 것이 요구된다.
수많은 세척 방법이 반도체 전자 구성 요소를 제조하는 데에 사용되고 있다. 이들 방법은 액체 세척제에 침지시켜 분해 및 화학 반응을 통해 오염물을 제거하는것을 포함한다. 그러한 침지는 또한 반 데르 왈스(van der Waals) 접착력을 감소시키고, 복층 반발력(double layer repulsion force)을 도입하며, 이에 의해 불용성 입자를 표면으로부터 분리시키는 것을 촉진시키는 기능을 한다. 통상적으로 사용되는 표준의 습식 세척 공정은 먼저 110 내지 130℃의 H2SO4, H2O2, H2O의 혼합물에 노출시키고, 이어서 20 내지 25℃의 HF 또는 묽은 HF에 침지시킨다. 이어서, 60 내지 80℃의 NH4OH, H2O2, H2O의 혼합물이 입자를 제거하고, 60 내지 80℃의 HCl, H2O2, H2O의 혼합물이 금속 오염물을 제거한다. 이들 각 단계 후에는 고순도의 H2O 세척이 이어진다. 이러한 습식 세척 공정은 0.10㎛ 미만의 치수에는 근본적인 한계가 있다. 소자의 기하학적 형상이 수축하고 게이트 산화물의 두께가 감소함에 따라, 마이크로미터 이하의 입자의 제거는 점점 어렵게 된다.
주로 유기 포토레지스트의 박피/제거는 H2SO4및 H2O2를 함유한 묽은 수성 혼합물을 사용하여 수행될 수 있다. 대안적으로, 상기 박피/제거는 2단계의 플라즈마 또는 반응성 이온 에칭 공정을 사용하여 수행한 후에 잔류 물질을 화학적 습식 세척할 수 있다. 오존화 H2O가 실리콘 웨이퍼 상의 탄화수소계 표면 오염물질의 분해에 사용되어 왔다.
브러시 스크러빙(brush scrubbing)은 오염된 표면에 유체 동역학적 전단력을 도입함으로써 액체 침지 공정을 향상시키기 위해 사용되어 왔다. 통상적인 용례에서는 프로세스 액체를 담을 수 있는 탱크에 수직으로 배치된 웨이퍼를 브러싱하는2개의 대향하는 브러시가 있는 웨이퍼 세척 장치를 사용한다.
초음파 에너지를 가하는 것은 액체 침지 공정의 효과를 증대시킬 수 있다. 초당 20,000사이클(20㎑)보다 큰 주파수로 진동하는 음파, 즉 가청 범위를 초과하는 음파가 액체 세척 용액 안으로 고주파 에너지를 전달하는 데에 사용되어 왔다.
습식 처리 방법은 마이크로전자회로의 치수가 감소하고, 환경적 제약이 증대함에 따라 문제점을 가질 수 있다. 습식 처리의 한계 중에는 재순환되는 액체의 누진되는 오염, 오염된 화학 물질로부터 재증착, 특별한 처리 요건, 환경적 피해, 취급 중의 특별한 안전 처리, 표면 장력 효과로 인해 깊게 패턴화된 표면에서의 효과 감소, 이미지 붕괴(토포그래피 민감성 : topography sensitive), 오염물의 재부착을 방지하는 표면의 습윤화 능력에 대한 세척 효과의 의존성, 그리고 잔류 입자의 부착을 초래하는 가능한 액체 잔류물이 있다. 표면 오염물과의 화학적 반응에 의존하는 수성 세척제는 또한 신규의 박막 재료, 또는 구리와 같은 더 큰 부식성의 금속과의 친화성의 문제점을 드러낼 수도 있다. 게다가, 국제 반도체 기술 로드맵(International Technology Roadmap for Semiconductors)에서는 웨이퍼의 부족을 방지하기 위해 2005년까지 웨이퍼 사용에 있어 62%의 축소, 2014년까지는 84%의 축소를 권장하였다. 더 큰 정밀한 표면 영역을 갖는 웨이퍼 직경을 증대시키려는 경향이 지속되면서, 큰 부피의 액체 화학물질이 제조 공정에 필요할 것이다.
이들 문제점을 고려하여, 반도체 전자 구성 요소의 건식(무수) 표면 세척 방법이 개발되고 있다. 이들 중 실리콘 웨이퍼로부터 비교적 큰 입자를 제거하는 가스 제트 세척이 있다. 그러나, 표면에서 입자를 유지하는 힘은 입자의 크기에 비례하지만 입자를 제거하도록 유동하는 가스에 의해 발생되는 공기 역학적 항력은 입자 직경의 제곱에 비례하기 때문에, 가스 제트는 약 5㎛보다 작은 입자를 제거하는 데에는 비효율적일 수 있다. 게다가, 이들 힘의 비는 입자의 크기가 줄어듦에 따라 고착을 도와주는 경향이 있다. 또, 보다 적은 입자는 가스 속도가 낮은 표면 경계층 내에 통상적으로 위치하기 때문에 제트의 강한 항력에 노출되지 않는다.
표면으로부터 탄화수소계 오염 물질을 분해하기 위해, 자외선과 조합하여 오존에 노출시킬 수 있지만, 이 기법이 무기 오염물 또는 입자를 효과적으로 제거하는 것을 보여주지는 못하였다.
습식 세척에 대한 다른 대안으로는 결빙된 Ar, N2, H2O, 또는 CO2를 포함하는 스노우 또는 펠렛 투사물을 함유한 제트를 사용하는 것이 있으며, 이는 오염된 표면을 "샌드블라스팅"하는 데에 사용된다. 이들 공정에서, 압축 가스상 또는 가스/액체 혼합물은 노즐에서 대기압 근처 또는 그 이하의 압력으로 팽창한다. 이로 인해 발생하는 주울-톰슨 냉각(Joule-Thomson cooling)에 의해 고체 또는 액체 에어로졸 입자가 형성되며, 이 입자는 경계층을 가로질러 오염된 표면에 부딪힌다. 이 기법은 매우 청결하며 순수한 프로세싱 물질을 요구한다. 공급 가스에서의 미세 분자 오염 물질(예를 들면, 탄화수소)은 팽창할 때 고체 미립자 또는 액적으로 응축되어, 표면 상에 새로운 오염 물질의 증착을 야기할 수 있다. 상기한 공정들은 많은 표면 오염 물질을 제거하는 데에는 유용하지만, 웨이퍼 표면 상에 존재하는 중요 오염 무질 모두를 제거할 수는 없으며, 반도체 산업에서 광범위로 허용되는지는 아직 확인되지 않았다.
초임계 유체에 침지시키는 것은 습식 세척의 또 다른 대안이다. 각종 세척 및 추출 용례에서 초임계 유체의 효과는 잘 확립되었고, 광범위하게 문서로 입증되었다. 초임계 유체는 대응하는 가스상 상태보다 큰 용해력을 가지며, 정밀한 표면으로부터 원하지 않는 박막 또는 분자 오염물질을 효과적으로 용해 및 제거할 수 있다. 오염 물질은 임계값 이하로의 압력 감소에 의해 세척제로부터 분리될 수 있고, 이는 오염 물질 처리를 위해 오염 물질을 농축시키고 세척 액체의 회수 및 재사용을 가능하게 한다.
특히, 초임계 CO2는 웨이퍼를 세척하는 데에 있어 전술한 문제점을 극복하기 위해 다재 다능하며 비용면에서 효율적인 방법으로서 사용되어 왔다. 초임계 CO2는 현저하게 작은 치수를 갖는 부분을 효과적으로 세척하며, 물의 사용을 줄이고, 이에 의해 성능 및 환경적 이점에서 개선을 가져온다. 예비 소요 비용[Preliminary Cost of Owership(CoO)] 연구에서는 초임계 CO2세척은 또한 수성 세척과 비교할 때 비용면에서 더 효율적인 것으로 드러났다. 초임계 상태의 CO2는 특히 양호한 용해 특성을 가지며, 유기 불순물을 제거하는 데에 효과적인 것으로 밝혀졌다. 입자, 천연 또는 화학적 산화물, 금속성 오염 물질, 및 기타 오염 물질을 비롯하여, 제거할 수 있는 오염 물질의 범위를 넓힐 수 있도록 조용매(co-solvent) 또는 첨가 용제(entrainer)를 첨가하여 개질될 수 있다. 초음파 에너지가 초임계 유체 세척용 반응기 내에 도입되어 세척 공정의 효율을 향상시킬 수 있다.
미래의 마이크로회로는 배선폭(feature size)이 더 작아지고 더 복잡해질 것이며, 제조에 있어서 더 많은 처리 단계를 요구할 것이다. 프로세스 재료 시스템 및 처리 환경에서 오염 물질의 제어는 훨씬 더 중요하게 될 것이다. 이러한 예상되는 발전을 고려하여, 더 작고 더 복잡한 마이크로전자 시스템의 제조에서 경제적인 수율을 유지 또는 향상시킬 수 있는 개선된 웨이퍼 세척 방법이 필요하다. 게다가, 더 복잡하고 더 작은 배선폭의 도래로 에칭, 박막 증착, 평탄화, 및 포토레지스트 현상(development)을 포함하는 제조 공정 단계들의 개선을 요구할 것이다. 이하에 기재되고 첨부된 청구 범위에 의해 한정되는 본 발명의 실시예는 초음파 에너지의 적용과 함께 농축 프로세싱 유체(dense processing fluid)를 사용하여 처리 방법을 개선시킴으로써 상기 필요성을 해결한다.
도 1은 이산화탄소의 밀도-온도 상태도이다.
도 2는 일반화된 밀도-온도 상태도이다.
도 3은 본 발명의 실시예를 나타내는 공정 흐름도이다.
도 4는 도 3의 실시예에 사용되는 가압 용기의 개략도이다.
<도면의 주요 부분에 대한 부호의 설명>
1, 201 : 포화 액체 곡선
3, 203 : 포화 증기 곡선
5, 205 : 임계점
301 : 이산화탄소 공급 용기
303, 305, 309 : 가압 용기
341 : 액화 장치
362 : 프로세스 툴
363 : 물품
370 : 초음파 변환기
379 : 냉각기
383 : 상 분리기
본 발명의 제1 실시예는 물품을 처리하는 방법을 포함하며, 이 방법은,
(a) 상기 물품을 밀봉 가능한 프로세싱 챔버 안으로 도입하고 그 프로세싱 챔버를 밀봉하는 단계와,
(b) (b1) 가압 용기 내에 아임계 유체를 도입하고 그 용기를 고립시키는 것과,
(b2) 농축 유체가 얻어지도록 실질적으로 일정한 체적 및 실질적으로 일정한 밀도로 상기 아임계 유체를 가열하는 것에 의해 농축 유체를 마련하는 단계와,
(c) 상기 농축 유체의 적어도 일부분을 상기 가압 용기로부터 프로세싱 챔버로 전달하고, 상기 농축 유체의 전달이 가압 용기 내의 압력과 프로세싱 챔버 내의 압력의 차에 의해 추진되며, 이에 의해 전달된 농축 유체로 상기 프로세싱 챔버에 압력을 가하는 단계와,
(d) 농축 프로세싱 유체를 제공하도록, 1종 이상의 프로세싱제(processing agent)를 단계 (c) 전에, 또는 단계 (c) 중에, 또는 단계 (c) 후에 프로세싱 챔버 안으로 도입하는 단계와,
(e) 상기 프로세싱 챔버 안으로 초음파 에너지를 도입하여, 상기 물품과 상기 농축 프로세싱 유체를 접촉시켜 사용된 농축 프로세싱 유체와 처리된 물품을 얻는 단계와,
(f) 상기 사용된 농축 프로세싱 유체를 상기 처리된 물품으로부터 분리시키는 단계를 포함한다.
농축 유체는 단계 (b2)에서 가압 용기 내의 환산 온도가 약 1.8 미만일 때 발생할 수 있으며, 여기서의 환산 온도는 가열 후의 가압 용기 내의 상기 농축 유체의 평균 절대 온도를 그 유체의 절대 임계 온도로 나눈 값으로서 정의한다. 단계 (d)에서 프로세싱 챔버 내에서의 물품과 농축 프로세싱 유체의 접촉은 약 0.8과 약 1.8 사이의 프로세싱 챔버 내의 환산 온도에서 이루어질 수 있으며, 여기서의 환산 온도는 단계 (d) 중에 프로세싱 챔버 내의 농축 프로세싱 유체의 평균 절대 온도를 농축 프로세싱 유체의 절대 임계온도로 나눈 값으로서 정의한다.
농축 유체는 이산화탄소, 질소, 메탄, 산소, 오존, 아르곤, 수소, 헬륨, 암모니아, 산화질소, 불화수소, 염화수소, 삼산화황, 육불화황, 삼불화질소, 모노플루오로메탄, 디플루오로메탄, 트리플루오로메탄, 트리플루오로에탄, 테트라플루오로에탄, 펜타플루오로에탄, 퍼플루오로프로판, 펜타플루오로프로판, 헥사플루오로에탄, 헥사플루오로프로필렌, 헥사플루오로부타디엔, 옥타플루오로씨클로부탄, 그리고 테트라플루오로클로로에탄으로 이루어진 군으로부터 선택되는 1종 이상의 성분을 포함할 수 있다. 농축 유체는 2 내지 6개의 탄소 원자를 갖는 1종 이상의 탄화수소를 포함할 수 있다.
농축 프로세싱 유체 중의 1종 이상의 프로세싱제의 총 농도는 0.1과 20중량% 사이일 수 있다. 하나의 실시예에서, 농축 프로세싱 유체는, 에틸 아세테이트, 에틸 락테이트, 프로필 아세테이트, 부틸 아세테이트, 디에틸 에테르, 디프로필 에테르, 메탄올, 에탄올, 이소프로판올, 아세토니트릴, 프로피오니트릴, 벤조니트릴, 에틸렌 시아노히드린, 에틸렌 글리콜, 프로필렌 글리콜, 에틸렌 글리콜 모노아세테이트, 프로필렌 글리콜 모노아세테이트, 아세톤, 부타논, 아세토페논, 트리플루오르아세토페논, 트리에틸 아민, 트리프로필 아민, 트리부틸 아민, 2,4,디메틸 피리딘, 디메틸에탄올아민, 디에틸에탄올아민, 디에틸메탄올아민, 디메틸메탄올아민, 디메틸포름아미드, 디메틸아세트아미드, 에틸렌 카보네이트, 프로필렌 카보네이트, 아세트산, 젖산, 부탄-디올, 프로판-디올, n-헥산, n-부탄, 하이드로젠 퍼옥사이드, t-부틸 하이드로퍼옥사이드, 에틸렌디아민테트라아세트산, 카테콜, 콜린, 그리고 무수 트리플루오로아세트산(trifluoroacetic anhydride)으로 이루어진 군으로부터 선택되는 1종 이상의 프로세싱제를 포함한다.
다른 실시예에서, 농축 프로세싱 유체는, 불화수소, 염화수소, 삼불화염소, 삼불화질소, 모노플루오로메탄, 디플루오로메탄, 트리플루오로메탄, 트리플루오르에탄, 테트라플루오로에탄, 펜타플루오로에탄, 퍼플루오로프로판, 펜타플루오로프로판, 헥사플루오로에탄, 헥사플루오로프로필렌, 헥사플루오로부타디엔, 옥타플루오로씨클로부탄 테트라플루오로클로로에탄, 플루오록시트리플루오로메탄(CF4O), 비스(디플루오록시)메탄(CF4O2), 불화 시아누르산(C3F3N3)(cyanuric fluoride), 옥사릴 플루오라이드(C2F2O2), 니트로실 플루오라이드(FNO), 카보닐 플루오라이드(CF2O), 그리고 퍼플루오로메틸아민(CF5N)으로 이루어진 군으로부터 선택되는 1종 이상의 프로세싱제를 포함한다.
또 다른 실시예에서, 농축 프로세싱 유체는 유기금속 전구체, 포토레지스트, 포토레지시트 현상제, 층간 유전 재료(interlayer dielectric material), 실란 시약(silane reagent), 그리고 방청 코팅(stain-resistant coating)으로 이루어진 군으로부터 선택되는 1종 이상의 프로세싱제를 포함할 수 있다.
상기 사용된 농축 프로세싱 유체의 압력은 적어도 유체상 및 잔여 화합물상이 얻어지도록 감소시킬 수 있으며, 이들 상은 정제된 유체와 회수된 잔여 화합물이 얻어지도록 분리될 수 있다. 정제된 유체는 재순환되어 단계 (b1)에서 아임계 유체의 일부를 제공할 수 있다. 정제된 유체의 압력은 더 정제된 유체상과 추가적인 잔여 화합물상을 얻도록 감소시킬 수 있고, 이들 상은 더 정제된 유체와 추가적으로 회수되는 잔여 화합물이 얻어지도록 분리될 수 있다. 더 정제된 유체는 재순환되어, 단계 (b1)에서 아임계 유체의 일부를 제공할 수 있다.
단계 (b2)에서 가열하기 전에 가압 용기 내의 아임계 유체는 증기상, 액체상, 또는 공존하는 증기상 및 액체상을 포함할 수 있다.
본 발명의 다른 실시예는 물품을 처리하는 방법을 포함하며, 이 방법은,
(a) 상기 물품을 밀봉 가능한 프로세싱 챔버 안으로 도입하고 그 프로세싱 챔버를 밀봉하는 단계와,
(b) (b1) 가압 용기 내에 아임계 유체를 도입하고 그 용기를 고립시키는것과,
(b2) 농축 유체를 생성하도록 실질적으로 일정한 체적 및 실질적으로 일정한 밀도로 상기 아임계 유체를 가열하는 것과,
(b3) 가압 용기 안에 아임계 유체를 도입하기 전에, 또는 가압 용기 안에 아임계 유체를 도입한 다음이지만 그 가압 용기를 가열하기는 전에, 또는 가압 용기 안에 아임계 유체를 도입한 후 그리고 그 가압 용기를 가열한 다음에, 상기 가압 용기 안으로 1종 이상의 프로세싱제를 도입하는 것에 의해 농축 유체를 마련하는 단계와,
(c) 상기 농축 프로세싱 유체의 적어도 일부분을 상기 가압 용기로부터 프로세싱 챔버로 전달하고, 상기 농축 프로세싱 유체의 전달이 가압 용기 내의 압력과 프로세싱 챔버 내의 압력의 차에 의해 추진되며, 이에 의해 전달된 농축 프로세싱 유체로 상기 프로세싱 챔버를 가압하는 단계와,
(d) 상기 프로세싱 챔버 안으로 초음파 에너지를 도입하여, 상기 물품과 상기 농축 프로세싱 유체를 접촉시켜 사용된 농축 프로세싱 유체와 처리된 물품을 얻는 단계와,
(e) 상기 사용된 농축 프로세싱 유체를 상기 처리된 물품으로부터 분리시키는 단계를 포함한다.
본 발명의 또 다른 실시예는 물품을 처리하는 장치를 포함하며, 이 장치는,
(a) 아임계 유체를 수용하는 유체 저장 탱크와,
(b) 하나 이상의 가압 용기와, 상기 유체 저장 탱크로부터 하나 이상의 가압 용기로 아임계 유체를 전달하는 배관 수단과,
(c) 상기 하나 이상의 가압 용기 각각의 내용물을 실질적으로 일정한 체적 및 실질적으로 일정한 밀도로 가열하여 아임계 유체를 농축 유체로 전환시키는 가열 수단과,
(d) 물품과 상기 농축 유체를 접촉시키는 밀봉 가능한 프로세싱 챔버와,
(e) 상기 밀봉 가능한 프로세싱 챔버 안으로 초음파 에너지를 도입하기 위한 초음파 발생 수단과,
(f) 상기 농축 유체를 상기 하나 이상의 가압 용기로부터 밀봉 가능한 프로세싱 챔버로 전달하는 배관 수단과,
(g) 하나 이상의 프로세싱제 저장 용기와, 1종 이상의 프로세싱제를, (1) 상기 하나 이상의 가압 용기 안으로, 또는 (2) 상기 농축 유체를 상기 하나 이상의 가압 용기로부터 밀봉 가능한 프로세싱 챔버로 전달하는 배관 수단 안으로, 또는 (3) 상기 밀봉 가능한 프로세싱 챔버 안으로 주입하기 위한 펌핑 수단을 포함한다.
상기 장치는 감압 수단과, 적어도 정제된 유체와 1종 이상의 회수된 잔여 화합물이 얻어지도록 상기 프로세싱 챔버로부터 회수되는 사용된 농축 프로세싱 유체를 분리하는 상 분리 수단을 더 포함할 수 있다. 상기 장치는 정제된 유체를 유체 저장 탱크로 재순환시키는 재순환 수단을 더 포함할 수 있다.
본 발명의 또 다른 실시예는 물품을 처리하는 방법에 관한 것으로, 이 방법은,
(a) 상기 물품을 밀봉 가능한 프로세싱 챔버 안으로 도입하고 그 프로세싱 챔버를 밀봉하는 단계와,
(b) 상기 프로세싱 챔버에 농축 프로세싱 유체를 제공하는 단계와,
(c) 상기 프로세싱 챔버 안으로 초음파 에너지를 도입하고, 그 초음파 에너지의 주파수를 변화시키는 동시에, 상기 물품과 상기 농축 프로세싱 유체를 접촉시켜 사용된 농축 프로세싱 유체와 처리된 물품을 얻는 단계와,
(d) 상기 처리된 물품으로부터 상기 사용한 농축 프로세싱 유체를 분리시키는 단계를 포함한다.
상기 초음파 에너지의 주파수는 단계 (c) 중에 커질 수 있다.
대안적으로, 청구항 제19항에 있어서, 상기 초음파 에너지의 주파수는 단계 (c) 중에 줄어들 수 있다.
상기 농축 프로세싱 유체는,
(a) 가압 용기 안으로 아임계 유체를 도입하고, 그 용기를 고립시키는 단계와,
(b) 농축 유체가 얻어지도록 상기 아임계 유체를 실질적으로 일정한 온도 및 실질적으로 일정한 밀도로 가열하는 단계와,
(c) 농축 프로세싱 유체를 제공하는 단계로,
(1) 상기 농축 유체를 가압 용기에서 프로세싱 챔버로 전달하는 동안에 그 농축 유체 내에 1종 이상의 프로세싱제를 도입하는 단계와,
(2) 1종 이상의 프로세싱제를 상기 가압 용기 안으로 도입하여 농축 프로세싱 유체를 형성하고, 그 농축 프로세싱 유체를 가압 용기에서 프로세싱 챔버로 전달하는 단계와,
(3) 농축 유체를 가압 용기에서 프로세싱 챔버로 전달한 후에 1종 이상의 프로세싱제를 프로세싱 챔버 내의 농축 유체 안으로 도입하는 단계와,
(4) 아임계 유체를 가압 용기 안으로 도입하기 전에 1종 이상의 프로세싱제를 가압 용기 안으로 도입하는 단계와,
(5) 아임계 유체를 가압 용기 안으로 도입한 다음이지만 그 가압 용기를 가열하기는 전에 1종 이상의 프로세싱제를 가압 용기 안으로 도입하는 단계와,
(6) 아임계 유체를 가압 용기 안으로 도입한 후, 그리고 가압 용기를 가열한 후에 1종 이상의 프로세싱제를 가압 용기 안으로 도입하는 단계
로 이루이진 군으로부터 선택된 하나 이상의 단계에 의해 농축 프로세싱 유체를 제공하는 단계에 의해 제조될 수 있다.
대안적으로, 상기 농축 프로세싱 유체는,
(a) 밀봉 가능한 프로세싱 챔버 안으로 아임계 유체를 도입하고, 그 챔버를고립시키는 단계와,
(b) 농축 유체가 얻어지도록 상기 아임계 유체를 실질적으로 일정한 온도 및 실질적으로 일정한 밀도로 가열하는 단계와,
(c) 농축 프로세싱 유체를 제공하는 단계로,
(1) 아임계 유체를 밀봉 가능한 프로세싱 챔버 안으로 도입하기 전에 1종 이상의 프로세싱제를 밀봉 가능한 프로세싱 챔버 안으로 도입하는 단계와,
(2) 아임계 유체를 밀봉 가능한 프로세싱 챔버 안으로 도입한 다음이지만 그 내의 아임계 유체를 가열하기는 전에 1종 이상의 프로세싱제를 밀봉 가능한 프로세싱 챔버 안으로 도입하는 단계와,
(3) 아임계 유체를 밀봉 가능한 프로세싱 챔버 안으로 도입한 후, 그리고 그 내의 아임계 유체를 가열한 후에 1종 이상의 프로세싱제를 밀봉 가능한 프로세싱 챔버 안으로 도입하는 단계
로 이루이진 군으로부터 선택된 하나 이상의 단계에 의해 농축 프로세싱 유체를 제공하는 단계에 의해 제조될 수 있다.
본 발명의 대안적인 실시예에서, 물품은,
(a) 물품을 밀봉 가능한 프로세싱 챔버 안으로 도입하고, 그 프로세싱 챔버를 밀봉하는 단계와,
(b) 상기 프로세싱 챔버에 농축 유체를 제공하는 단계와,
(c) 상기 프로세싱 챔버 안으로 초음파 에너지를 도입하고, 그 초음파 에너지의 주파수를 변화시키는 동시에, 상기 물품과 상기 농축 유체를 접촉시켜 사용된농축 유체와 처리된 물품을 얻는 단계와,
(d) 상기 사용된 농축 유체를 상기 처리된 물품으로부터 분리시키는 단계를 포함하는 방법에 의해 처리될 수 있다.
본 발명의 또 다른 실시예는 물품을 처리하는 방법을 포함할 수 있으며, 이 방법은,
(a) 물품을 밀봉 가능한 프로세싱 챔버 안으로 도입하고, 그 프로세싱 챔버를 밀봉하는 단계와,
(b) 상기 프로세싱 챔버에 농축 프로세싱 유체를 제공하는 단계와,
(c) 상기 프로세싱 챔버 안으로 초음파 에너지를 단속적으로 도입하는 동시에, 상기 물품과 상기 농축 프로세싱 유체를 접촉시켜 사용된 농축 프로세싱 유체와 처리된 물품을 얻는 단계와,
(d) 상기 사용된 농축 프로세싱 유체를 상기 처리된 물품으로부터 분리시키는 단계를 포함한다.
또 다른 실시예에서, 물품을 처리하는 방법은,
(a) 물품을 밀봉 가능한 프로세싱 챔버 안으로 도입하고, 그 프로세싱 챔버를 밀봉하는 단계와,
(b) 상기 프로세싱 챔버에 농축 유체를 제공하는 단계와,
(c) 상기 프로세싱 챔버 안으로 초음파 에너지를 단속적으로 도입하는 동시에, 상기 물품과 상기 농축 유체를 접촉시켜 사용된 농축 유체와 처리된 물품을 얻는 단계와,
(d) 상기 사용된 농축 유체를 상기 처리된 물품으로부터 분리시키는 단계를 포함한다.
세척은 집적회로의 제조에서 가장 빈번하게 반복되는 단계이다. 0.18㎛ 설계 법칙에서, 약 400개의 총 처리 단계 중 80개가 세척 단계이다. 웨이퍼는 통상적으로 회로의 품질을 보장하도록 매 오염 공정 단계 후에, 그리고 각 고온 작업 전에 세척된다. 대표적인 세척 및 제거 용례로는 포토레지스트 박피/제거, 화학 기계적 평탄화 후의 입자/잔류물 제거(포스트-CMP 세척), 유전 에칭 후(또는 금속 에칭 후)의 입자/잔류물 제거, 금속 오염 물질 제거가 있다.
마이크전자 소자 및 마이크로 전자기계적 소자의 제조 시에 만나게되는 오염에 민감한 각종 물품은 본 발명의 실시예를 사용하여 세척되거나 처리될 수 있다. 그러한 물품에는, 예를 들면 실리콘 또는 갈륨 비소화물 웨이퍼, 레티클(reticle), 포토마스크, 평판 표시 장치, 프로세싱 챔버 내면, 회로 기판, 표면 실장 조립체(surface mounted assembly), 전자 조립체, 민감한 웨이퍼 처리 시스템 구성 요소, 전자-광학적 레이저 및 우주선 장비, 표면 미세 기계 가공 시스템, 그리고 제조 중에 오염되는 기타 관련 물품이 포함된다. 이들 물품에서 제거되어야 할 통상적인 오염 물질로는, 평탄화 후의 입자를 비롯하여, 예를 들면 노출된 포토레지스트 재료, 포토레지스트 찌꺼기, UV 또는 X선 경화 포토레지스트, C-F 함유 폴리머, 그리고 기타 유기질 및 무기질 에칭 찌꺼기와 같은 저분자량 및 고분자량의 유기 오염 물질, 이온성 및 중성의 경량 및 중량 무기질(금속) 성분, 수분, 그리고 불용성 물질이 있다.
처리 단계를 거치고 있는 마이크로전자 구성 요소와 같은 물품에 프로세싱제를 전달하고, 처리 단계의 완료시에 마이크로전자 구성 요소로부터 원하지 않는 성분을 제거하는 데에 농축 유체가 적합하다. 그러한 처리 단계들은 통상 배치식으로 수행되며, 예를 들면, 세척, 박막 박피, 에칭, 증착, 건조, 포토레지스트 현상, 그리고 평탄화를 포함할 수 있다. 농축 유체의 다른 용도에는 나노 입자의 침전 및 금속 나노 결정의 현탁이 있다.
이러한 용도를 위해서는 농축 유체가 큰 용해력, 낮은 점도, 높은 확산도, 처리된 물품에 비해 무시할 수 있는 표면 장력을 갖는 것을 특징으로 하기 때문에 이상적이다. 앞서 지적한 바와 같이, 마이크로전자 제품 처리에 사용되는 프로세싱 유체는 기타 용례에 사용되는 유사한 유체보다 훨씬 더 높은, 매우 높은 순도를 가져야 한다. 그러한 용례를 위한 매우 높은 순도의 농축 유체를 생성하는 것은 매우 조심스럽게, 바람직하게는 본 명세서에 기재된 방법을 사용하여 행해져야한다.
단일 성분의 초임계 유체는 그 유체의 임계 온도 및 압력 위에 있는 유체로서 정의된다. 초임계 유체와 유사한 특성을 갖는 동족 단일 성분 유체는 그 유체의 임계 온도보다 낮은 온도와, 액체 포화 압력보다 높은 압력에서 존재하는 단일 상 유체이다. 본 발명에서, 단일 성분 유체에 적용되는 "농축 유체"라는 용어는 초임계 유체와, 임계 온도보다 낮은 온도와 액체 포화 압력보다 높은 압력에 존재하는 단일 상 유체를 모두 포함하는 것으로 정의한다. 단일 성분 농축 유체는 또한 임계 압력보다 높은 압력 또는 액체 포화 압력 보다 높은 압력에 존재하는 단일상 유체로서 정의할 수 도 있다. 본 명세서에 사용된 "성분"이라는 용어는 원소(예를 들면, 수소, 헬륨, 산소, 질소) 또는 화합물(예를 들면, 이산화탄소, 메탄, 산화질소, 육불화황)을 의미한다.
단일 성분 아임계 유체는 임계 온도 보다 낮은 온도 또는 임계 압력보다 낮은 압력에 있는 유체로 정의한다.
대안적으로, 농축 유체는 2이상의 성분의 혼합물을 포함할 수 있다. 이 경우에, 상기 농축 유체는 포화 또는 끓는점 압력보다 높은 압력에 있거나, 혼합물의 임계점보다 높은 압력과 온도의 조합을 갖는, 주어진 조성의 단일 상의 다중 성분 유체로서 정의된다. 다중 성분 유체에 대한 임계점은 그 온도 및 압력 이상에서는 주어진 조성의 유체가 단일 상으로서만 존재하게 되는 압력 및 온도로서 정의한다. 본 발명에 있어서, 다중 성분 유체에 적용되는 "농축 유체(dense fluid)"라는 용어는 초임계 유체와, 임계 온도보다 낮은 온도 및 끓는점 또는 포화 압력보다 높은 압력에 존재하는 단일 상 유체를 모두 포함하도록 정의한다. 다중 성분 농축 유체는 또한 임계 압력보다 높은 압력 또는 끓는점 또는 액체 포화 압력보다 높은 압력의 단일 상의 다중 성분 유체로서 정의할 수도 있다. 다중 성분 농축 유체는 액체 포화 온도, 임계 온도, 그리고 임계 압력이 조성의 함수라는 점에서 단일 성분 농축 유체와 다르다. 이하에 기재한 바와 같이, 농축 유체는 일정한 밀도와 성분을 갖는 초기 아임계 유체에서부터 본 발명의 실시예에 따라 제조될 수 있다.
다중 성분의 아임계 유체는 포화 또는 끓는점 압력에 또는 그 보다 낮은 압력에 있거나, 혼합 임계점보다 낮은 압력 및 온도 조합을 갖는 주어진 조성의 다중성분 유체로서 정의된다.
따라서, 농축 유체의 포괄적인 정의는 전술한 단일 성분 농축 유체뿐만 아니라 전술한 다중 성분 농축 유체를 포함한다. 마찬가지로, 아임계 유체는 단일 성분 유체 또는 다중 성분 유체일 수 있다.
단일 성분에 대한 농축 유체의 정의는, 이산화탄소에 대한 대표적인 밀도-온도 상태도인 도 1에 도시되어 있다. 이 상태도에는 87.9℉의 임계 온도와, 1,071psia의 임계 압력의 임계점(5)에서 만나는 포화 액체 곡선(1) 및 포화 증기 곡선(3)이 도시되어 있다. 1,071psia의 임계 등압선을 비롯한, 일정한 압력의 라인(등압선)이 도시되어 있다. 라인(7)은 용융 곡선이다. 포화 액체 곡선(1)과 포화 증기 곡선(3)에 의해 둘러싸인 그 좌측의 영역은 2상의 증기-액체 영역이다. 액체 곡선(1), 포화 증기 곡선(3), 용융 곡선(7)의 우측의 외측 영역은 단일 상 유체 영역이다. 본 명세서에서 정의한 바와 같은 농축 유체는 크로스해칭(crosshatching) 영역(9)으로 표시되어 있다.
일반적인 밀도-온도 상태도는 도 2에 도시한 바와 같이 환산 온도, 환산 압력, 환산 밀도의 항으로 나타낼 수 있다. 환산 온도(TR)는 절대 온도를 절대 임계 온도로 나눈 값으로 정의하며, 환산 압력(PR)은 절대 압력을 절대 임계 압력으로 나눈 값으로 정의하고, 환산 밀도(ρR)는 밀도를 임계 밀도로 나눈 값으로 정의한다. 환산 온도, 환산 압력, 그리고 환산 밀도는 모두 정의한 바와 같이 임계점에서 1이다. 도 2에는 1의 환산 온도와, 1의 환산 밀도와, 1의 환산 압력의 임계점(205)에서 만나는 포화 액체 곡선(201) 및 포화 증기 곡선(203)을 갖는, 도 1과 유사한 도면이다. PR= 1인 임계 등압선을 비롯하여, 일정한 압력 라인(등압선)이 도시되어 있다. 포화 액체 곡선(201)과 포화 증기 곡선(203)에 의해 둘러싸인 그 좌측의 영역은 2상의 증기-액체 영역이다. TR= 1의 임계 온도 우측으로 PR= 1의 등압선 위의 크로스해칭 영역(209)은 단일 상의 초임계 유체 영역이다. TR= 1의 임계 온도 좌측으로 포화 액체 곡선(201) 위의 크로스해칭 영역(211)은 단일 상 압축 액체 영역이다. 본 명세서에서 정의한 바와 같은 농축 액체는 단일 상 초임계 유체 영역(209)과, 단일 상 압축 액체 영역(211)을 모두 포함한다.
본 발명의 실시예에서 농축 유체의 생성은 도 2에 도시되어 있다. 하나의 실시예에 있어서, 지점 a에서 포화 액체가 용기 안으로 도입되어 그 내에 밀봉된다. 밀봉된 용기는 등적으로, 즉 실질적으로 일정한 체적으로, 그리고 등밀도(isopycnically)로, 즉 실질적으로 일정한 밀도에서 가열된다. 유체는 영역(209)에서 초임계 유체를 형성하도록 지점 a'으로 나타낸 바와 같은 라인을 따라 이동한다. 이는 일반적으로 전술한 바와 같은 농축 유체이다. 대안적으로, 지점 a에서의 유체는 압축 액체를 형성하도록 임계 온도(TR= 1)보다 낮은 온도로 가열될 수 있다. 이는 또한 전술한 바와 같은 일반적인 농축 유체이다. 다른 실시예에서, 지점 b에서의 2상의 증기-액체 혼합물이 용기로 도입되어 그 내에 밀봉된다. 밀봉된 용기는 등적으로, 즉 실질적으로 일정한 체적으로, 그리고 등밀도로, 즉 실질적으로 일정한 밀도에서 가열된다. 유체는 영역(209)에서 초임계 유체를형성하도록 지점 b'로 나타낸 바와 같은 라인을 따라 이동한다. 이는 일반적으로 전술한 바와 같은 농축 유체이다. 또 다른 실시예에서, 지점 c에서의 포화 증기가 용기 안으로 도입되어 그 내에 밀봉된다. 밀봉된 용기는 등적으로, 즉 실질적으로 일정한 체적으로, 그리고 등밀도로, 즉 실질적으로 일정한 밀도에서 가열된다. 유체는 영역(209)에서 초임계 유체를 형성하도록 지점 c'로 나타낸 바와 같은 라인을 따라 이동한다. 이는 일반적으로 전술한 바와 같은 농축 유체이다.
농축 유체의 최종 밀도는 용기의 부피와, 용기 내에 최초 도입된 증기와 액체의 상대량에 의해 결정된다. 따라서, 상기 방법에 의해 광범위의 밀도를 얻을 수 있다. "실질적으로 일정한 체적" 및 "실질적으로 일정한 밀도"라는 용어는 용기가 가열될 때 발생할 수 있는 용기의 부피의 무시할 수 있는 적은 변화를 제외한다면 체적과 밀도가 일정하다는 것을 의미한다.
본 발명에서 실제의 용례를 위한 농축 유체는 단일 성분 유체이거나 다중 성분 유체일 수 있으며, 약 0.8 내지 약 1.8의 범위 내의 환산 온도를 가질 수 있다. 환산 온도는 본 명세서에 유체의 절대 온도를 그 유체의 절대 임계 온도로 나눈 값으로 정의한다.
농축 유체는, 이산화탄소, 질소, 메탄, 산소, 오존, 아르곤, 수소, 헬륨, 암모니아, 산화 질소, 2 내지 6개의 탄소 원자를 갖는 탄화수소, 불화수소, 염화수소, 삼산화황, 육불화황, 삼불화질소, 삼불화염소, 모노플루오로메탄, 디플루오로메탄, 트리플루오로메탄, 트리플루오로에탄, 테트라플루오로에탄, 펜타플루오로에탄, 퍼플루오로프로판, 펜타플루오로프로판, 헥사플루오로에탄, 헥사플루오로프로필렌, 헥사플루오로부타디엔, 옥타플루오로씨클로부탄, 그리고 테트라플루오로클로로에탄으로 이루어진 군으로부터 선택되는 1종 이상의 성분을 포함할 수 있으며, 이에 한정되지는 않는다.
농축 프로세싱 유체는 1종 이상의 프로세싱제가 첨가된 농축 유체로서 정의한다. 프로세싱제는 농축 프로세싱 유체와 접촉하는 물품 또는 기판에 물리적 및/또는 화학적 변화를 촉진시키는 화합물 또는 화합물들의 조합으로서 정의된다. 이들 프로세싱제로는, 예를 들면 박막 박피제(film stripper), 세척 또는 건조제, 첨가 용제, 에칭 또는 평탄화 시약, 포토레지스트 현상제, 증착 재료 또는 시약이 있다. 농축 프로세싱 유체에서 이들 프로세싱제의 총 농도는 통상적으로 약 50중량%보다 적으며, 0.1 내지 20중량%의 범위에 있을 수 있다. 농축 프로세싱 유체는 통상적으로 프로세싱제를 농축 유체에 첨가한 후에는 단일 상을 유지한다. 대안적으로, 농축 프로세싱 유체는 프로세싱제를 함유한 제2의 현탁 또는 분산 상을 포함하는 에멀젼 또는 현탁액일 수 있다. 농축 프로세싱 유체는 박막 박피, 세척, 건조, 에칭, 평탄화, 증착, 추출, 포토레지스트 현상, 또는 현탁 상태의 나노 입자 또는 나노 결정의 형성과 같은 처리에 사용될 수 있다.
본 명세서에 사용된 "처리하는(processing)" 또는 "처리되는(processed)"이라는 용어는 물품을 농축 유체 또는 농축 프로세싱 유체와 접촉시켜 그 물품에 물리적 및/또는 화학적 변화를 유발하는 것을 의미한다. 본 명세서에 사용된 "물품"이라는 용어는 농축 유체 또는 농축 프로세싱 유체와 접촉할 수 있는 임의의 제조 물품을 의미한다. 대표적인 물품으로는, 예를 들면 실리콘 또는 갈륨 비소화물 웨이퍼, 레티클, 포토마스크, 평판 표시 장치, 프로세싱 챔버 내면, 회로 기판, 표면 실장 조립체, 전자 조립체, 민감한 웨이퍼 처리 시스템 구성 요소, 전자-광학적 레이저 및 우주선 장비, 표면 미세 기계 가공 시스템, 그리고 제조 중에 오염되는 기타 관련 물품이 포함된다. "처리"라는 용어는 예를 들면, 박막 박피, 세척, 건조, 에칭, 평탄화, 증착, 추출, 포토레지스트 현상, 또는 현탁 상태의 나노 입자 또는 나노 결정의 형성을 포함할 수 있다.
본 발명의 실시예는 마이크로전자 구성 요소와 같은 물품을 세척 또는 처리하는 데에 사용하는 농축 프로세싱 유체의 생성 및 사용에 의해 설명될 수 있다. 본 실시예의 대표적 공정이 도 3에 도시되어 있으며, 이 도면에는 전자 구성 요소의 초음파 세척 챔버 또는 프로세스 툴을 위한 이산화탄소 농축 유체를 생성하기 위한 등체적(일정한 체적)의 이산화탄소 가압 시스템이 도시되어 있고, 추출된 오염 물질의 분리 후에 이산화탄소를 재순환시키는 이산화탄소 재순환 시스템이 포함되어 있다. 액체 이산화탄소와 그 평형 증기가 이산화탄소 공급 용기(301) 내에 통상적으로는 주위 온도, 예를 들면 70℉로 저장되어 있고, 이 이산화탄소의 증기 압력은 854psia이다. 적어도 하나의 이산화탄소 가압 용기가 공급 용기의 하류측에 위치하고 있다. 이 실시예에서, 3개의 가압 용기(303, 305, 309)(이하에 더 상세히 기재함)는 매니폴드(311)와 라인(313, 315, 317)을 각각 통해 이산화탄소 공급 용기(301)와 흐름 연통 상태에 있다. 상기 라인에는 공급 용기(301)에서부터 가압 용기로의 이산화탄소의 흐름을 제어하도록 밸브(319, 321, 323)가 각각 설치되어 있다. 유체 공급 라인(325, 327, 329)은 각각 밸브(333, 335, 337)를 통해매니폴드(331)에 연결되어 있다.
가압 용기(303)의 상세도가 도 4에 도시되어 있다. 가압 용기(303)에는 외부 압력 케이싱(401), 내부 용기(403), 그리고 내부 용기와 외부 압력 케이싱 사이의 단열재(405)가 있다. 내부 용기(403)는 그 열질량(thermal mass)을 최소화하여, 그 용기가 이산화탄소 공급 용기(301)로부터 최초로 채워질 때 쿨다운 시간(cool-down time)을 최소화하는 것이 바람직하다. 내부 용기(403)는 개구(407)를 통해 단열재(405)와 유체 연통 상태에 있어, 내부 용기(403)의 내측 압력과 외측 압력을 대략적으로 동일하게 하는 것을 보장하며, 이는 내부 용기(403)의 벽 두께 및 열질량을 최소화시킬 수 있게 해준다. 개구(407)에는 금속망 또는 다공성 소결 금속(도시 생략)과 같은 김 서림 제거 매체(de-misting medium)가 내장되어 액체 이산화탄소의 액적이 단열재(405) 안으로 이동하는 것을 방지한다.
가압 용기에서 액체의 수위는 라인(411, 413, 415)을 통해 내부 용기(403)의 내부와 유체 연통하는 차압 센서(differential pressure sensor)(409)에 의해 편리하게 관찰할 수 있다. 통상의 액체 수위는 내부 용기(403) 내의 액체(417)와 증기(419) 사이에 나타난다. 내부 용기(403)는 라인(420)을 통해 도 3의 라인(313, 325)과 유체 연통 상태에 있다.
열은 임의의 원하는 방법에 의해 내부 용기(403)로 공급될 수 있다. 하나의 실시예에서, 고온의 가열 유체(421)가 라인(423)을 통해 열교환기(425)로 공급되며, 이 열교환기가 간접적인 열교환에 의해 액체(417)와 증기(419)를 가열한다.냉각된 가열 유체는 라인(427)을 통해 회수된다. 열교환기(425)는 임의의 열교환기 조립체 일 수 있다. 유용한 열교환기 조립체의 한가지 형태로는, 복수 개의 핀(429)이 파이프(431)에 브레이징 또는 용접되어 있는 종방향 핀 파이프(longitudinally-finned pipe)가 있다. 가열 유체(421)의 온도와 유속은 가압 중의 가열 속도와, 내부 용기(403) 내에 형성되는 농축 유체의 최종 온도 및 압력을 제어하도록 조절할 수 있다.
도 3을 참조하면, 이산화탄소 공급 용기(301)는 2방향 유동 라인(339)을 통해 그 이산화탄소 공급 용기(301) 위에 배치된 이산화탄소 액화장치(341)에 연결되어 있다. 플레이트-핀 또는 도 4의 열교환기(425)와 같은 다른 형태의 열교환기일 수 있는 열교환기(343)가 액화장치(341)의 내부를 냉각시키는 데에 사용된다. 냉각 유체는 라인(330)을 통해 공급되고, 예를 들면 70℉의 주위 온도의 냉각수일 수 있으며, 이는 845psia의 대응하는 이산화탄소 증기압으로 이산화탄소 공급 용기(301) 내의 압력을 유지시킬 것이다.
이 도면에서, 밸브(319)는 개방되어 있는 한편, 밸브(321, 323, 333)는 닫혀있다. 밸브(335 또는 337)가 개방되어, 사전에 이산화탄소로 충전되어 이하에 기재하는 바와 같이 가압되어 있을 수 있는 가압 용기(305 또는 309)에서 매니폴드(331)로 농축 유체인 이산화탄소를 공급할 수 있게 된다. 공급 용기(301)에서 나온 액체 이산화탄소는 매니폴드(311), 밸브(319), 그리고 라인(313)을 통해 가압 용기 안으로 아래쪽으로 흐른다. 액체 이산화탄소가 이전의 사이클에서 데워졌던 가압 용기(303) 안으로 들어옴에 따라, 초기의 액체 비등(liquid flashing)이발생할 것이다. 데워진 비등 증기(flash vapor)는 액체가 가압 용기(303) 안으로 아래쪽으로 흐르기 때문에 라인(313), 매니폴드(311)를 통해 이산화탄소 공급 용기(301) 안으로 위쪽으로 복귀하게 된다. 데워진 비등 증기는 다시 이산화탄소 공급 용기(301) 안으로 흘러 그 내의 압력을 증가시킨다. 과잉의 증기는 라인(339)을 통해 공급 용기(301)에서부터 이산화탄소 액화장치(341)로 흐르며, 여기서 증기는 냉각 및 응축되어 라인(339)을 통해 다시 공급 용기(301)로 아래쪽으로 흐르게 된다.
초기 냉각 및 가압 후에, 액체 이산화탄소는 공급 용기(301)에서부터 가압 용기(303) 안으로 흐른다. 가압 용기가 원하는 깊이까지 액체 이산화탄소로 채워지면, 밸브(319)가 닫혀 그 용기를 고립시킨다. 용기(303)에 격리된 이산화탄소는 전술한 바와 같이 간접적인 열전달에 의해 가열되고, 온도가 상승함에 따라 압축된다. 압력은 압력 센서(345)[마찬가지로, 압력 센서(307, 309)가 용기(305, 309)에 각각 사용됨]에 의해 관찰된다. 열이 용기(303) 내의 이산화탄소로 전달됨에 따라, 온도와 압력이 상승하고, 분리된 액체상과 증기상은 단일 상으로 되어 농축 유체를 형성한다. 이 농축 유체는 초임계 유체가 되도록 더 가열될 수 있으며, 이 초임계 유체는 정의에 따라 임계 온도보다 높은 온도 및 임계 압력보다 높은 압력에 있는 유체이다. 반대로, 아임계 유체는 임계 온도보다 낮은 온도 또는 임계 압력보다 낮은 압력에 있는 유체로 정의한다. 가압 용기(303)에 충전된 가열되기 전의 이산화탄소는 아임계 유체이다. 이 아임계 유체는 포화 증기, 포화 액체, 또는 증기상과 액체상이 함께 존재하는 2상 유체일 수 있다.
추가적인 열이 전달됨에 따라, 온도와 압력은 초임계 수준까지 신속하게 상승하여 원하는 밀도를 갖는 초임계 유체를 형성한다. 알고 있는 부피의 가압 용기에서 이산화탄소의 최종 압력은 최초 액체 충전 체적으로부터 예상할 수 있다. 예를 들면, 854psia 및 70℉에서 용기 내의 액체 이산화탄소의 밀도는 47 lb/ft3이며, 함께 존재하는 이산화탄소 증기의 밀도는 13.3 lb/ft3이다. 액체 이산화탄소의 충전량이 용기 체적의 46.3%를 차지한다면, 이산화탄소 증기가 용기 체적의 나머지 53.7%를 차지하게 된다. 이 예에서, 용기 내의 모든 이산화탄소의 평균 밀도는 0.463(47.6) + 0.537(13.3)과 같이, 즉 29.3 lb/ft3로 계산될 수 있다.
용기의 내부 체적 및 용기 내의 이산화탄소의 질량은 가열 단계 중에 실질적으로 변화하지 않고 유지되기 때문에, 감금된 이산화탄소의 평균 밀도는 온도 및 압력에 무관하게 29.2 lb/ft3로 실질적으로 변화없이 유지될 것이다. 이 예에서, 이산화탄소의 선택된 최초 충전량을 29.2 lb/ft3의 일정한 밀도로 등적(일정한 체적으로) 가열하면, 87.9℉의 임계 온도와 1,071psia의 임계 압력의 임계점을 통과하게 될 것이다. 추가적으로 가열하면 29.2lb/ft3의 일정한 밀도를 갖는 원하는 온도 및 압력의 초임계 유체를 형성할 것이다. 용기 내의 액체 이산화탄소의 최초량을 더 적게 사용하면, 더 낮은 밀도의 초임계 유체가 얻어질 것이며, 반대로 용기 내의 액체 이산화탄소의 최초량을 더 많이 사용하면 더 높은 초임계 유체가 얻어질것이다. 더 높은 밀도의 초임계 유체를 주어진 온도로 가열하면 보다 낮은 밀도의 초임계 유체를 동일한 온도로 가열하는 것 보다 더 높은 압력을 생성할 것이다.
이론적으로 달성할 수 있는 최고 압력은 가압 용기가 초기에 액체 이산화탄소로 완전히 채우고, 용기 내에 어떠한 증기 수두(vapor head)의 공간을 남기지 않는 경우에 달성된다. 예를 들면, 70℉에서 용기내의 포화 이산화탄소 액체의 평균 밀도는 47.6 lb/ft3이다. 액체 이산화탄소를 최초 가열하면, 포화 액체는 때로는 압축 액체 또는 과냉 액체로 칭해지는 상태도의 영역의 농축 유체로 변화할 것이다. 상기 유체가 87.9℉의 임계 온도 이상으로 가열됨에 따라, 그 유체는 정의에 따라 초임계 유체로 된다. 이 예에서, 이산화탄소는 47.6 lb/ft3의 일정한 밀도로 189℉의 온도까지 가열되어, 대략 5,000psia의 초임계 유체가 얻어질 수 있다.
상기한 예에서 설명한 방법을 사용함으로써, 농축 유체는 임의의 선택된 밀도, 온도, 압력으로 준비될 수 있다. 조성이 고정되는 경우, 그러한 3개의 파라미터 중 단지 2개만이 독립적이며, 농축 유체를 제조하기 위한 바람직하면서도 가장 편리한 방법은 가압 용기 내의 초기 충전 밀도와 조성을 선택하고, 이어서 충전물을 원하는 온도까지 가열하는 것이다. 초기 충전 밀도와 조성을 적절히 선택하면 원하는 최종 압력이 얻어질 것이다.
단일 성분의 농축 프로세싱 유체를 위해 이산화탄소가 사용되는 경우, 그 이산화탄소는 약 100℉와 약 500℉ 사이의 온도로 가열되어 가압 용기 내에 원하는 농축 유체 압력을 생성할 수 있다. 보다 일반적으로, 농축 유체를 위해 임의의 성분 또는 성분들을 사용하는 경우, 그 유체는 가압 용기에서 약 1.8에 이르는 환산 온도까지 가열될 수 있으며, 여기서 환산 온도는 가열 후에 가압 용기 내의 유체의 평균 절대 온도를 그 유체의 절대 임계 온도로 나눈 값으로서 정의한다. 임의의 수의 성분을 함유한 유체에 대한 임계 온도는 그 온도 이상에서는 유체가 항상 단일 유체상으로 존재하고 그 온도 이하에서는 2개의 상을 형성할 수 있는 온도로서 정의한다.
이하, 도 3을 참조하면, 밸브(333)가 개방되고, 전술한 바와 같이 제조된 농축 유체가 계량 밸브(351)를 통해 흐름 제어를 받으면서 매니폴드(331)를 통과한다. 선택적으로, 1종 이상의 첨가 용제 또는 프로세싱제가 첨가 용제 저장 용기(353, 355)로부터 라인(361) 내의 농축 유체 안으로 펌프(357, 359)에 의해 도입되어, 농축 프로세싱 유체를 형성할 수 있으며, 세척 용례에서는 이 농축 프로세싱 유체를 농축 세척 유체로서 표현할 수 있다. 농축 프로세싱 유체는 세척 또는 처리될 하나 이상의 물품(363)을 수용하고 있는 밀봉 가능한 프로세싱 챔버 또는 프로세스 툴(362) 안으로 도입되고, 밸브(333)는 닫힌다. 상기 물품들은 밀봉 가능한 입구 포트(도시 생략)를 통해 프로세스 툴(362) 내의 홀더(365) 상에 사전에 배치하였다. 프로세스 툴(352) 내의 온도는 온도 제어 시스템(367)을 통해 제어된다. 유체 교반기 시스템(369)이 프로세스 툴(362)의 내부를 교반하여 농축 프로세싱 유체와 물품(363)의 접촉을 촉진시킨다.
프로세싱 챔버 또는 프로세스 툴(362)에는 초음파 발생기(370)가 장착되어 있고, 이 초음파 발생기는 고주파수 전원(371)에 연결된 초음파 변환기 어레이이다. 초음파 변환기는 영국 사우스햄튼 소재의 Morgan Electro Ceramics의 초음파 혼(horn)과 같은 임의의 시판중은 유닛을 사용할 수 있다. 초음파 발생기(370)는 통상적으로 20㎑ 내지 2㎒의 주파수 범위에서 작동할 수 있다. 본 발명에 있어서, "초음파"라는 용어는 약 20㎑의 사람의 가청 한계 이상의 주파수를 갖는 임의의 파 또는 진동을 지칭한다. 고주파수 전원(371)은 통상적으로 약 20W/in2내지 약 40W/in2의 초음파 파워 밀도 범위로 파워를 제공한다. 프로세스 툴(362)의 내부는 통상적으로 세척 단계 중에 30 내지 120초 동안 초음파에 노출된다.
초음파 변환기는 압전 구조체 또는 자왜 구조체(magnetostrictive structure)로 구성될 수 있다. 압전 변환기는 교류 전류가 인가될 때 초음파 주파수로 진동하는 결정을 포함하고 있다. 더 강력한 자왜 변환기는 전기 코일로 둘러싸인 철 또는 니켈 편으로 구성된다. 이러한 변환기는 통상 음향적으로 설계된 부스터와 혼(도시 생략)이 있는 "탐침(probe)" 조립체에 내장된다. 이러한 탐침은 유체, 압력 용기의 벽 또는 기판 홀더로의 파워 전송을 최대화시키는 데에 사용될 수 있다.
초음파 변환기 어레이(370)는 도 3에 도시한 바와 같이 처리 대상의 물품 위에 수평으로 그 물품을 향하여 장착되어, 음파가 아래쪽으로 발생하여 물품(363)에 바로 충돌하게 된다. 대안적으로, 변환기 어레이는 세척 대상의 물품의 어느 한쪽 측면에 수직으로 장착되어(도시 생략), 초음파를 세척 대상의 물품을 가로질러 수평 방향으로 발생시킬 수 있다.
또 다른 대안으로서, 변환기 어레이는 홀더(365) 아래에서 그 홀더와 접촉하게 수평으로 장착되어(도시 생략), 초음파를 거의 수직 방향으로 발생시켜 홀더(365)를 지나 위쪽으로 전달할 수 있다. 이러한 구성은, 예를 들면 주로 박막 증착, 에칭, 전해 연마와 같은 화학 반응이 웨이퍼 표면에 발생하는 경우 웨이퍼 표면에 최대 초음파 에너지를 가하기 위해 사용될 수 있다. 웨이퍼는 임의의 배향, 다시 말해 위로 향하거나 아래로 향하거나 측방으로 향하게 배치할 수 있다. 이 경우에, 음향 흐름(acoustic streaming)이 반응 생성물과 오염 물질을 표면에서부터 멀리 운반한다. 용해된 물질과 현탁 입자는 음파 에너지가 집중되는 영역으로부터 멀리 이동하는 경향이 있고, 이러한 구성은 응집된 물질을 상기 표면 및 초음파 소스로부터 멀리 운반하는 경향이 있다.
도 3에 도시한 바와 같은 초음파 변환기 어레이의 초음파 발생기(370)는 프로세스 툴(362) 내에 장착되어 있지만, 대안적으로는 발생된 초음파 에너지가 용기의 벽을 통과해 전달되도록 프로세스 툴 용기의 외면에 장착될 수 있다.
가압 용기(303)에서의 초기 압력과, 프로세스 툴(362)에서의 온도는, 첨가 용제 또는 가타 프로세싱제를 원래의 농축 유체에 첨가하였는가의 여부에 관계없이 전달 단계 후에 프로세스 툴(362) 내의 농축 세척 유체가 전술한 바와 같은 단일 상 농축 유체이도록 선택할 수 있다. 대안적으로, 농축 프로세싱 유체는 프로세싱제를 함유한 제2의 현탁 또는 분산상을 포함하는 에멀젼 또는 현탁액일 수 있다.
오염에 민감한 각종 물품을 마이크로전자 소자의 제조시에 만날 수 있으며, 이들 마이크로 전자기계적 소자는 본 발명을 사용하여 세척 또는 처리될 수 있다.그러한 물품으로는, 예를 들면 실리콘 또는 갈륨 비소화물 웨이퍼, 레티클, 포토마스크, 평판 표시 장치, 프로세싱 챔버 내면, 회로 기판, 표면 실장 조립체, 전자 조립체, 민감한 웨이퍼 처리 시스템 구성 요소, 전자-광학적 레이저 및 우주선 장비, 표면 미세 기계 가공 시스템, 그리고 제조 중에 오염되는 기타 관련 물품이 포함될 수 있다. 세척 공정 중에 이들 물품에서부터 제거될 수 있는 통상의 오염 물질로는, 평탄화 후의 입자를 비롯하여, 예를 들면 노출된 포토레지스트 재료, 포토레지스트 찌꺼기, UV 또는 X선 경화 포토레지스트, C-F 함유 폴리머, 그리고 기타 유기질 및 무기질 에칭 찌꺼기와 같은 저분자량 및 고분자량의 유기 오염 물질, 이온성 및 비이온성의 금속 함유 화합물, 수분, 그리고 불용성 물질이 포함될 수 있다.
밀봉 가능한 프로세스 툴(362)은 농축 세척 유체에 의해 통상은 1,100 내지 10,000psia의 초임계 압력, 바람직하게는 1,500 내지 7,500psia의 초임계 압력까지 가압될 수 있다. 상기 프로세스 툴은 통상적으로 500℉에 이르는 초임계 온도에서 작동하며, 100℉ 내지 200℉의 범위에서 작동할 수 있다. 프로세스 툴(362)에서의 온도는 온도 제어 시스템(367)에 의해 제어된다. 통상적으로, 프로세스 툴(362)에서 물품(363)과 농축 세척 유체의 접촉은 1.0 이상 그리고 통상은 약 1.8이하의 환산 온도에서 이루어질 수 있으며, 상기 환산 온도는 세척 챔버 내의 유체의 평균 절대 온도를 그 유체의 절대 임계 온도로 나눈 값으로서 정의한다.
농축 유체가 프로세스 툴(362) 안으로 흐르기 전에 그 농축 유체와 혼합하기 위해 라인(361) 안으로 첨가 용제 또는 프로세싱제를 도입하는 몇가지 대안이 있을수 있다. 하나의 대안으로서, 첨가 용제는 프로세스 툴이 가압 용기(303)로부터 나온 농축 유체로 채워지기 전에 프로세스 툴(362) 내에 직접 도입될 수 있다. 다른 대안으로, 첨가 용제는 상기 툴이 농축 유체로 채워진 후에 프로세스 툴(362) 내에 직접 도입될 수 있다. 또 다른 대안으로, 첨가 용제는 공급 용기(301)로부터 가압 용기가 채워지기 전에 그 가압 용기(303) 안으로 직접 도입될 수 있다. 또 다른 대안으로, 첨가 용제는 공급 용기(301)로부터 가압 용기가 채워진 후이지만 그 가압 용기가 가열되기 전에 가압 용기(303) 안으로 직접 도입될 수 있다. 최종적인 대안으로서, 첨가 용제는 공급 용기(301)로부터 가압 용기가 채워진 후 그 가압 용기가 가열된 다음에 가압 용기(303) 안으로 직접 도입될 수 있다. 이들 대안은 모두 도 3의 적절한 라인, 매니폴드, 밸브를 사용하여 달성될 수 있다.
초음파 변환기(370)에 의해 제공되는 강력한 교반 외에도, 프로세스 툴(362) 내부는 유체 교반기 시스템(369)에 의해 교반되어 농축 세척 유체와 물품(363)의 접촉을 향상시킬 수 있다. 추가적인 유체 교반은 펌프(372)와 필터(373)로 구성된 유체 재순환 시스템에 의해 제공될 수 있다. 필터(373)는 재순환하는 유체로부터 입자상 오염물질을 제거하는 기능을 하며, 이 결과로 얻어진 유체 교반은 대류성 유체 운동을 증대시킴으로써 농축 유체를 섞고, 오염된 물품으로부터 오염 물질 또는 반응 생성물의 제거를 촉진시킨다.
세척 사이클이 완료되면, 프로세스 툴(362)은 밸브(375, 377)를 개방함으로써 감압되고, 이에 의해 오염된 농축 유체는 열교환기(379)를 지나 흐르게 되며, 여기서 70℉ 내지 150℉의 온도로 냉각된다. 이러한 압력 및 온도의 저하는 농축유체 내에 용해된 오염 물질과 첨가 용제를 응축시키며, 그 결과로 얻어지는 현탁 상태의 오염 물질과 첨가 용제를 함유한 유체는 라인(381)을 통해 분리기(383) 안으로 흐르게 된다. 응축된 오염 물질과 첨가 용제는 라인(385)을 통해 제거되며, 정제된 유체는 라인(387)을 통해 중간 유체 저장 용기(389)로 흐르게 된다. 이 저장 용기(389) 내의 압력은 프로세스 툴(362)에서의 초임계의 추출 압력과 이산화탄소 공급 용기(301)의 압력 사이의 압력이다. 통상적으로, 이 단계에서 프로세스 툴(362)이 900 내지 1,100psia의 압력으로 감압된다.
감압 단계 중에, 선택적으로는 밸브(333)를 개방하여, 가압 용기(303)에서 나온 이산화탄소가 오염된 감압 유체와 함께 냉각기(370)와 분리기(383)를 지나 흐를 수 있게 한다. 선택적으로, 프로세스 툴(362)이 처음에 감압된 후에, 가압 용기(303)로부터 나온 이산화탄소를 사용하여 프로세스 툴(362)을 부분적으로 가압 및 세정함으로서 잔류 오염 물질과 첨가 용제를 희석하여 프로세스 툴로부터 제거할 수 있으며, 그 후에 프로세스 툴은 냉각기(379)와 분리기(383)를 통해 900 내지 1,100psia의 압력으로 감압된다. 밸브(375, 377)를 닫은 후, 프로세스 툴(362) 내의 잔류 이산화탄소를 밸브(391)를 통해 배출하여 대기압까지 압력을 감소시킨다. 선택적으로, 프로세스 툴(362)은 이어서 대기압 이하의 압력까지 배기될 수 있다. 이 때, 프로세스 툴(362)의 밀봉 가능한 입구 포트(도시 생략)는 개방되고, 처리된 물품들은 제거되며, 다른 군의 오염된 물품을 차후의 세척 사이클을 위해 적재한다.
선택적으로, 냉각기(379)와 분리기(383)와 유사한 또 다른 냉각기와 분리기(도시 생략)가 라인(387)에 설치될 수 있다. 중간 압력에서의 이러한 제2 단계의 분리를 사용하면, 이산화탄소 용매로부터 오염 물질과, 첨가 용제의 보다 효율적인 분리가 가능해지며, 오염 물질과 첨가 용제 간에 어느 정도의 분류가 가능해진다.
중간 유체 저장 용기(389) 내에 통상 900 내지 1,100psia의 범위의 압력의 이산화탄소는 필터 시스템(393)에 의해 여과된 후에 라인(395)과 밸브(397)를 통해 액화장치(341)로 재순환될 수 있고, 여기서 액화되어 재사용하도록 이산화탄소 공급 용기(301)로 돌려 보내진다. 재사용 이산환탄소는 이산화탄소 공급 용기(301)에 라인(398)과 밸브(399)를 통해 증기로 추가되거나, 액체로 바로(도시 생략) 추가될 수 있다.
대안적으로, 라인(387) 또는 라인(395) 내의 정제된 이산화탄소 전술한 바와 같이 재순환시키지 않고 대기로 바로 배출할 수 있다(도시 생략). 이 실시예에서, 이산화탄소는 라인(398)과 밸브(399)를 통해 도입되며, 일회용 방식(once-through mode)으로 사용된다.
복수의 압력 용기가 전술한 바와 같은 대표적인 공정에 사용될 수 있다. 예를 들면, 도 3의 가압 용기(303)가 충전 및 가열 공정에 있다면, 가압 용기(305)(이전에 원하는 상태의 농축 유체를 제공하도록 충전 및 가열되었었음)는 라인(327), 밸브(335), 매니폴드(331), 라인(361)을 통해 프로세스 툴(362)로 공급할 수 있다. 하나는 프로세스 툴(362)로 농축 유체를 공급하며, 다른 하나는 이산화탄소 공급 용기(301)로부터 나온 이산화탄소로 채워지고 있고, 또 다른 하나는 충전 후에 가열되고 있는 시차제 사이클(staggered cycle)로 3개의 가압 용기(303,305, 307)가 작동하는 사이클을 고려할 수 있다. 이러한 방식으로 복수 개의 가압 용기를 사용하면 프로세스 툴(362)의 생산성을 증대시키고, 가압 용기 중 하나가 보수를 위해 라인에서 제거되더라도 대처가 가능하다.
전술한 대표적인 공정은 농축 유체로서 이산화탄소를 사용하고 있지만, 다른 농축 유체 성분이 적절한 용례에 사용될 수 있다. 농축 유체는, 이산화탄소, 질소, 메탄, 산소, 오존, 아르곤, 수소, 헬륨, 암모니아, 산화 질소, 2 내지 6개의 탄소 원자를 갖는 탄화수소, 불화수소, 염화수소, 삼산화황, 육불화황, 삼불화질소, 삼불화염소와, 그리고 모노플루오로메탄, 디플루오로메탄, 트리플루오로메탄, 트리플루오로에탄, 테트라플루오로에탄, 펜타플루오로에탄, 퍼플루오로프로판, 펜타플루오로프로판, 헥사플루오로에탄, 헥사플루오로프로필렌(C3F6), 헥사플루오로부타디엔(C4F6), 옥타플루오로씨클로부탄(C4F8), 및 테트라플루오로클로로에탄 등의 이들에 한정되지 않는 플루오로카본으로 이루어진 군으로부터 선택되는 1종 이상의 성분을 포함할 수 있다.
농축 프로세싱 유체는 일반적으로 1종 이상의 프로세싱제가 첨가된 농축 유체로 정의한다. 프로세싱제는, 농축 프로세싱 유체와 접촉하는 물품 또는 기판의 물리적 및/또는 화학적 변화를 촉진하는 화합물 또는 화합물들의 조합으로서 정의한다. 이들 프로세싱제로는, 예를 들면 박막 박피제, 세척 또는 건조제, 첨가 용제, 에칭 또는 평탄화 시약, 포토레지스트 현상제, 증착 재료 또는 시약이 있다. 농축 프로세싱 유체에서 이들 프로세싱제의 총 농도는 통상적으로 약 50중량%보다적으며, 0.1 내지 20중량%의 범위에 있을 수 있다. 농축 프로세싱 유체는 통상적으로 프로세싱제가 농축 유체에 첨가된 후에 단일 상을 유지한다. 대안적으로, 농축 프로세싱 유체는 프로세싱제를 함유한 제2의 현탁 또는 분산상을 포함하는 에멀젼 또는 현탁액일 수 있다.
도 3을 참조로 설명한 대표적인 공정은 농축 유체와 혼합되는 1종 이상의 첨가 용제를 사용하여, 0.1 내지 20중량%의 첨가 용제를 함유한 농축 박막 박피 또는 세척 유체를 제공할 수 있다. 첨가 용제는 오염된 물품으로부터 오염 물질을 제거하기 위한 농축 유체의 세척력을 향상시키는 프로세싱제로서 정의한다. 첨가 용제로는 일반적으로 용매, 개면 활성제, 킬레이터(chelator), 화학적 개질제(modifier)를 포함할 수 있다. 대표적인 첨가 용제의 몇 가지 예로는, 아세틸렌 알콜 및 디올, 유기실리콘(organosilicone), 에틸 아세테이트, 에틸 락테이트, 프로필 아세테이트, 부틸 아세테이트, 디에틸 에테르, 디프로필 에테르, 메탄올, 에탄올, 이소프로판올, 아세토니트릴, 프로피오니트릴, 벤조니트릴, 에틸렌 시아노히드린, 에틸렌 글리콜, 프로필렌 글리콜, 에틸렌 글리콜 모노아세테이트, 프로필렌 글리콜 모노아세테이트, 아세톤, 부타논, 아세토페논, 트리플루오르아세토페논, 트리에틸 아민, 트리프로필 아민, 트리부틸 아민, 2,4,디메틸 피리딘, 디메틸에탄올아민, 디에틸에탄올아민, 디에틸메탄올아민, 디메틸메탄올아민, 디메틸포름아미드, 디메틸아세트아미드, 에틸렌 카보네이트, 프로필렌 카보네이트, 아세트산, 젖산, 부탄-디올, 프로판-디올, n-헥산, n-부탄, 하이드로젠 퍼옥사이드, t-부틸 하이드로퍼옥사이드와, 그리고 에틸렌디아민테트라아세트산(EDTA),카테콜(catechol), 콜린(choline), 베타-디케톤 및 베타-케토이민(beta-ketoimine) 리간드(ligand), 무수 트리플루오로아세트산(TFAA), 할로겐화 카르복실산, 할로겐화 글리콜, 그리고 할로겐화 알칸과 같은 킬레이팅제(chelating agent)가 있다.
본 발명의 방법에 의해 제조되어 관리되는 농축 프로세싱 유체는, 재료가 일부분에서 제거되거나(에칭, 건조, 또는 평탄화), 재료가 일부분 위에 증착되거나(박막 증착), 일부분 상의 재료가 화학적으로 개질되는(포토레지스트 현상), 전자 구성 요소의 제조 시의 기타 처리 단계에서 사용할 수도 있다.
표면 에칭은 화학적 에칭 공정이며, 통상 액체 혼합물 또는 건식 플라즈마 가공에 의해 수행된다. 반도체 기판 가공 중에, 그러한 에칭은 표면 두께를 감소시키고, 표면 산화물과 같은 원하지 않는 층을 제거하며, 트렌치 또는 비아 홀(via hole)과 같은 표면 형상을 생성하는 데에 사용된다. 표면 에칭은 초음파적 보강을 사용하는 농축 상 유체 시스템(dense phase fluid system)에서 수행될 수 있다.
초음파는 금속 박막 증착의 반응 속도를 향상시키기 위해 사용될 수 있다. 그러한 박막은 통상, 가열된 표면에서 수소와 같은 환원제를 사용하여 환원 반응을 겪게되는 금속 전구체로부터 증착된다. 농축 프로세싱 유체와 초음파 에너지를 사용하면 반응 속도가 증가하고, 이에 의해 공정 효율을 개선하고 박막의 품질을 향상시킨다.
포토레지스트 현상은 통상적으로, 노출된 포토레지스트를 현상하기 위해 테트라메틸 암모늄 하이드로옥사이드(TMAH)와 같은 화학 물질을 사용하는 액상 시스템에서 수행된다. 이 공정은 포토레지스트의 현상에서 발생하는 표면 화학 반응을향상시키기 위해 초음파 에너지를 사용하는 본 발명에 따른 농축상 유체 시스템에서 수행될 수 있다. 농축상 프로세싱 유체에 초음파 에너지를 인가하면 처리될 물품의 표면 근처에서 화학적 반응제 및 반응 생성물의 확산을 향상시킬 수 있다.
이들 대안적인 처리 단계에서, 적절한 프로세싱제 및 반응성 화합물이 농축 유체에 추가되어 농축 프로세싱 유체를 형성할 수 있다. 에칭 및 평탄화 공정을 위한 프로세싱제로서 농축 유체에 첨가될 수 있는 몇몇 대표적인 반응성 화합물로는, 예를 들면 불화수소, 염화수소, 헥사플루오로에탄, 삼불화질소, (산성 또는 알칼리성, 즉 칼륨 수산화물 또는 암모니아 함유 혼합물에 현탁 상태에 있는 알루미나, 실리카, 산화세륨, 또는 마그네슘 연마입자를 함유한) 반응성 슬러리, 그리고 금속 표면에 역전기 도금(reverse electroplating)을 위한 전해액이 포함될 수 있다. 증착 공정을 위해 농축 유체에 첨가될 수 있는 몇몇 대표적인 반응성 및 비반응성 화합물로는, 예를 들면 유기 금속 전구체, 포토레지스트, 포토레지스트 현상제, 층간 유전 물질, 실란 시약, 그리고 방청 코팅을 포함하며 이에 한정되지 않는 각종 코팅 물질이 있을 수 있다. 포토레지스트 현상 공정을 위해 농축 유체에 첨가될 수 있는 대표적인 반응성 화합물은 트리메틸 암모늄 하이드로옥사이이드(TMAH)가 있다. 메탄올은 건조 공정을 위해 농축 유체에 첨가될 수 있는 대표적인 화합물이다. 이러한 농축 프로세싱 유체의 대안적인 용도에 있어서, 전술한 바와 같이 세척용의 도 3의 프로세스 툴(362)은 상기 대안적인 용례를 위한 적절한 프로세스 툴로 교체될 수 있다.
본 발명은 동일한 프로세스 툴에서 초음파 에너지 및 농축 유체 침지를 동시에 겸한다. 이에 의해 처리되는 반도체 기판 또는 물품은, 처리 중에 동시에 일어나는 초음파 에너지 보강과 조합하여 용해 및/또는 화학 반응으로 이루어진 향상된 농축 유체 처리를 받게 된다. 전술한 유체 교반을 위한 보조 기구, 즉 유체 교반기 시스템(369)과, 펌프(372) 및 필터(373)로 구성된 유체 순환 시스템은 또한 초음파 교반을 향상시키기 위해 사용될 수 있다. 이러한 방식에서, 세척 공정에서의 용매 또는 프로세싱제는 포토레지스트와 같은 비교적 두꺼운 오염 물질막 안으로 더 많이 침투할 수 있고, 불활성의 불용성 찌꺼기는 유체 상의 진동에 의해 부여되는 에너지를 통해 제거된다. 불용성 입자는 진동 효과 및 음향 흐름(세척 유체의 흐름에 의해 유발됨)의 조합을 통해 제거될 수 있다.
초음파 에너지에 의해 오염 물질막 안으로의 용매의 침투 속도를 증대시키면, 경제적인 공정을 제공하도록 높은 처리량을 필요로 하는 반도체 기판 세척 용례에서 이점이 있다. 초음파 교반은 또한 세척 공정의 균일성을 증대시키는 경향이 있고, 이에 의해 단지 농축 유체 침지 만을 사용하여 달성할 수 있는 것 보다 더 양호한 세척 또는 표면 처리 성능을 제공하게 된다.
초음파 에너지는 농축 유체 또는 농축 프로세싱 유체에서 국지적인 압력 변동을 야기하며, 이는 세척 또는 처리 성능에 도움이 된다. 초음파에 의해 야기되는 그러한 압력 변동은 농축 유체의 밀도에서 약 평균값을 중심으로 상응하는 진동이 야기되며, 이는 이어서 유체의 용해력에서 약 평균값을 중심으로 상응하는 진동을 야기한다. 따라서, 용해력은 공정 중에 최대값과 최소값 사이에서 주기적으로 변화하며, 그 결과 달성된 최대 용해력은 초음파가 없는 경우 얻을 수 있는 평균값을 초과하게 된다. 이는 나아가 평균 작업 압력에서의 동반 상승 없이 용해 공정의 전체적인 효율을 상승시킨다. 통상의 습식 초음파 세척은 기포의 붕괴가 이어지게 되는 액체의 일시적인 캐비테이션(cavitation)과, 그 결과로 발생하는 에너지 방출을 사용하여 오염 물질을 제거한다. 그러한 캐비테이션은 최신 반도체 소자의 정교한 형상을 손상시킬 수 있다. 본 발명의 처리는 바람직하게는 전체적으로 농축 유체 영역에서 수행되어 어떠한 상 변화도 발생하지 않으며, 그 결과 어떠한 캐비테이션도 발생하지 않는다. 대신에 본 발명의 실시예는 고주파수의 유체 진동을 사용하여 고착된 오염 물질들을 그 고유 주파수 근처로 자극하며, 이에 의해 제거가 이루어진다. 캐비테이션이 억제되기 때문에, 파워 소산이 최소화되고, 음향 흐름이 향상된다.
본 발명의 또 다른 실시예는 초음파 주파수가 처리기간 동안 변화하게 되는 가변 주파수의 초음파 처리를 사용한다. 가변 주파수의 초음파 처리는 처리될 물품의 표면에서 고정된 진동 노드(static vibrational node)를 제거하고, 예를 들면 넓게 분포하는 고유 주파수를 갖는 입자들을 제거하여 농축 프로세싱 유체에 현탁 상태로 만드는 것을 보장한다. 본 발명에서 사용하는 주파수는 통상의 초음파에서부터 메가소닉(약 20㎑ 내지 2㎒)의 범위에 달할 수 있다. 하나의 실시예에서, 가변 주파수의 초음파 처리는 상기 범위의 하측 부분의 주파수로 세척 또는 처리 기간을 시작하여, 세척 기간 동안 상기 범위의 상측 부분의 최종 주파수로 주파수를 점진적으로 증가시키는 것으로 이루어 질 수 있다. 대안적으로, 가변 주파수의 초음파 처리는 상기 범위의 상측 부분의 주파수로 세척 또는 처리 기간을 시작하여,세척 기간 동안 상기 범위의 하측 부분의 최종 주파수로 주파수를 점진적으로 감소시키는 것으로 이루어질 수 있다. 또 다른 대안으로, 주파수는 세척 또는 처리 기간 동한 상기 범위 내에서 복수 회로 상승 및 저하시킬 수 있다.
본 발명의 또 다른 실시예에서, 초음파 에너지는 세척 또는 처리 기간 동안 프로세스 툴 안으로 단속적으로 도입될 수 있다. 이 실시예에서, 초음파 변환기의 온-오프 작동은 농축상 프로세싱 유체에 파워의 단속적 분출을 제공한다. 그러한 맥동은 예를 들면 오염 물질이 세척 단계 동안 오도가도 못하게된 파 내에 갇히게 되는 것을 방지한다. 주파수는 초음파 변화기가 온일 때 일정하가나 변화할 수 있으며, 주파수는 복수회의 맥동 기간 중에 일정하거나 변화할 수 있다.
가변 주파수 또는 단속적인 초음파 처리는 어떠한 프로세싱제도 함유하지 않는 농축 유체, 또는 정의에 따라 1종 이상의 프로세싱제를 함유한 농축 프로세싱 유체와 함께 사용될 수 있다. 세척 또는 처리 단계 중에 주파수의 변화 및/또는 단속적 처리 기간의 임의의 조합 또는 스케줄이 농축 유체 또는 농축 프로세싱 유체와 함께 사용될 수 있다. 가변 주파수 및/또는 단속적 초음파 에너지의 인가는 특히 농축 프로세싱 유체 내의 선택된 프로세싱제와 조합하여 세척될 물품에서 각종 형태의 오염 물질 입자를 제거하는 데에 유용하다.
초음파 에너지의 사용은 보다 작은 가용성 입자에 대해 용해가 더 효과적인 한편, 초음파 세척 또는 처리는 더 크거나 불용성 입자에 대해 더 효율적이기 때문에 농축 유체 세척 또는 처리를 보완한다. 초음파 세척 또는 처리는 깊게 패턴화된 표면에 양호하게 사용되는 데, 다시 말해 토포그래피에 민감하지 않으며, 이 방법을 자동화에 적용할 수 있다. 초음파 농축 유체 세척은 습식 메가소닉 세척에 필적하는 성능을 제공하지만, 습식 화학적 처리에 한정되지는 않는다. 예를 들면, 어느 방법도 0.5㎛ 이상의 직경을 갖는 입자의 90%을 제거할 수 있으며, 그 결과로 0.1개 입자/㎠ 미만의 표면 밀도가 얻어진다.
가변 주파수 및/또는 단속적 초음파 처리와 함께 사용되는 농축 유체 또는 농축 프로세싱 유체는 도 3을 참조로 하여 앞서 기재한 방법에 의해 제공할 수 있다. 대안적으로, 가변 주파수 및/또는 단속적 초음파 처리와 함께 사용되는 농축 유체 또는 농축 프로세싱 유체는 아임계 유체를 밀봉 가능한 프로세싱 챔버 안으로 도입하고 그 챔버를 격리시키고, 농축 유체가 얻어지도록 그 아임계 유체를 실질적으로 일정한 체적 및 실질적으로 일정한 밀도로 가열하며,
(1) 아임계 유체를 밀봉 가능한 프로세싱 챔버 안으로 도입하기 전에 1종 이상의 프로세싱제를 밀봉 가능한 프로세싱 챔버 안으로 도입하는 단계와,
(2) 아임계 유체를 밀봉 가능한 프로세싱 챔버 안으로 도입한 다음이지만 그 내의 아임계 유체를 가열하기는 전에 1종 이상의 프로세싱제를 밀봉 가능한 프로세싱 챔버 안으로 도입하는 단계와,
(3) 아임계 유체를 밀봉 가능한 프로세싱 챔버 안으로 도입한 후, 그리고 그 내의 아임계 유체를 가열한 후에 1종 이상의 프로세싱제를 밀봉 가능한 프로세싱 챔버 안으로 도입하는 단계로 이루어진 군으로부터 선택된 하나 이상의 단계에 의해 농축 프로세싱 유체를 마련하는 것에 의해 프로세싱 용기 내에서 직접 제조될 수 있다.
농축 유체와 농축 프로세싱 유체는 초음파 처리에 적합하다. 이들 유체의 비교적 낮은 점도는 유체 내에서 초음파의 점성 소산(viscous dissipation)의 비율을 최소화할 수 있다. 따라서, 초음파는 그 강도가 비교적 거의 감소하지 않고 처리될 표면으로 전달될 수 있다. 이는 최소의 파워 소모로 높은 처리 효율이 가능하게 한다. 낮은 점성 소산은 또한 세척 공정에서 농축 유체 또는 농축 프로세싱 유체에서의 음향 흐름을 증대시키고, 이에 의해 플러싱 작용(flushing action)을 통해 표면의 부근에서부터 입자 및 용해된 오염 물질를 제거하는 것을 촉진시킬 수 있다. 이는 새로운 용매를 표면 근처로 가져가, 그 표면 근처에서 용해된 오염 물질에 대한 더 큰 농도 구배를 생성하고 용해된 오염 물질이 상기 표면에서 멀리 확산되는 속도를 증대시킨다. 이 결과로 깨끗한 표면을 생산하는 데에 요구되는 처리 시간을 감소시킨다.
농축 유체의 비교적 낮은 점도는 또한 표면 근처의 유체 경계층의 두께를 감시키는 데에 도움이 된다. 음향 경계층(acoustic boundary layer)을 얇게 하는 경향은 음향 경계층 두께(δac)에 대한 이하의 식으로부터 알 수 있다.
여기서, ν는 유체의 동점도이며,f는 파의 주파수이다. 더 얇은 유체 경계층은, 고착된 표면 입자들이 보다 두꺼운 낮은 속도의 경계층에 의해 차폐되는 경우보다 얇은 경계층에서 더 큰 평균 유체 속도에 노출되기 때문에, 고착된 표면 입자의 제거를 촉진하는 경향이 있다.
본 발명을 적용하는 데에 있어서, 반도체 기판은 개별적으로 세척 또는 처리되어, 다른 단일의 기판 처리 모듈과 함께 직접적인 처리 통합을 제공할 수 있다. 대안으로, 복수 개의 기판, 또는 배치(batch)가 세척 또는 프로세싱 챔버 내에 배치된 컨테이너 또는 "보트(boat)" 내에서 동시에 세척 또는 처리되고, 이에 의해 높은 생산량 및 작업 비용의 감소를 가져올 수 있다.
초음파는, 유체 진동과 음향 흐름을 사용하여 표면에서 불용성 오염 물질을 제거하고 두꺼운 막의 오염 물질 층 안으로 용매 및 조용매의 침투 속도를 증가시키는 방법을 제공함으로써, 농축 유체 침지를 통한 반도체 기판의 세척을 향상시킨다. 그 결과로, 요구되는 처리 시간이 감소될 수 있다. 용해된 반응물 또는 오염 물질의 농도 경계층의 두께는 음향 흐름에 의해 표면 근처에서 감소할 것이다. 이는 용해된 반응물이 표면으로, 또는 오염 물질이 표면에서 멀리 확산하는 속도를 증가시키며, 이에 의해 요구되는 처리 시간을 감소시킨다.
이는 또한 가용성 반응물 또는 오염 물질의 효율적인 용해를 달성하는 데에 필요한 농축 프로세싱 유체의 요구되는 밀도를 감소시킨다. 이는 나아가 농축 프로세싱 유체의 요구되는 압력을 감소시키고, 효율적인 처리 조건을 달성하는 데에 필요한 처리 설비의 전체 비용을 감소시킨다. 또, 이는 효율적인 처리 성능을 달성하는 데에 필요한 농축 유체의 양을 감소시키며, 농축 프로세싱 유체에서 효율적인 처리 성능을 달성하는 데에 필요한 첨가 용제 또는 반응물의 요구되는 농도와 양을 감소시킨다. 결과적으로, 상기 처리, 화학 물질 치리에 대한 요구 사항, 에너지 요구 사항, 및 상기 처리에 의해 야기된 환경적 피해의 소유 총비용을 감소시킬 수 있다.
이하의 예는 본 발명의 실시예를 나타내지만, 그 실시예들을 본원에 기재된 특정 세부 사항에 한정하는 것은 아니다.
예 1
도 3에 따른 실시예는, 노출, 현상, 에칭 및/또는 주입을 비롯한 복수 처리 단계를 겪은 포토레지스트 층이 있는 실리콘 웨이퍼를 이하에 기재한 바와 같이 농축 프로세싱 유체를 사용하여 처리하는 데에 사용된다.
단계 1 :2.71리터의 체적의 가압 용기(303)를 70℉, 853.5psia의 포화 액체 CO24.56lb로 완전히 채운다. 초기 CO2충전 밀도는 47.6 lb/ft3이다. 용기를 밀봉한다.
단계 2 :상기 가압 용기를 내부 압력이 5,000psia에 도달할 때까지 가열한다. 수용된 CO2의 밀도는 47.6 lb/ft3으로 유지되며, 온도는 189℉에 도달한다. 수용된 CO2는 초임계 영역에서 농축 유체로 전환된다.(도 1 참조)
단계 3 :오염된 실리콘 웨이퍼를 1리터의 내부 용적을 갖는 프로세스 툴(362) 내에 적재한다. 이 프로세스 툴은 배기시키고, 용기 벽과 웨이퍼를 104℉로 유지한다.
단계 4 :매니폴드(331)와 라인(361)을 통해 가압 용기(303)를 프로세스 툴(362)에 연결하는 밸브(333)를 개방하고, CO2를 가압 용기(303)에서 프로세스툴(362) 안으로 흘려보내며, 웨이퍼를 농축상 CO2에 침지시킨다. 가압 용기의 온도는 189℉로 유지한다. 가압 용기와 프로세스 모듈의 공통 압력은 2,500 psia이다. 프로세스 툴(362)의 온도를 104℉로 유지한다. 1.79lb의 CO2가 1리터의 프로세스 툴(362) 안으로 흘려 보내지는 한편, 나머지 2.77lb의 CO2가 2.71리터의 가압 용기(303) 내에 남아 있기 때문에, 농축상 CO2는 초임계 상태로 유지된다. 더 차가운 프로세스 툴 내의 CO2의 밀도는 50.6 lb/ft3에 이르게 된다.
단계 5 :첨가 용제인 프로필렌 카보네이트를 펌프(357)에 의해 첨가 용제 저장 용기(353)에서 프로세스 툴(362) 안으로 펌핑하고, 그 프로세스 툴을 고립시킨다. 프로세스 툴 내의 농축 유체에서의 프로필렌 카보네이트의 농도는 1중량%이다. 농축 유체를 2분 동안 프로세스 툴(362)에서 교반하며, 그 동안 웨이퍼를 처리하여 오염 물질을 제거한다. 초음파 변환기(370)가 그 기간 동안 40㎑의 초음파 주파수로 작동하는 한편, 고주파수 전원(371)이 40W/in2의 초음파 파워 밀도로 파워를 제공한다.
단계 6 :상기 시스템 내의 압력을 900pisa로 유지하는 동안, 밸브(333, 351, 375, 377, 397)를 개방하여 프로세스 툴(362) 및 프로세싱 용기(303) 내의 유체가 냉각기(379)와 상 분리기(383)를 통과해 이산화탄소 액화장치(341)로 흘려 보내진다. 첨가 용제, 반응 생성물, 오염 물질을 분리기(383)에서 CO2로부터 분리한다. 이 단계 중에 가압 용기(303)의 온도는 189℉로 유지하며, 프로세스 툴의 온도는 104℉로 유지한다. CO2는 두 용기 내에서 증기상으로 있다. 다른 혼합 성분의 비교적 작은 효과를 무시하면, 프로세스 툴(362) 내의 CO2의 밀도는 10.32 lb/ft3이다. 0.36lb의 CO2가 프로세스 툴(362) 내에 남게 된다.
단계 7 :밸브(333)를 닫아 가압 용기(303)를 고립시키고, 그 용기를 70℉로 냉각시키며, 여기서 압력은 632psia로 떨어지고 용기 내에 수용된 CO2증기의 밀도는 7.07 lb/ft3로 유지된다.
단계 8 :프로세스 툴(362) 내의 나머지 0.36lb의 CO2를 밸브(375)를 닫고 밸브(391)를 개방함으로써 배출하고, 그 툴을 배기시키며, 처리된 깨끗한 실리콘 웨이퍼를 제거한다.
이 사이클은 액체 CO2를 재충전함으로써 가압 용기(303)를 단계 1로 복귀시킴으로써 반복된다.
예 2
초음파 변환기 시스템(370)이 단계 5의 세척 기간 동안에, 20㎑로 시작하여 세척 기간의 종결시에 음파 주파수가 200㎑가 되도록 세척 기간 동안 일정한 비율로 증대하는 음파 주파수로 작동한다는 점을 제외하면, 예 1의 처리가 반복된다.
예 3
초음파 변환기 시스템(370)이 단계 5의 세척 기간 동안에, 200㎑로 시작하여 세척 기간의 종결시에 음파 주파수가 20㎑가 되도록 세척 기간 동안 일정한 비율로 감소하는 음파 주파수로 작동한다는 점을 제외하면, 예 1의 처리가 반복된다.
예 4
단계 5의 세척 기간 동안 교번하는 패턴으로 초음파 변환기 시스템을 1초 동안 온 상태로 하고 1초 동안 오프 상태로 함으로써 초음파 변환기(370)를 단속적으로 작동시킨다는 점을 제외하면, 예 1의 처리가 반복된다.
본 발명에 있어서, 초음파 에너지의 적용과 함께 농축 프로세싱 유체(dense processing fluid)를 사용하여 처리 방법을 개선시킴으로써, 더 작고 더 복잡한 마이크로전자 시스템의 제조에서 경제적인 수율을 유지 또는 향상시킬 수 있는 개선된 웨이퍼 세척 방법을 제공한다.

Claims (26)

  1. 물품을 처리하는 방법으로,
    (a) 물품을 밀봉 가능한 프로세싱 챔버 안으로 도입하고 그 프로세싱 챔버를 밀봉하는 단계와,
    (b)(b1) 가압 용기 내에 아임계 유체를 도입하고 그 용기를 고립시키는 것과,
    (b2) 농축 유체가 얻어지도록 실질적으로 일정한 체적 및 실질적으로 일정한 밀도로 상기 아임계 유체를 가열하는 것에 의해 농축 유체를 마련하는 단계와,
    (c) 상기 농축 유체의 적어도 일부분을 상기 가압 용기로부터 프로세싱 챔버로 전달하고, 상기 농축 유체의 전달이 가압 용기 내의 압력과 프로세싱 챔버 내의 압력의 차에 의해 추진되며, 이에 의해 전달된 농축 유체로 상기 프로세싱 챔버에 압력을 가하는 단계와,
    (d) 농축 프로세싱 유체를 제공하도록, 1종 이상의 프로세싱제를 단계 (c) 전에, 또는 단계 (c) 중에, 또는 단계 (c) 후에 프로세싱 챔버 안으로 도입하는 단계와,
    (e) 상기 프로세싱 챔버 안으로 초음파 에너지를 도입하여, 상기 물품과 상기 농축 프로세싱 유체를 접촉시켜 사용된 농축 프로세싱 유체와 처리된 물품을 얻는 단계와,
    (f) 상기 사용된 농축 프로세싱 유체를 상기 처리된 물품으로부터 분리시키는 단계
    를 포함하는 방법.
  2. 제1항에 있어서, 상기 농축 유체는 단계 (b2)에서 가압 용기 내의 환산 온도가 약 1.8미만에서 발생하며, 여기서의 환산 온도는 가열 후의 가압 용기 내의 농축 유체의 평균 절대 온도를 그 유체의 절대 임계 온도로 나눈 값으로서 정의되는 것인 방법.
  3. 제2항에 있어서, 단계 (d)에서 프로세싱 챔버 내의 상기 물품과 농축 프로세싱 유체의 접촉은 약 0.8과 약 1.8 사이의 프로세싱 챔버 내의 환산 온도에서 이루어지며, 여기서의 환산 온도는 단계 (d) 중에 프로세싱 챔버 내의 농축 프로세싱 유체의 평균 절대 온도를 그 농축 프로세싱 유체의 절대 임계 온도로 나눈 값으로서 정의되는 것인 방법.
  4. 제1항에 있어서, 상기 농축 유체는, 이산화탄소, 질소, 메탄, 산소, 오존, 아르곤, 수소, 헬륨, 암모니아, 산화질소, 불화수소, 염화수소, 삼산화황, 육불화황, 삼불화질소, 모노플루오로메탄, 디플루오로메탄, 트리플루오로메탄, 트리플루오로에탄, 테트라플루오로에탄, 펜타플루오로에탄, 퍼플루오로프로판, 펜타플루오로프로판, 헥사플루오로에탄, 헥사플루오로프로필렌, 헥사플루오로부타디엔, 옥타플루오로씨클로부탄, 그리고 테트라플루오로클로로에탄으로 이루어진 군으로부터 선택되는 1종 이상의 성분을 포함하는 것인 방법.
  5. 제1항에 있어서, 상기 농축 유체는 2 내지 6개의 탄소 원자를 갖는 1종 이상의 탄화수소를 포함하는 것인 방법.
  6. 제1항에 있어서, 상기 농축 프로세싱 유체 중의 1종 이상의 프로세싱제의 총 농도는 0.1과 20중량% 사이인 것인 방법.
  7. 제1항에 있어서, 상기 농축 프로세싱 유체는, 에틸 아세테이트, 에틸 락테이트, 프로필 아세테이트, 부틸 아세테이트, 디에틸 에테르, 디프로필 에테르, 메탄올, 에탄올, 이소프로판올, 아세토니트릴, 프로피오니트릴, 벤조니트릴, 에틸렌 시아노히드린, 에틸렌 글리콜, 프로필렌 글리콜, 에틸렌 글리콜 모노아세테이트, 프로필렌 글리콜 모노아세테이트, 아세톤, 부타논, 아세토페논, 트리플루오로아세토페논, 트리에틸 아민, 트리프로필 아민, 트리부틸 아민, 2,4,디메틸 피리딘, 디메틸에탄올아민, 디에틸에탄올아민, 디에틸메탄올아민, 디메틸메탄올아민, 디메틸포름아미드, 디메틸아세트아미드, 에틸렌 카보네이트, 프로필렌 카보네이트, 아세트산, 젖산, 부탄-디올, 프로판-디올, n-헥산, n-부탄, 하이드로젠 퍼옥사이드, t-부틸 하이드로퍼옥사이드, 에틸렌디아민테트라아세트산, 카테콜, 콜린, 그리고 무수 트리플루오로아세트산(trifluoroacetic anhydride)으로 이루어진 군으로부터 선택되는 1종 이상의 프로세싱제를 포함하는 것인 방법.
  8. 제1항에 있어서, 상기 농축 프로세싱 유체는, 불화수소, 염화수소, 삼불화염소, 삼불화질소, 모노플루오로메탄, 디플루오로메탄, 트리플루오로메탄, 트리플루오르에탄, 테트라플루오로에탄, 펜타플루오로에탄, 퍼플루오로프로판, 펜타플루오로프로판, 헥사플루오로에탄, 헥사플루오로프로필렌, 헥사플루오로부타디엔, 옥타플루오로씨클로부탄 테트라플루오로클로로에탄, 플루오록시트리플루오로메탄(CF4O), 비스(디플루오록시)메탄(CF4O2), 불화 시아누르산(C3F3N3), 옥사릴 플루오라이드(C2F2O2), 니트로실 플루오라이드(FNO), 카보닐 플루오라이드(CF2O), 그리고 퍼플루오로메틸아민(CF5N)으로 이루어진 군으로부터 선택되는 1종 이상의 프로세싱제를 포함하는 것인 방법.
  9. 제1항에 있어서, 상기 농축 프로세싱 유체는 유기금속 전구체, 포토레지스트, 포토레지시트 현상제, 층간 유전 재료, 실란 시약, 그리고 방청 코팅으로 이루어진 군으로부터 선택되는 1종 이상의 프로세싱제를 포함하는 것인 방법.
  10. 제1항에 있어서, 상기 사용된 농축 프로세싱 유체의 압력을 감소시켜 적어도 유체상 및 잔여 화합물상을 얻는 단계와, 이들 상들을 분리하여 정제된 유체와 회수된 잔여 화합물을 얻는 단계를 더 포함하는 방법.
  11. 제10항에 있어서, 상기 정제된 유체를 재순환시켜 단계 (b1)에서 아임계 유체의 일부를 제공하는 단계를 더 포함하는 방법.
  12. 제10항에 있어서, 상기 정제된 유체의 압력을 감소시켜 더 정제된 유체상과 추가적인 잔여 화합물상을 얻는 단계와, 이들 상들을 분리시켜 더 정제된 유체와 추가적으로 회수되는 잔여 화합물을 얻는 단계를 더 포함하는 방법.
  13. 제12항에 있어서, 상기 더 정제된 유체를 재순환시켜 단계 (b1)에서 아임계 유체의 일부를 제공하는 단계를 더 포함하는 방법.
  14. 제1항에 있어서, 단계 (b2)에서 가열하기 전에 가압 용기 내의 아임계 유체는 증기상, 액체상, 또는 공존하는 증기상 및 액체상을 포함하는 것인 방법.
  15. 물품을 처리하는 방법으로,
    (a) 물품을 밀봉 가능한 프로세싱 챔버 안으로 도입하고 그 프로세싱 챔버를 밀봉하는 단계와,
    (b) (b1) 가압 용기 내에 아임계 유체를 도입하고 그 용기를 고립시키는것과,
    (b2) 농축 유체가 얻어지도록 실질적으로 일정한 체적 및 실질적으로일정한 밀도로 상기 아임계 유체를 가열하는 것과,
    (b3) 가압 용기 안에 아임계 유체를 도입하기 전에, 또는 가압 용기 안에 아임계 유체를 도입한 다음이지만 그 가압 용기를 가열하기 전에, 또는 가압 용기 안에 아임계 유체를 도입한 후 그리고 그 가압 용기를 가열한 다음에, 상기 가압 용기 안으로 1종 이상의 프로세싱제를 도입하는 것에 의해 농축 유체를 마련하는 단계와,
    (c) 상기 농축 프로세싱 유체의 적어도 일부분을 상기 가압 용기로부터 프로세싱 챔버로 전달하고, 상기 농축 프로세싱 유체의 전달이 가압 용기 내의 압력과 프로세싱 챔버 내의 압력의 차에 의해 추진되며, 이에 의해 전달된 농축 프로세싱 유체로 상기 프로세싱 챔버에 압력을 가하는 단계와,
    (d) 상기 프로세싱 챔버 안으로 초음파 에너지를 도입하고, 상기 물품과 상기 농축 프로세싱 유체를 접촉시켜 사용된 농축 프로세싱 유체와 처리된 물품을 얻는 단계와,
    (e) 상기 사용된 농축 프로세싱 유체를 상기 처리된 물품으로부터 분리시키는 단계
    를 포함하는 방법.
  16. 물품을 처리하는 장치로,
    (a) 아임계 유체가 수용되는 유체 저장 탱크와,
    (b) 하나 이상의 가압 용기와, 상기 유체 저장 탱크로부터 하나 이상의 가압용기로 아임계 유체를 전달하는 배관 수단과,
    (c) 상기 하나 이상의 가압 용기 각각의 내용물을 실질적으로 일정한 체적 및 실질적으로 일정한 밀도로 가열하여 아임계 유체를 농축 유체로 전환시키는 가열 수단과,
    (d) 물품과 상기 농축 유체를 접촉시키는 밀봉 가능한 프로세싱 챔버와,
    (e) 상기 밀봉 가능한 프로세싱 챔버 안으로 초음파 에너지를 도입하기 위한 초음파 발생 수단과,
    (f) 상기 농축 유체를 상기 하나 이상의 가압 용기로부터 밀봉 가능한 프로세싱 챔버로 전달하는 배관 수단과,
    (g) 하나 이상의 프로세싱제 저장 용기와, 1종 이상의 프로세싱제를, (1) 하나 이상의 가압 용기 안으로, 또는 (2) 상기 농축 유체를 상기 하나 이상의 가압 용기로부터 밀봉 가능한 프로세싱 챔버로 전달하는 배관 수단 안으로, 또는 (3) 상기 밀봉 가능한 프로세싱 챔버 안으로 주입하기 위한 펌핑 수단
    을 포함하는 장치.
  17. 제16항에 있어서, 감압 수단과, 적어도 정제된 유체와 1종 이상의 회수된 잔여 화합물이 얻어지도록 상기 프로세싱 챔버로부터 회수되는 사용된 농축 프로세싱 유체를 분리하는 상 분리 수단을 더 포함하는 장치.
  18. 제17항에 있어서, 상기 정제된 유체를 유체 저장 탱크로 재순환시키는 재순환 수단을 더 포함하는 장치.
  19. 물품을 처리하는 방법으로,
    (a) 물품을 밀봉 가능한 프로세싱 챔버 안으로 도입하고 그 프로세싱 챔버를 밀봉하는 단계와,
    (b) 상기 프로세싱 챔버에 농축 프로세싱 유체를 제공하는 단계와,
    (c) 상기 프로세싱 챔버 안으로 초음파 에너지를 도입하고, 그 초음파 에너지의 주파수를 변화시키는 동시에, 상기 물품과 상기 농축 프로세싱 유체를 접촉시켜 사용된 농축 프로세싱 유체와 처리된 물품을 얻는 단계와,
    (d) 상기 사용된 농축 프로세싱 유체를 상기 처리된 물품으로부터 분리시키는 단계
    를 포함하는 방법.
  20. 제19항에 있어서, 상기 초음파 에너지의 주파수는 단계 (c) 중에 커지는 것인 방법.
  21. 제19항에 있어서, 상기 초음파 에너지의 주파수는 단계 (c) 중에 감소되는 것인 방법.
  22. 제19항에 있어서, 상기 농축 프로세싱 유체는,
    (a) 가압 용기 안으로 아임계 유체를 도입하고, 그 용기를 고립시키는 단계와,
    (b) 농축 유체가 얻어지도록 상기 아임계 유체를 실질적으로 일정한 온도 및 실질적으로 일정한 밀도로 가열하는 단계와,
    (c) 농축 프로세싱 유체를 제공하는 단계로,
    (1) 상기 농축 유체를 가압 용기에서 프로세싱 챔버로 전달하는 동안에 그 농축 유체 내에 1종 이상의 프로세싱제를 도입하는 단계와,
    (2) 1종 이상의 프로세싱제를 상기 가압 용기 안으로 도입하여 농축 프로세싱 유체를 형성하고, 그 농축 프로세싱 유체를 가압 용기에서 프로세싱 챔버로 전달하는 단계와,
    (3) 농축 유체를 가압 용기에서 프로세싱 챔버로 전달한 후에 1종 이상의 프로세싱제를 상기 프로세싱 챔버 내의 농축 유체 안으로 도입하는 단계와,
    (4) 아임계 유체를 가압 용기 안으로 도입하기 전에 1종 이상의 프로세싱제를 가압 용기 안으로 도입하는 단계와,
    (5) 아임계 유체를 가압 용기 안으로 도입한 다음이지만 그 가압 용기를 가열하기는 전에 1종 이상의 프로세싱제를 가압 용기 안으로 도입하는 단계와,
    (6) 아임계 유체를 가압 용기 안으로 도입한 후, 그리고 가압 용기를 가열한 후에 1종 이상의 프로세싱제를 가압 용기 안으로 도입하는 단계
    로 이루이진 군으로부터 선택된 하나 이상의 단계에 의해 농축 프로세싱 유체를 제공하는 단계
    에 의해 제조되는 것인 방법.
  23. 제19항에 있어서, 상기 농축 프로세싱 유체는,
    (a) 밀봉 가능한 프로세싱 챔버 안으로 아임계 유체를 도입하고, 그 챔버를 고립시키는 단계와,
    (b) 농축 유체가 얻어지도록 상기 아임계 유체를 실질적으로 일정한 온도 및 실질적으로 일정한 밀도로 가열하는 단계와,
    (c) 농축 프로세싱 유체를 마련하는 단계로,
    (1) 아임계 유체를 밀봉 가능한 프로세싱 챔버 안으로 도입하기 전에 1종 이상의 프로세싱제를 밀봉 가능한 프로세싱 챔버 안으로 도입하는 단계와,
    (2) 아임계 유체를 밀봉 가능한 프로세싱 챔버 안으로 도입한 다음이지만 그 내의 아임계 유체를 가열하기는 전에 1종 이상의 프로세싱제를 밀봉 가능한 프로세싱 챔버 안으로 도입하는 단계와,
    (3) 아임계 유체를 밀봉 가능한 프로세싱 챔버 안으로 도입한 후, 그리고 그 내의 아임계 유체를 가열한 후에 1종 이상의 프로세싱제를 밀봉 가능한 프로세싱 챔버 안으로 도입하는 단계
    로 이루이진 군으로부터 선택되는 하나 이상의 단계에 의해 농축 프로세싱 유체를 마련하는 단계
    에 의해 제조되는 것인 방법.
  24. 물품을 처리하는 방법으로,
    (a) 물품을 밀봉 가능한 프로세싱 챔버 안으로 도입하고, 그 프로세싱 챔버를 밀봉하는 단계와,
    (b) 상기 프로세싱 챔버에 농축 유체를 제공하는 단계와,
    (c) 상기 프로세싱 챔버 안으로 초음파 에너지를 도입하고, 그 초음파 에너지의 주파수를 변화시키는 동시에, 상기 물품과 상기 농축 유체를 접촉시켜 사용된 농축 유체와 처리된 물품을 얻는 단계와,
    (d) 상기 사용된 농축 유체를 상기 처리된 물품으로부터 분리시키는 단계
    를 포함하는 방법.
  25. 물품을 처리하는 방법으로,
    (a) 물품을 밀봉 가능한 프로세싱 챔버 안으로 도입하고, 그 프로세싱 챔버를 밀봉하는 단계와,
    (b) 상기 프로세싱 챔버에 농축 프로세싱 유체를 제공하는 단계와,
    (c) 상기 프로세싱 챔버 안으로 초음파 에너지를 단속적으로 도입하는 동시에, 상기 물품과 상기 농축 프로세싱 유체를 접촉시켜 사용된 농축 프로세싱 유체와 처리된 물품을 얻는 단계와,
    (d) 상기 사용된 농축 프로세싱 유체를 상기 처리된 물품으로부터 분리시키는 단계
    를 포함하는 방법.
  26. 물품을 처리하는 방법으로,
    (a) 물품을 밀봉 가능한 프로세싱 챔버 안으로 도입하고, 그 프로세싱 챔버를 밀봉하는 단계와,
    (b) 상기 프로세싱 챔버에 농축 유체를 제공하는 단계와,
    (c) 상기 프로세싱 챔버 안으로 초음파 에너지를 단속적으로 도입하는 동시에, 상기 물품과 상기 농축 유체를 접촉시켜 사용된 농축 유체와 처리된 물품을 얻는 단계와,
    (d) 상기 사용된 농축 유체를 상기 처리된 물품으로부터 분리시키는 단계
    를 포함하는 방법.
KR1020030066164A 2002-09-24 2003-09-24 농축 프로세싱 유체와 초음파 에너지를 사용한 반도체구성 요소의 처리 방법 KR100584105B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/253,054 2002-09-24
US10/253,054 US20040055621A1 (en) 2002-09-24 2002-09-24 Processing of semiconductor components with dense processing fluids and ultrasonic energy

Publications (2)

Publication Number Publication Date
KR20040026639A true KR20040026639A (ko) 2004-03-31
KR100584105B1 KR100584105B1 (ko) 2006-05-30

Family

ID=31977793

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030066164A KR100584105B1 (ko) 2002-09-24 2003-09-24 농축 프로세싱 유체와 초음파 에너지를 사용한 반도체구성 요소의 처리 방법

Country Status (5)

Country Link
US (1) US20040055621A1 (ko)
EP (1) EP1402963A3 (ko)
JP (1) JP2004268019A (ko)
KR (1) KR100584105B1 (ko)
TW (1) TWI221007B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10872784B2 (en) 2017-11-16 2020-12-22 Samsung Electronics Co., Ltd. Etching gas mixture, method of forming pattern by using the same, and method of manufacturing integrated circuit device by using the etching gas mixture

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7282099B2 (en) * 2002-09-24 2007-10-16 Air Products And Chemicals, Inc. Dense phase processing fluids for microelectronic component manufacture
US20040226654A1 (en) * 2002-12-17 2004-11-18 Akihisa Hongo Substrate processing apparatus and substrate processing method
EP1635960A2 (en) * 2003-06-06 2006-03-22 P.C.T. Systems, Inc. Method and apparatus to process substrates with megasonic energy
US20040261815A1 (en) * 2003-06-27 2004-12-30 Texas Instruments, Incorporated Three-step chamber cleaning process for deposition tools
EP1505146A1 (en) * 2003-08-05 2005-02-09 Air Products And Chemicals, Inc. Processing of substrates with dense fluids comprising acetylenic diols and/or alcohols
US20050029492A1 (en) * 2003-08-05 2005-02-10 Hoshang Subawalla Processing of semiconductor substrates with dense fluids comprising acetylenic diols and/or alcohols
US7439654B2 (en) * 2004-02-24 2008-10-21 Air Products And Chemicals, Inc. Transmission of ultrasonic energy into pressurized fluids
US20050261150A1 (en) * 2004-05-21 2005-11-24 Battelle Memorial Institute, A Part Interest Reactive fluid systems for removing deposition materials and methods for using same
US7195676B2 (en) * 2004-07-13 2007-03-27 Air Products And Chemicals, Inc. Method for removal of flux and other residue in dense fluid systems
US7837966B2 (en) * 2004-08-11 2010-11-23 Gm Global Technology Operations, Inc. Destruction of hydrocarbon emissions
US20060065627A1 (en) * 2004-09-29 2006-03-30 James Clarke Processing electronic devices using a combination of supercritical fluid and sonic energy
US20060081273A1 (en) * 2004-10-20 2006-04-20 Mcdermott Wayne T Dense fluid compositions and processes using same for article treatment and residue removal
US7134946B1 (en) 2004-12-13 2006-11-14 Cool Clean Technologies, Inc. Apparatus to treat and inspect a substrate
US7291565B2 (en) * 2005-02-15 2007-11-06 Tokyo Electron Limited Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid
US20060180174A1 (en) * 2005-02-15 2006-08-17 Tokyo Electron Limited Method and system for treating a substrate with a high pressure fluid using a peroxide-based process chemistry in conjunction with an initiator
US8080110B2 (en) * 2005-03-22 2011-12-20 Clemson University Research Foundation Method and system to stabilize and preserve iron artifacts
JP4499604B2 (ja) * 2005-04-22 2010-07-07 エヌ・ティ・ティ・アドバンステクノロジ株式会社 超臨界処理方法
JP4993875B2 (ja) * 2005-05-06 2012-08-08 富士フイルム株式会社 凝集ナノ粒子の分散方法
WO2006121170A1 (en) * 2005-05-06 2006-11-16 Fujifilm Corporation Method of concentrating nanoparticles and method of deaggregating aggregated nanoparticles
US8283395B2 (en) * 2005-05-09 2012-10-09 Fujifilm Corporation Method of producing organic-particles-dispersion liquid
US7361231B2 (en) * 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
DE102005062768A1 (de) * 2005-12-28 2007-07-12 Krones Ag Verfahren zum Entfernen von Etiketten
US20070179072A1 (en) * 2006-01-30 2007-08-02 Rao Madhukar B Cleaning formulations
TWI393595B (zh) 2006-03-17 2013-04-21 Michale Goodson J 具有頻率掃描的厚度模式轉換器之超高頻音波處理設備
WO2008024480A2 (en) * 2006-08-23 2008-02-28 The Regents Of The University Of California Method for cleaning diffraction gratings
JP5127257B2 (ja) * 2007-02-07 2013-01-23 株式会社日立プラントテクノロジー 超音波洗浄方法
JP5016351B2 (ja) * 2007-03-29 2012-09-05 東京エレクトロン株式会社 基板処理システム及び基板洗浄装置
KR101003206B1 (ko) * 2008-05-26 2010-12-21 부경대학교 산학협력단 초임계이산화탄소 내에서 고이온주입된 포토레지스트제거에 유용한 초음파 세정 시스템
US8809195B2 (en) * 2008-10-20 2014-08-19 Asm America, Inc. Etching high-k materials
US8584687B1 (en) * 2010-06-25 2013-11-19 WD Media, LLC Sonication cleaning system
JP5544666B2 (ja) 2011-06-30 2014-07-09 セメス株式会社 基板処理装置
CN103975052B (zh) 2011-10-05 2016-11-09 安万托特性材料股份有限公司 具有铜/唑类聚合物抑制作用的微电子衬底清洁组合物
CN104918720B (zh) * 2012-11-01 2020-09-11 范围系统公司 纸钞及安全文件的超临界流体清理
JP6505534B2 (ja) * 2015-07-22 2019-04-24 株式会社平間理化研究所 現像液の管理方法及び装置
KR102195007B1 (ko) * 2018-10-11 2020-12-29 세메스 주식회사 기판 세정 조성물, 이를 이용한 기판 처리 방법 및 기판 처리 장치
CN109575354B (zh) * 2018-11-16 2022-12-23 浙江大学 一种用超/亚临界流体脱除海绵中挥发性有机物的方法
JP7233691B2 (ja) 2019-03-28 2023-03-07 株式会社エアレックス 低温物品の除染方法及びこれに使用するパスボックス
KR102179716B1 (ko) * 2019-04-24 2020-11-17 무진전자 주식회사 기판 건조 챔버
CN111075695B (zh) * 2019-12-25 2021-01-19 西安交通大学 一种喷射器强化储气的压缩空气储能系统及其储气工艺
KR20220083001A (ko) * 2020-12-10 2022-06-20 세메스 주식회사 기판 처리 장치 및 온도 제어 방법
CN118002553B (zh) * 2024-04-10 2024-06-04 江苏英思特半导体科技有限公司 一种具有硅片调节功能的硅片清洗机

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US26729A (en) * 1860-01-03 Improved refrigerator
US55323A (en) * 1866-06-05 Improvement in water-wheels
US5013366A (en) * 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5213619A (en) * 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
EP0619751B1 (en) * 1992-10-16 2003-05-07 Suprex Corporation Automated supercritical fluid extraction
US5377705A (en) * 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5370740A (en) * 1993-10-01 1994-12-06 Hughes Aircraft Company Chemical decomposition by sonication in liquid carbon dioxide
US5509431A (en) * 1993-12-14 1996-04-23 Snap-Tite, Inc. Precision cleaning vessel
US5783082A (en) * 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US6344243B1 (en) * 1997-05-30 2002-02-05 Micell Technologies, Inc. Surface treatment
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6286231B1 (en) * 2000-01-12 2001-09-11 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10872784B2 (en) 2017-11-16 2020-12-22 Samsung Electronics Co., Ltd. Etching gas mixture, method of forming pattern by using the same, and method of manufacturing integrated circuit device by using the etching gas mixture

Also Published As

Publication number Publication date
TW200405459A (en) 2004-04-01
KR100584105B1 (ko) 2006-05-30
TWI221007B (en) 2004-09-11
JP2004268019A (ja) 2004-09-30
EP1402963A3 (en) 2005-04-20
EP1402963A2 (en) 2004-03-31
US20040055621A1 (en) 2004-03-25

Similar Documents

Publication Publication Date Title
KR100584105B1 (ko) 농축 프로세싱 유체와 초음파 에너지를 사용한 반도체구성 요소의 처리 방법
US7267727B2 (en) Processing of semiconductor components with dense processing fluids and ultrasonic energy
US20080004194A1 (en) Processing of semiconductor components with dense processing fluids
KR100853354B1 (ko) 초임계수산화법에 의한 오염된 물품의 세척 방법
US7033068B2 (en) Substrate processing apparatus for processing substrates using dense phase gas and sonic waves
JP4511555B2 (ja) 圧縮及び/又は加圧発泡体、気泡、及び/又は液体を用いて半導体ウェーハを洗浄するための方法及び装置
US6800142B1 (en) Method for removing photoresist and post-etch residue using activated peroxide followed by supercritical fluid treatment
US20080000505A1 (en) Processing of semiconductor components with dense processing fluids
US20070137675A1 (en) Method for removal of flux and other residue in dense fluid systems
US7211553B2 (en) Processing of substrates with dense fluids comprising acetylenic diols and/or alcohols
CN107799391A (zh) 用于高深宽比半导体器件结构的具有污染物去除的无黏附干燥工艺
US20060081273A1 (en) Dense fluid compositions and processes using same for article treatment and residue removal
US7282099B2 (en) Dense phase processing fluids for microelectronic component manufacture
US20090165819A1 (en) Method for treating fine structure, system for treating fine structure, and method for producing electronic device
TWI293482B (en) Method for reducing the formation of contaminants during supercritical carbon dioxide processes
US20030234029A1 (en) Cleaning and drying a substrate
EP1505146A1 (en) Processing of substrates with dense fluids comprising acetylenic diols and/or alcohols
JP2832190B2 (ja) 超臨界および亜臨界流体を用いた洗浄方法
JP2006041065A (ja) 固体噴霧洗浄方法
US20040134885A1 (en) Etching and cleaning of semiconductors using supercritical carbon dioxide
Awad Parts Cleaning
Awad 3 Ultrasonic Cleaning Mechanism

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee