KR20040012352A - 반도체 장치의 제조 방법 - Google Patents

반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR20040012352A
KR20040012352A KR1020020045897A KR20020045897A KR20040012352A KR 20040012352 A KR20040012352 A KR 20040012352A KR 1020020045897 A KR1020020045897 A KR 1020020045897A KR 20020045897 A KR20020045897 A KR 20020045897A KR 20040012352 A KR20040012352 A KR 20040012352A
Authority
KR
South Korea
Prior art keywords
film
insulating film
semiconductor device
manufacturing
interlayer insulating
Prior art date
Application number
KR1020020045897A
Other languages
English (en)
Other versions
KR100464862B1 (ko
Inventor
김주완
이주범
김형수
김신혜
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR10-2002-0045897A priority Critical patent/KR100464862B1/ko
Priority to US10/413,944 priority patent/US6982223B2/en
Publication of KR20040012352A publication Critical patent/KR20040012352A/ko
Application granted granted Critical
Publication of KR100464862B1 publication Critical patent/KR100464862B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

층간절연 물질의 도포후 보이드 형성이 억제되는 반도체 장치의 제조방법이 개시되어 있다. 먼저, 기판상에 다수의 도전성 패턴을 형성하도록 한다. 다음, 상기 도전성 패턴상에 캡핑 절연막을 형성하고 제조되는 캡핑 절연막을 플라즈마 처리하도록 한다. 플라즈마 처리된 캡핑 절연막상에 층간절연물질을 도포하도록 한다. 층간절연 물질의 도포전에 하지막에 대한 막의존성을 감소시킬 수 있게 되어 특히 어스펙트비가 높은 갭에 대한 갭필 특성을 향상시킬 수 있게 된다. 따라서, 기존에 적용되던 층간절연 물질을 그대로 사용함과 동시에 도포 조건을 그대로 유지하면서도 더욱 향상된 갭필 특성을 구현할 수 있어서 갭내에서의 보이드 형성을 억제할 수 있게 된다.

Description

반도체 장치의 제조 방법 {Method of Manufacturing of a Semiconductor Device}
본 발명은 반도체 장치의 제조 방법에 관한 것으로서, 더욱 상세하게는 층간절연 물질의 도포후 하지막의 굴곡 형상으로 인하여 형성된 갭내에서의 보이드 형성을 억제시킬 수 있는 반도체 장치의 제조 방법에 관한 것이다.
근래에 컴퓨터와 같은 정보 매체의 급속한 보급에 따라 반도체 메모리 소자도 비약적으로 발전하고 있다. 그 기능 면에 있어서, 반도체 메모리 소자는 고속으로 동작하는 동시에 대용량의 저장 능력을 가질 것이 요구된다. 이러한 요구에 부응하여 소자의 집적도, 신뢰성 및 응답 속도 등을 향상시키는 방향으로 제조 기술이 발전되어 왔다. 소자의 고집적화를 위해서는 셀 사이즈의 축소는 필연적이며, 각 셀의 사이즈가 감소함에 따라 기판 상에 형성되는 모든 패턴의 사이즈 및 마진도 감소하게 된다. 이에 비하여, 소자의 수직 규모, 즉 소자를 구성하는 각 부재들의 종횡비(aspect ratio)는 더욱 증가하게 된다.
한편, 최근 개발되고 있는 고집적 반도체 소자의 디자인 룰은 약 0.15㎛ 정도의 수준으로 작아지고 있다. 이에 따라, 실리콘에 대한 전기적인 접촉부인 콘택홀의 칫수도 점차 축소되고 있으며, 이러한 디자인 룰에 의하여 스토리지 노드와 트랜지스터의 소스/드레인 영역과의 전기적인 접속을 위한 BC 공정 마진이 크게 제한되고 있다. 현재에는 BC 공정 마진을 확보하기 위하여 자기 정렬(self-align) 방식이 사용되고, 이와 함께 게이트 전극과 스토리지 노드가 연결되는 것을 방지하기 위하여 게이트 전극의 측벽에 스페이서를 사용하고 있으며 이는 더욱 갭 간격을 좁히는 결과를 가져오고 있다. 이러한 갭 필 특성을 도면을 참고로 하여 설명하기로한다.
도 1a 내지 1c에는 종래의 방법에 따라 갭필 물질로서 BPSG 를 적용시 좁은 갭내에 보이드가 형성되는 양상을 나타내는 단면도이다.
먼저 도 1a를 참조하면, 약 1800∼2000Å 두께의 필드산화막(110)에 의해 활성 영역과 필드 영역으로 구분된 반도체 기판(100) 예컨대 실리콘 기판상의 활성 영역 상에 제1 산화막 패턴(121), 제1 도전층 패턴(131), 제2 도전층 패턴(141), 절연막 패턴(151) 및 제2 산화막 패턴(161)으로 이루어진 게이트(170)와 상기 게이트(170)의 측벽에 구비되는 스페이서(180)가 형성되어 있다.
이러한 형상을 갖는 패턴을 형성하기 위한 공정을 간략하게 살펴보면 다음과 같다. 먼저, 반도체 기판(100)의 활성 영역상에 열산화법을 이용하여 게이트 산화막인 제1 산화막을 형성한다. 다음에, 도전막 및 절연막을 차례로 형성한다. 상기 도전막은 예를 들어 불순물이 도핑되어 전도성을 갖는 폴리실리콘막 또는 폴리사이드막으로 형성한다. 상기 폴리사이드막은 제1 도전막으로서 약 800∼1200Å 두께의 도핑된 폴리실리콘막 및 제2 도전막으로서 약 1300∼1700Å 두께의 내화성 금속 실리사이드막(refractory metal silicide layer)으로 구성된다. 상기 내화성 금속 실리사이드막으로는 텅스텐 실리사이드막(WSix), 탄탈륨 실리사이드막(TaSi2), 티타늄 실리사이드막(TiSi2), 코발트 실리사이드막(CoSi2), 몰리브덴 실리사이드막(MoSi2) 등이 널리 사용된다.
상기 절연막은 산화막에 대하여 높은 식각 선택비를 보이는 실리콘 질화막으로 형성하는 것이 바람직하다. 실리콘 질화막은 질화물 예를 들면, 질화 규소(SiN)를 플라즈마 증대 화학기상증착(PE-CVD) 방법을 이용하여 약 800∼1200Å 두께를 갖도록 증착시켜 형성한다. 상기 절연막은 이후 수행되는 식각 공정 및 이온 주입 공정시 상기 도전막을 보호하는 역할을 한다.
이어서, 상기 절연막의 상부에 제2 산화막을 형성한다. 상기 제2 산화막(150)은 고온 산화물(Hot Temperature Oxide: HTO) 예를 들면 산화규소를 저압화학기상증착(LPCVD) 방법을 이용하여 약 800∼1200Å의 두께를 갖도록 증착시켜 형성한다. 상기 제2 산화막은 이후 스페이서를 형성하기 위한 식각 공정시 에칭 스토퍼(etching stopper)로서 작용한다.
이어서 상기 제2 산화막의 상부에 포토레지스트를 도포하여 포토레지스트막을 형성한 후, 통상의 사진 공정에 의해 게이트 전극을 형성하기 위한 포토레지스트 패턴(도시되지 않음)을 형성한다. 이어서, 상기 포토레지스트 패턴을 식각 마스크로 이용하여 제2 산화막, 절연막, 제2 도전막, 제1 도전막, 제1 산화막을 연속적으로 패터닝하여 기판의 소정 영역 상에 서로 소정의 간격을 유지하는 평행한 게이트 패턴(170)을 형성한다. 각각의 게이트 패턴(170)은 제1 및 제2 도전막 패턴(131, 141), 절연막 패턴(151), 제2 산화막 패턴(161)이 차례로 적층된 구조를 갖는다. 게이트 패턴(170)은 게이트 전극이 된다.
이어서 게이트 패턴(170)의 측벽에 스페이서(180)를 형성하도록 한다. 이는 게이트 패턴(170)이 형성된 반도체 기판(100) 전면에 질화규소를 약 1200Å 두께로 증착하여 절연막인 실리콘 질화막(도시되지 않음)을 형성한 다음, 상기 실리콘 질화막을 반도체 기판(100)의 활성 영역이 노출될 때까지 에치백 공정을 실시하여 형성한다. 상기 스페이서(180)를 형성하기 위한 식각 공정을 진행하면 반도체 기판 표면에 식각 손상이 가해진다. 따라서, 상기 식각 손상을 제거하기 위하여 스페이서(180)가 형성된 결과물을 소정의 온도에서 열산화시킨다. 이 때, 게이트 패턴(170) 사이의 반도체 기판(100) 표면에 얇은 열산화막이 성장된다. 형성된 열산화막은 MTO(medium temperature oxide)라 불리우기도 한다.
상기 얇은 열산화막을 스크린 산화막으로 사용하여 게이트 패턴(170) 사이의 반도체 기판(100)에 소오스/드레인 영역(도시하지 않음)을 형성하기 위한 이온 주입 공정을 실시한다. 이온 주입 공정은 소정의 영역에 적절한 불순물의 주입을 위하여 소정 영역을 마스킹하고 수행하도록 한다. 이를 통하여 노출된 반도체 기판(100)의 활성 영역에는 불순물이 주입되고 트랜지스터의 소오스/드레인 영역인 확산 영역이 형성된다. 이온주입 공정시, 상기 게이트 전극(170) 및 그 측면에 형성된 상기 스페이서(180)는 마스크의 역할을 한다.
도 1b를 참고하면, 이어서 상기 스페이서(180)가 형성된 결과물 전면에 캡핑 절연막(190)을, 예컨대 CVD 공정에 의한 실리콘 질화막을 형성한다. 상기 캡핑 절연막(190)은 후속되는 식각 공정시 식각저지막으로서의 기능도 하고 층간절연막 구조에서 BPSG 막의 불순물인 B, P가 실리콘 기판에 침투되는 것을 방지하기 위한 것으로서 50∼150Å 정도의 얇은 두께로 형성하는 것이 바람직하다.
도 1c를 참고하면, 캡핑 절연막(190) 상에 요부를 채우는 특성이 우수한 층간절연물질을 사용하여 층간 절연막(300)을 형성한다. 층간 절연막(300)은 요부인갭(192)을 채우는 특성이 우수한 층간절연물질로서 산화규소, BPSG, PSG, HDP 산화막, TEOS 등을 저압 화학기상증착법 또는 플라즈마 증대 화학기상증착법을 이용하여 증착하고 고온에서 리플로우 공정을 수행한 후, 이어서 증착된 층간절연물질을 CMP 등의 방식을 이용하여 평탄화시킴으로써 형성된다.
상술한 바와 같은 방법에 따라 흐름성이 우수한 갭필 물질을 사용하여 층간 절연막을 형성하면 어스펙트비가 높은 갭도 용이하게 절연 물질로 채울 수 있다는 잇점이 있다. 그런데 이와 같은 플라즈마 CVD 방식에 의하면 플라즈마의 반응성이 우수하기 때문에 하부막과 충분한 접착력으로 부착될 수 있어서 계면에서의 들뜸 현상은 나타나지 않지만 캡핑 절연막 사이의 갭이 작아짐에 따라 보이드(301)가 형성된다는 문제점이 있다. 이러한 보이드는 이후 수행되는 리플로우 공정에 의하여 대부분 제거되지만 완벽한 막질의 층간절연막을 형성하기 위해서는 도포 단계에서부터 보이드의 형성을 억제할 필요가 있다. 리플로우 공정의 수행후에도 잔존하는 보이드는 후속되는 콘택홀 형성 공정시 보이드 내의 잔여물 등에 의한 폴리머의 발생 등으로 인한 콘택에 대한 신뢰성이 저하되는 문제 등을 가져오게 된다.
특히, 반도체 장치가 고집적화 되고 디자인룰이 더욱 미세화됨에 따라 층간절연막은 보다 우수한 갭 필(gap fill) 특성이 요구되고 있으며 패턴과 패턴 사이의 절연 간격에 대한 마진은 계속적으로 줄어들고 있다.
현재에는 상술한 바와 같이 BC 공정 마진을 확보하기 위하여 자기 정렬(self-align) 방식이 사용되고, 이와 함께 게이트 전극과 스토리지 노드가 연결되는 것을 방지하기 위하여 게이트 전극의 측벽에 스페이서를 사용하고 있으며이는 디자인 룰이 작아짐에 따라 갭 간격을 더욱 좁히는 결과를 가져오고 있다. 이는 BPSG 막을 적용하는데 있어서 보이드의 형성을 더욱 가속화 시키는 요인이 되고 있다.
소자의 고집적화에 따라서 요구되는 열부담(heat budget)이 낮아지고 이에 따라 BPSG 리플로우 온도를 낮추게 되면 BPSG의 갭필 능력이 떨어져서 보이드가 발생하게 된다. 특히 BPSG의 경우, 보론과 인의 농도가 높으면 흐름성이 좋아지기 때문에 갭필 능력이 향상되는 특성이 있다. 그러나 BPSG의 갭필 능력을 향상시키기 위하여 보론 및/또는 인의 농도를 증가시키면 형성된 BPSG막이 후속되는 습식 세정에 취약하여 콘택간의 브리지 발생 요인이 되므로 이들의 농도를 증가시킬 수만은 없다.
BPSG의 갭필 능력을 향상시키기 위하여 BPSG 리플로우 온도를 상향시키거나 리플로우 시간을 증가시키는 방법도 있으나, 리플루오 온도나 시간을 증가시키는 것은 소자의 고집적화에 따라 열적 부담에 대한 부담을 가중시키는 결과를 가져오므로 이 또한 적용이 용이하지 않다.
한편, 어스펙트비가 높은 갭을 용이하게 채울 수 있는 다양한 방법들이 다음과 같이 개시되어 있다.
미국 특허 제6,159,870호(issued to Chakravarti et al.)에서는 낮은 열적 부담에 의한 갭필을 위하여 불소를 함유하는 BPSG를 개시하고 있다. 상기 특허에 의하면 어스펙트비가 6:1 이상인 갭이 480℃ 정도의 온도에서 보이드가 거의 없는 FBPSG 막으로 채워질 수 있는 것으로 기재되어 있다.
또한 미국 공개 특허 제20020052119호(Van Cleemput)에서는 고밀도 플라즈마 도포 방식(High Density Plasma deposition process)을 이용하여 BPSG층을 형성함으로써 높은 어스펙트비를 갖는 갭을 채울 수 있는 방법을 개시하고 있다.
상기한 방법들은 모두 좁은 갭을 용이하게 채울 수 있는 기술을 구현하고 있으나, 새로운 요인을 도입하는 기술이므로 요인의 추가에 따른 공정상의 부담이 있으며, 최근의 고집적화에 따라 어스펙트비가 더욱 높은 갭에 대하여도 적용가능한 새로운 방법에 대한 해결 방안이 필요한 실정이다.
본 발명에서는 상기한 바와 같은 종래 기술의 문제점을 감안하여 기존에 적용하던 층간절연 물질을 기존에 적용하던 조건 그대로 적용할 수 있으면서도 갭내의 보이드 형성을 억제할 수 있는 반도체 장치의 제조 방법을 제공하고자 하는 것이다.
본 발명에서는 또한 층간절연막의 도포전에 하지막에 대한 의존성을 감소시키기 위하여 하지막을 플라즈마 처리하고 난 후 층간절연 물질을 도포하도록 함으로써 갭필 특성을 향상시킬 수 있는 반도체 장치의 제조 방법을 제공하는 것을 목적으로 한다.
도 1a 내지 1c는 종래의 방법에 따른 BPSG 막의 적용시 갭내에 보이드가 형성되는 양상을 나타내는 단면도이다.
도 2a 내지 2d는 본 발명의 일실시예에 따른 BPSG 막의 적용시 갭내에 보이드 형성이 억제되는 양상을 나타내는 단면도이다.
도 3a 및 3b는 갭필 물질의 도포후에 LAL 식각액으로 20초 동안 처리한 후 분석한 사진에 대한 도면으로서, 도 3a는 종래의 방법에 따라 갭필 물질을 도포한 경우에 대한 것이고, 도 3b는 본 발명의 방법에 따라 갭필 물질을 도포한 경우에 대한 것이다.
<도면의 주요부분에 대한 부호의 설명>
100, 200: 반도체 기판170, 270: 게이트 패턴
180, 280: 스페이서190, 290: 캡핑 절연막
192, 292: 갭300, 400: 층간절연막
301, 401: 보이드
상기한 본 발명의 목적을 달성하기 위하여 본 발명에서는
기판상에 다수의 도전성 패턴을 형성하는 단계;
상기 절연막 패턴상에 캡핑 절연막을 형성하는 단계;
상기 캡핑 절연막을 플라즈마 처리하는 단계; 및
플라즈마 처리된 캡핑 절연막상에 층간절연물질을 도포하는 단계를 포함하는 반도체 장치의 제조 방법을 제공한다.
특히 상기 캡핑 절연막의 패턴간에 형성된 갭의 어스펙트비(깊이:간격)가 10:1 이상인 경우에 바람직하게 적용될 수 있으며, 더욱 구체적으로는 10:1∼42:1 범위인 갭에 대하여 용이하게 적용될 수 있다. 상기 플라즈마 처리를 위해 사용되는 가스로는 N2, NH3, Ar, H2, N2O 등을 예로 들 수 있다.
상기 층간절연 물질로서는 BPSG(boro- phospho- silicate glass), PSG(phorpho- silicate glass), TEOS(tetraethyl ortho silicate), HDP(high density plasma) 산화막 등이 용이하게 적용되며, 더욱 바람직하게는 BPSG, PSG 등이 적용될 수 있다.
상기한 본 발명의 목적은
기판상에 절연막, 도전막 및 절연막으로 이루어지는 다수의 게이트 패턴을 형성하는 단계;
상기 게이트 패턴의 측벽상에 절연물로 이루어진 스페이서를 형성하는 단계;
얻어지는 결과물의 전면에 캡핑 절연막을 형성하는 단계;
상기 캡핑 절연막을 플라즈마 처리하는 단계; 및
플라즈마 처리된 캡핑 절연막상에 층간절연물질을 도포하는 단계를 포함하는 반도체 장치의 제조 방법에 의해서도 달성될 수 있다.
본 발명에 의하면, 층간절연 물질의 증착전에 하지막에 대한 플라즈마 처리를 하여 층간절연 물질의 형상 스텝 커버리지(conformal step coverage)를 향상시키고 하지막 의존성을 제거하는 것에 의해 층간절연 물질의 도포 상태에서의 갭필 능력을 향상시킴으로써 갭내에서의 보이드 형성을 억제한다.
이하, 본 발명을 첨부된 도면을 참고로 하여 더욱 상세히 설명하기로 한다.
도 2a 내지 2d는 본 발명의 바람직한 일실시예에 따른 BPSG 막의 적용시 갭내에 보이드 형성이 억제되는 양상을 나타내는 단면도들이다. 이를 도 1a 내지 1c에 따른 공정 중에서 동일한 부분은 간략하게 설명하기로 한다. 본 실시예에서는 층간절연 물질로서 폭이 좁은 공간에 대해서도 플로잉 특성이 우수한 BPSG를 예로 들었지만 PSG를 비롯한 다른 물질도 적용가능함은 물론이다.
먼저 도 2a를 참조하면, 약 1800∼2000Å 두께의 필드산화막(210)에 의해 활성 영역과 필드 영역으로 구분된 반도체 기판(200) 예컨대 실리콘 기판상의 활성 영역 상에 열산화법에 의해 형성된 게이트 산화막으로부터 얻어지는 제1 산화막 패턴(221), 약 800∼1200Å 두께의 도핑된 폴리실리콘막으로부터 얻어지는 제1 도전층 패턴(231), 약 1300∼1700Å 두께의 내화성 금속 실리사이드막으로부터 얻어지는 제2 도전층 패턴(241), 질화물 예를 들면, 질화 규소(SiN)를 플라즈마 증대 화학기상증착(PE-CVD) 방법을 이용하여 약 800∼1200Å 두께를 갖도록 증착시켜 형성하여 얻어지는 절연막으로부터 제조되는 절연막 패턴(251) 및 고온 산화물(Hot Temperature Oxide: HTO) 예를 들면 산화규소를 저압화학기상증착(LPCVD) 방법을 이용하여 약 800∼1200Å의 두께를 갖도록 증착시켜 형성되는 제2 산화막으로부터제조되는 제2 산화막 패턴(261)으로 이루어지며 기판의 소정 영역 상에 서로 일정한 간격을 유지하는 평행한 게이트 패턴(270)이 형성되어 있다. 상기 게이트(270)의 측벽에는 스페이서(280)가 형성되어 있다. 이러한 스페이서(280)는 게이트 패턴(270)이 형성된 반도체 기판(200) 전면에 질화규소를 약 1200Å 두께로 증착하여 절연막인 실리콘 질화막(도시되지 않음)을 형성한 다음, 상기 실리콘 질화막을 반도체 기판(200)의 활성 영역이 노출될 때까지 에치백 공정을 실시하여 형성한다.
상기 스페이서(280)를 형성하기 위한 식각 공정을 진행하면 반도체 기판 표면에 식각 손상이 가해진다. 따라서, 상기 식각 손상을 제거하기 위하여 스페이서(280)가 형성된 결과물을 소정의 온도에서 열산화시킨다. 이 때, 게이트 패턴(270) 사이의 반도체 기판(200) 표면에 MTO라 불리우는 얇은 열산화막이 성장된다.
상기 얇은 열산화막을 스크린 산화막으로 사용하여 게이트 패턴(270) 사이의 반도체 기판(200)에 소오스/드레인 영역(도시하지 않음)을 형성하기 위한 이온 주입 공정을 실시한다. 이온 주입 공정은 소정의 영역에 적절한 불순물의 주입을 위하여 소정 영역을 마스킹하고 수행하도록 한다. 이를 통하여 노출된 반도체 기판(200)의 활성 영역에는 불순물이 주입되고 트랜지스터의 소오스/드레인 영역인 확산 영역이 형성된다. 이온주입 공정시, 상기 게이트 패턴(270) 및 그 측면에 형성된 상기 스페이서(280)는 마스크의 역할을 한다.
도 2b를 참고하면, 이어서 상기 스페이서(280)가 형성된 결과물 전면에 캡핑 절연막(290)을, 예컨대 CVD 공정에 의한 실리콘 질화막을 형성한다. 상기 캡핑 절연막(290)은 후속되는 식각 공정시 식각저지막으로서의 기능도 하고 층간절연막 구조에서 BPSG 막의 불순물인 B, P가 실리콘 기판에 침투되는 것을 방지하기 위한 것으로서 50∼150Å 정도의 얇은 두께로 형성하는 것이 바람직하다.
도 2c를 참고하면, 캡핑 절연막(290)의 형성하고 나서, 층간절연막의 형성전에 플라즈마 처리(295)하도록 한다. 이러한 플라즈마 처리를 위해서는 N2, NH3, Ar, H2및 N2O로 이루어진 군에서 선택된 적어도 하나의 가스를 사용하는 것이 바람직하다. 플라즈마 형성을 위한 가스로는 특별한 제한 없이 사용할 수 있으며 이에 따라 플라즈마 형성이 용이한 가스가 바람직하게 적용되는데, 더욱 바람직하게는 NH3가스를 사용하도록 한다.
이러한 플라즈마 처리에 의해서 이후 도포되는 층간절연물질의 하지막에 대한 의존성을 감소시키게 된다. 하지막의 종류는 후속 도포막의 성장 정도에 영향을 준다. 즉, 하지막이 SiN 이냐, 실리콘 기판이냐, HTO냐 등에 따라 도포되는 후속막의 도포량이 동일하더라도 막의 성장 양상과 형성되는 막의 두께는 달라지게 된다. 예를들어 4000Å의 도포량으로 BPSG를 도포시 하지막의 종류에 따라 형성되는 후속막은 약 2500∼4000Å 범위로 달라지게 된다. 후속 도포막의 도포 특성은 하지막의 형상에 따라서도 달라지게 된다. 즉 후속막의 형성시 하지막의 갭이 넓은 부분은 큰 영향이 없지만 갭이 좁은 부분에서는 좁은 갭내로 반응을 위한 가스 물질 등의 유입량이 적으므로 가스의 공급을 충분히 받을 수 있는 영역에 비하여 갭상에 형성되는 후속막의 두께가 더 얇게 형성되는 것을 관찰할 수 있다. 결국 하지막의 특성에 후속막의 성장 특성이 의존적으로 결정되는 것이다.
본 발명의 방법에서와 같이 하지막을 플라즈마 처리 하면 하지막 의존성이 제거된다. 이는 활성이 높은 플라즈마에 의해 하지막의 표면에는 결합이 가능한 댕글링 본드(dangling bond)가 많이 형성되며 이는 후속막과의 결합력을 증가시키는 결과를 가져오기 때문인 것으로 생각된다. 본 발명의 방법에서와 같이 캡핑 절연막의 표면을 플라즈마로 처리해 주면 이후 적용되는 물질과의 반응이 가능하도록 새로운 결합 사이트가 생성되는데, 이러한 사이트를 댕글링 본드라고 한다. SiN막의 경우 Si와 N의 결합이 끊어져서 생성된 댕글링 본드로 인하여 SiN 막의 상부에 적용되는 물질은 SiN 막과 강한 결합력으로 부착가능하게 된다. 결국, 플라즈마에 의해 형성된 댕글링 본드로 인하여 상부에 도포되는 층간절연 물질과의 결합력이 향상되어, 좁은 갭내로도 BPSG와 같은 층간절연 물질이 하지막상에 차곡차곡 잘 채워져 견고한 막을 형성하게 되고, 이는 결국 갭내에서의 보이드 형성을 억제하는 기능을 하는 것으로 이해된다.
상기 플라즈마 처리 조건으로는 특별히 한정적이지는 않으나, 예를 들어 100∼2000sccm 의 유속의 반응 가스에 약 2.6 torr의 압력하, 약 400℃ 온도에서 약 400 Watt의 파워를 약 60초 동안 인가하는 조건을 선택할 수 있다. 본 발명자의 반복적인 실험 결과, 가스의 유속으로는 NH3의 경우 약 300 sccm, N2와 NH3의 혼합 가스인 경우 N2가 약 1000∼2000sccm, NH3가 약 300 sccm 인 경우가 적용이 용이함을 확인할 수 있었다. 본 실시예에서는 NH3를 플라즈마 소스 가스로 사용하였다.
도 2d를 참고하면, 플라즈마 처리가 완성된 캡핑 절연막(290) 상에 요부를 채우는 특성이 우수한 층간절연 물질을 사용하여 층간 절연막(400)을 형성한다. 층간 절연막(400)은 요부인 갭(292)을 채우는 특성이 우수한 층간절연물질로서 산화규소, BPSG, PSG, HDP 산화막, TEOS 등을 저압 화학기상증착법 또는 플라즈마 증대 화학기상증착법을 이용하여 증착하도록 한다. 이와 같이 본 발명의 방법에 따라 층간 절연막을 형성하면 도포후 도 2d에 나타난 바와 같이 보이드의 형성이 거의 없는 양호한 층간절연막이 형성된다.
본 발명에서와 같은 플라즈마 처리에 의하면 갭필 특성이 향상되어 좁은 갭내에서의 보이드 형성이 억제되는 효과를 얻을 수 있는데, 특히 갭의 사이즈가 어스펙트비로 10:1 이상인 경우, 구체적으로는 10:1∼42:1 정도의 범위로 매우 좁은 갭에 대하여 적용시에도 기존 공정 대비 만족할 만한 효과를 얻을 수 있다. 더욱 구체적으로는 갭의 깊이가 약 3500∼4200Å 범위이고, 갭의 폭이 약 100∼300Å 범위인 경우에 본 발명이 용이하게 적용될 수 있다. 이렇게 높은 어스펙트비를 갖는 갭의 경우에는 특히 갭필 공정에 의한 보이드 형성을 피할 수 없는데, 본 발명에서와 같은 적용은 시행된 예가 없다.
이후 고온에서 리플로우 공정을 수행한 후, 이어서 증착된 층간절연물질을 CMP 등의 방식을 이용하여 평탄화시킴으로써 형성된다.
최근에 통상적으로 적용되는 BPSG의 경우, B의 바람직한 농도는 4.0∼5 wt% 범위이고 더욱 바람직하게는 4.75 wt% 이며, P의 바람직한 농도는 3.5∼4.5 wt% 범위이고 더욱 바람직하게는 4.0 wt% 이며, 도포 온도는 약 460℃ 조건으로 한다. 보이드 제거를 위한 리플로우 조건은 스팀 분위기하(H2/O2)에서 약 815℃에서 약 15분 정도이다.
층간절연막이 완성되면 이후 콘택홀이 형성될 부분을 노출시키기 위하여 평탄화된 층간절연막을 패터닝할수 있도록 소정 형상의 포토레지스트 패턴을 형성하도록 한다. 형성된 포토레지스트 패턴을 식각 마스크로 이용하여 층간절연막(180)을 식각하여 층간절연막 패턴을 형성함과 동시에 게이트 패턴 사이의 기판을 노출시킨다. 즉, 게이트 패턴들(170) 사이의 소오스/드레인 영역을 노출시켜 자기정렬 콘택홀을 형성하도록 한다. 상기 층간 절연막을 식각하는 공정은 이온화율이 높은 ICP, TCP, SWP, DRM 등의 식각 장비에서 탄소/불소의 비율이 높은 C3F8, C4F8, CO 등의 혼합가스를 이용하여 수행한다. 이어서, 콘택홀을 포함하는 층간 절연막 패턴이 형성된 기판의 전면에 도전성 물질을 증착시켜 콘택 또는 스토리지 전극(도시되지 않음)을 형성하게 되는 것이다.
상술한 바와 같은 본 발명의 방법에 따라 층간절연막의 형성시 보이드 형성이 억제되는 효과를 종래의 방법에 따라 형성된 층간절연막에 대한 결과와 비교하여 살펴보기로 한다.
도 3a 및 3b에는 갭필 물질의 도포후에 LAL 식각액으로 20초 동안 처리한 후 수직 SEM 사진을 분석한 사진에 대한 도면으로서, 도 3a는 종래의 방법에 따라 갭필 물질을 도포한 경우에 대한 것이고, 도 3b는 본 발명의 방법에 따라 갭필 물질을 도포한 경우에 대한 것이다. LAL 식각액은 HF, NH4F 및 DI(탈이온수)의 혼합액으로서 습식 식각액으로 사용되는 용액이다. 이는 소자에 형성된 틈사이로 스며들어서 옥사이드를 깍아내는 특성을 가지고 있어서 보이드를 용이하게 관찰할 수 있게 해준다. 이러한 보이드의 형성 여부는 SEM 사진으로도 관찰가능하여, 수직 단면 사진으로 관찰시에는 도 1c 및 2d에서와 같이 나타나는 사진을 분석하여 확인할 수 있다. 또한 상면으로부터의 수평 단면 사진으로 관찰시에는 산화막이 형성된 부분은 검은색으로, 산화막이 형성되지 못하고 보이드가 존재하는 부분은 검은색이 거의 사라진 형태로 관찰된다.
이러한 여러 가지 자료중 LAL 식각액을 적용한 후 분석한 사진의 경우, 상이한 막질이 집적되면서 형성된 틈사이로 식각액이 침투하여 막을 식각하므로 막간격이 더욱 벌어져서 관찰이 용이함을 알 수 있으며, 무엇보다도 갭 사이에 형성된 보이드가 식각되어 더욱 커짐에 따라 작은 틈형상으로 형성된 보이드의 형성 유무를 용이하게 관찰 가능하다.
도 3a를 참고하면, 종래의 방법에 따라 층간절연막(300)을 형성한 경우에는 갭사이에 형성된 보이드(301)가 거의 모든 갭내에 크게 형성되어 있음을 확인할 수 있으며, 도 3b를 참고하면, 본 발명의 방법에 따라 플라즈마 처리후에 층간절연막(400)을 형성한 경우에는 갭사이에 보이드(401)가 형성되기는 하나 도 3a와 비교하면 매우 미미함을 확인할 수 있다.
본 발명의 방법에 따른 상기 플라즈마 처리는 상술한 바와 같이 층간절연 물질의 도포 공정과 별도로 수행될 수도 있으나 인-시튜(In-situ)로 수행될 수도 있다. 인-시튜 공정은 다음에 기술하는 두가지 방식중 어느 한 가지 방식으로 수행될수 있는 것이다. 이러한 인-시튜 방식에 의하여 본 발명의 방법에 따른 플라즈마 처리를 용이하게 수행할 수 있을 것이다.
먼저, 층간절연 물질의 도포를 위한 챔버내에 장착된 웨이퍼는 하지막의 형성후, 웨이퍼 암에 의하여 플라즈마 챔버로 이동되어 플라즈마 처리된 후 다시 층간절연 물질의 도포를 위한 챔버내로 이동되어 연속하여 후속 도포 공정을 수행하는 방식이다.
다르게는, 챔버내로 플라즈마 가스를 주입시켜 주어 층간절연 물질의 도포전에 챔버내에서 하지막에 대한 플라즈마 처리를 수행하고, 곧이어서 층간절연 물질을 도포하는 방식이다. 이 경우, 플라즈마 처리 온도는 400∼540℃ 범위가 되도록 하는 것이 바람직하다. BPSG의 경우, 도포 온도가 약 460℃ 정도이며 플라즈마 처리 온도는 약 400℃ 이다. 이 때 플라즈마 처리 온도는 540℃ 정도 까지 증가시킬 수 있는데, 온도가 상승될수록 BPSG 막의 하지막에 대한 의존성을 더욱 용이하게 감소시킬 수 있다. 따라서, 챔버내의 온도를 540℃ 까지 증가시키고 플라즈마 처리한 후, 인-시튜로 BPSG 도포 공정을 수행하면 도포 특성이 더욱 개선될 것이다.
이상과 같은 하지막에 플라즈마 처리후에 층간절연 물질을 적용하여 층간절연막을 형성하는 공정은, 실시예로 설명한 ILD(interlayer dielectric) 구조 뿐만아니라 STI(shallow trench isolation) 구조에도 동일한 원리를 적용할 수 있음은 물론이다.
이상과 같은 본 발명에 의하면 층간절연 물질의 도포전에 플라즈마 처리를수행함으로써 하지막에 대한 막의존성을 감소시킬 수 있게 되어 형상 스텝 커버리지를 향상시킬 수 있으며, 이에 따라 특히 어스펙트비가 높은 갭에 대한 갭필 특성을 향상시킬 수 있게 된다.
이에 더하여, 기존에 적용되던 층간절연 물질을 그대로 사용함과 동시에 도포 조건, 리플로우 조건 등을 그대로 유지하면서도 더욱 향상된 갭필 특성을 구현할 수 있어서 갭내에서의 보이드 형성을 억제할 수 있게 된다.
상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.

Claims (15)

  1. 기판상에 다수의 도전성 패턴을 형성하는 단계;
    상기 도전성 패턴상에 캡핑 절연막을 형성하는 단계;
    상기 캡핑 절연막을 플라즈마 처리하는 단계; 및
    플라즈마 처리된 캡핑 절연막상에 층간절연물질을 도포하는 단계를 포함하는 반도체 장치의 제조 방법.
  2. 제1항에 있어서, 상기 캡핑 절연막의 패턴간에 형성된 갭의 어스펙트비 (깊이:간격)가 10:1∼42:1 범위인 것을 특징으로 하는 반도체 장치의 제조 방법.
  3. 제1항에 있어서, 상기 플라즈마 처리를 위해 사용되는 가스가 N2, NH3, Ar, H2및 N2O로 이루어진 군에서 선택된 적어도 하나인 것을 특징으로 하는 반도체 장치의 제조 방법.
  4. 제1항에 있어서, 상기 층간절연물질이 BPSG(boro- phospho- silicate glass), PSG(phorpho- silicate glass), TEOS(tetraethyl ortho silicate) 및 HDP(high density plasma) 산화막으로 이루어진 군에서 선택된 어느 하나인 것을 특징으로 하는 반도체 장치의 제조 방법.
  5. 제1항에 있어서, 상기 캡핑 절연막이 SiN 막인 것을 특징으로 하는 반도체 장치의 제조 방법.
  6. 제1항에 있어서, 상기 도전성 패턴의 측벽상에 절연물로 이루어진 스페이서를 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  7. 제1항에 있어서, 상기 플라즈마 처리 및 층간절연물질의 도포가 인-시튜 공정으로 수행되는 것을 특징으로 하는 반도체 장치의 제조 방법.
  8. 제1항에 있어서, 상기 플라즈마 처리시의 처리 온도가 400∼540℃ 범위인 것을 특징으로 하는 반도체 장치의 제조 방법.
  9. 기판상에 절연막, 도전막 및 절연막으로 이루어지는 다수의 게이트 패턴을 형성하는 단계;
    상기 게이트 패턴의 측벽상에 절연물로 이루어진 스페이서를 형성하는 단계;
    얻어지는 결과물의 전면에 캡핑 절연막을 형성하는 단계;
    상기 캡핑 절연막을 플라즈마 처리하는 단계; 및
    플라즈마 처리된 캡핑 절연막상에 층간절연물질을 도포하는 단계를 포함하는 반도체 장치의 제조 방법.
  10. 제9항에 있어서, 상기 캡핑 절연막의 패턴간에 형성된 갭의 어스펙트비(깊이:간격)가 10:1∼42:1 범위인 것을 특징으로 하는 반도체 장치의 제조 방법.
  11. 제9항에 있어서, 상기 플라즈마 처리를 위해 사용되는 가스가 N2, NH3, Ar, H2및 N2O로 이루어진 군에서 선택된 적어도 하나인 것을 특징으로 하는 반도체 장치의 제조 방법.
  12. 제9항에 있어서, 상기 층간절연물질이 BPSG(boro- phospho- silicate glass), PSG(phorpho- silicate glass), TEOS(tetraethyl ortho silicate) 및 HDP(high density plasma) 산화막으로 이루어진 군에서 선택된 어느 하나인 것을 특징으로 하는 반도체 장치의 제조 방법.
  13. 제9항에 있어서, 상기 캡핑 절연막이 SiN 막인 것을 특징으로 하는 반도체 장치의 제조 방법.
  14. 제9항에 있어서, 상기 플라즈마 처리 및 층간절연물질의 도포가 인-시튜 공정으로 수행되는 것을 특징으로 하는 반도체 장치의 제조 방법.
  15. 제14항에 있어서, 상기 플라즈마 처리시의 처리 온도가 400∼540℃ 범위인 것을 특징으로 하는 반도체 장치의 제조 방법.
KR10-2002-0045897A 2002-08-02 2002-08-02 반도체 장치의 제조 방법 KR100464862B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR10-2002-0045897A KR100464862B1 (ko) 2002-08-02 2002-08-02 반도체 장치의 제조 방법
US10/413,944 US6982223B2 (en) 2002-08-02 2003-04-15 Method of manufacturing a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2002-0045897A KR100464862B1 (ko) 2002-08-02 2002-08-02 반도체 장치의 제조 방법

Publications (2)

Publication Number Publication Date
KR20040012352A true KR20040012352A (ko) 2004-02-11
KR100464862B1 KR100464862B1 (ko) 2005-01-06

Family

ID=31884881

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2002-0045897A KR100464862B1 (ko) 2002-08-02 2002-08-02 반도체 장치의 제조 방법

Country Status (2)

Country Link
US (1) US6982223B2 (ko)
KR (1) KR100464862B1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100710188B1 (ko) * 2005-12-28 2007-04-20 동부일렉트로닉스 주식회사 고전압용 반도체소자의 제조방법
KR100713314B1 (ko) * 2005-12-28 2007-05-04 동부일렉트로닉스 주식회사 반도체 소자의 pmd 제조방법
KR101017193B1 (ko) * 2008-09-18 2011-02-25 주식회사 동부하이텍 반도체 소자 형성 방법, ild라이너 형성 방법
KR101033981B1 (ko) * 2004-05-21 2011-05-11 주식회사 하이닉스반도체 반도체 소자의 형성 방법

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7344965B2 (en) * 2003-12-10 2008-03-18 International Business Machines Corporation Method of etching dual pre-doped polysilicon gate stacks using carbon-containing gaseous additions
KR100655751B1 (ko) * 2004-10-01 2006-12-11 삼성전자주식회사 반도체 장치의 제조 방법
KR100762243B1 (ko) * 2006-09-19 2007-10-01 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR101832545B1 (ko) * 2014-09-18 2018-02-26 삼성전기주식회사 칩 전자부품
US9919915B2 (en) * 2016-06-14 2018-03-20 Invensense, Inc. Method and system for MEMS devices with dual damascene formed electrodes

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5652187A (en) * 1991-10-30 1997-07-29 Samsung Electronics Co., Ltd. Method for fabricating doped interlayer-dielectric film of semiconductor device using a plasma treatment
JPH0750295A (ja) * 1993-08-05 1995-02-21 Fujitsu Ltd 半導体装置の製造方法
US5872401A (en) * 1996-02-29 1999-02-16 Intel Corporation Deposition of an inter layer dielectric formed on semiconductor wafer by sub atmospheric CVD
US6071830A (en) * 1996-04-17 2000-06-06 Sony Corporation Method of forming insulating film
KR100273224B1 (ko) * 1997-09-04 2000-12-15 김영환 반도체소자의평탄화막형성방법
US5962344A (en) * 1997-12-29 1999-10-05 Vanguard International Semiconductor Corporation Plasma treatment method for PECVD silicon nitride films for improved passivation layers on semiconductor metal interconnections
US6165897A (en) * 1998-05-29 2000-12-26 Taiwan Semiconductor Manufacturing Company Void forming method for fabricating low dielectric constant dielectric layer
US6159870A (en) * 1998-12-11 2000-12-12 International Business Machines Corporation Borophosphosilicate glass incorporated with fluorine for low thermal budget gap fill
US6175808B1 (en) * 1999-02-19 2001-01-16 The Aerospace Corporation Lightning effects monitoring and retest evaluation method
KR20010010919A (ko) * 1999-07-23 2001-02-15 김영환 반도체 소자의 층간절연막 형성방법
US6174808B1 (en) * 1999-08-04 2001-01-16 Taiwan Semiconductor Manufacturing Company Intermetal dielectric using HDP-CVD oxide and SACVD O3-TEOS
TW483111B (en) * 2001-06-08 2002-04-11 Promos Technologies Inc Method for forming contact of memory device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101033981B1 (ko) * 2004-05-21 2011-05-11 주식회사 하이닉스반도체 반도체 소자의 형성 방법
KR100710188B1 (ko) * 2005-12-28 2007-04-20 동부일렉트로닉스 주식회사 고전압용 반도체소자의 제조방법
KR100713314B1 (ko) * 2005-12-28 2007-05-04 동부일렉트로닉스 주식회사 반도체 소자의 pmd 제조방법
KR101017193B1 (ko) * 2008-09-18 2011-02-25 주식회사 동부하이텍 반도체 소자 형성 방법, ild라이너 형성 방법

Also Published As

Publication number Publication date
US20040038516A1 (en) 2004-02-26
US6982223B2 (en) 2006-01-03
KR100464862B1 (ko) 2005-01-06

Similar Documents

Publication Publication Date Title
TWI249774B (en) Forming method of self-aligned contact for semiconductor device
US6664580B2 (en) Buried PIP capacitor for mixed-mode process
KR100276387B1 (ko) 반도체 장치의 자기정렬 콘택 형성 방법
US6849539B2 (en) Semiconductor device and method of fabricating the same
US7033908B2 (en) Methods of forming integrated circuit devices including insulation layers
US20060284277A1 (en) Semiconductor device including bit line formed using damascene technique and method of fabricating the same
US6649503B2 (en) Methods of fabricating integrated circuit devices having spin on glass (SOG) insulating layers and integrated circuit devices fabricated thereby
US6551901B1 (en) Method for preventing borderless contact to well leakage
KR100464862B1 (ko) 반도체 장치의 제조 방법
US6686286B2 (en) Method for forming a borderless contact of a semiconductor device
KR20000013397A (ko) 트렌치 격리 형성 방법
KR20010019012A (ko) 반도체 장치용 자기 정렬 콘택 패드 형성 방법
US7098515B1 (en) Semiconductor chip with borderless contact that avoids well leakage
US6225216B1 (en) Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide
US6436806B2 (en) Semiconductor device manufacturing method for preventing electrical shorts between lower and upper interconnection layers
JPH11307625A (ja) 半導体装置およびその製造方法
KR20070039645A (ko) 불 휘발성 메모리 장치의 플로팅 게이트 형성 방법
JPH09120990A (ja) 接続孔の形成方法
KR100672761B1 (ko) 콘택 플러그 형성방법
KR20030088750A (ko) 저온에서 질화막을 형성하는 고집적 디바이스의 제조 방법
KR100670652B1 (ko) 반도체 소자의 콘택 플러그 형성방법
KR100620063B1 (ko) 반도체 소자의 제조방법
KR100575616B1 (ko) 반도체소자의 무경계 콘택홀 형성방법
KR100881749B1 (ko) 반도체 소자의 제조 방법
KR20060112772A (ko) 반도체 장치의 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121130

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20131129

Year of fee payment: 10