KR20020005511A - 성막장치 및 발광장치 제작방법 - Google Patents

성막장치 및 발광장치 제작방법 Download PDF

Info

Publication number
KR20020005511A
KR20020005511A KR1020010041098A KR20010041098A KR20020005511A KR 20020005511 A KR20020005511 A KR 20020005511A KR 1020010041098 A KR1020010041098 A KR 1020010041098A KR 20010041098 A KR20010041098 A KR 20010041098A KR 20020005511 A KR20020005511 A KR 20020005511A
Authority
KR
South Korea
Prior art keywords
forming apparatus
film forming
chamber
processing chamber
pressure
Prior art date
Application number
KR1020010041098A
Other languages
English (en)
Other versions
KR100865543B1 (ko
Inventor
야마자키순페이
Original Assignee
야마자끼 순페이
가부시키가이샤 한도오따이 에네루기 켄큐쇼
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 야마자끼 순페이, 가부시키가이샤 한도오따이 에네루기 켄큐쇼 filed Critical 야마자끼 순페이
Publication of KR20020005511A publication Critical patent/KR20020005511A/ko
Application granted granted Critical
Publication of KR100865543B1 publication Critical patent/KR100865543B1/ko

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material
    • H10K71/12Deposition of organic active material using liquid deposition, e.g. spin coating
    • H10K71/13Deposition of organic active material using liquid deposition, e.g. spin coating using printing techniques, e.g. ink-jet printing or screen printing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/12Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof structurally associated with, e.g. formed in or on a common substrate with, one or more electric light sources, e.g. electroluminescent light sources, and electrically or optically coupled thereto
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material
    • H10K71/18Deposition of organic active material using non-liquid printing techniques, e.g. thermal transfer printing from a donor sheet
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass

Abstract

본 발명은 인쇄법을 채용할 경우에 EL 형성 재료 중의 용매의 휘발성과 관련하여 생기는 문제점을 해결하는 것을 그 목적으로 한다. EL 층은 인쇄법에 의해 발광장치의 화소부에 형성된다. EL 층을 형성할 때에 인쇄실을 가압하여 대기압 이상의 압력에 도달시키고, 인쇄실을 불활성 가스로 충전시키거나 용매 분위기로 설정한다. 그와 같이 하여, 인쇄법에 의해 EL 층을 형성함에 있어서의 어려움을 배제시키게 된다.

Description

성막장치 및 발광장치 제작방법{Film forming apparatus and method of manufacturing light emitting device}
본 발명은 양극, 음극, 및 그 양극과 음극과의 사이에 개재되어 EL(전계 발광; electro luminescence)을 제공하는 발광 재료, 특히 자기 발광 재료로 이루어진 EL 소자의 제조에 사용되는 성막장치 및 성막방법에 관한 것이다. 여기에서, EL 재료란 전계가 걸릴 때에 형광 또는 인광을 제공하는 재료를 지칭한다.
본 발명에 있어서, 발광장치란 EL 소자를 사용한 화상 표시장치 또는 발광장치를 지칭한다. 다음의 모듈들도 역시 모두 그와 같이 정의된 발광장치 중에 포함된다: 이방성 도전막(FPC(flexible printed circuit); 가요성 인쇄 회로), TAB(tape automated bonding; 테이프 자동화 실장) 테이프, 또는 TCP(tape carrier package; 테이프 캐리어 패키지)와 같은 커넥터를 EL 소자에 부착함으로써 얻어진 모듈; 인쇄 배선 기판이 TAB 테이프 또는 TCP의 단부에 마련된 모듈; 및 IC(집적회로)가 COG(chip on glass) 방법에 의해 발광장치에 직접 장착된 모듈.
근년, 기판 상에 반도체 소자를 형성하는 기법에 상당한 진전이 있었고, 반도체 소자를 액티브 매트릭스 표시장치(발광장치)에 적용하려는 기법이 개발 중에 있다. 반도체 소자란 반도체 재료로 이루어지고 스위칭 기능이 있는 단일 소자 또는 다수의 소자를 지칭한다. 그러한 반도체 소자의 예로서 트랜지스터, 특히 전계 트랜지스터를 들 수 있는데, 그 대표적인 예는 MOS(금속 산화물 반도체) 트랜지스터 및 박막 트랜지스터(TFT)이다. 다결정 규소막으로 형성된 TFT는 그 TFT의 전계 효과 이동도(이동도로도 지칭됨)가 비정질 규소막으로 형성된 종래의 TFT의 그것보다 높기 때문에 고속으로 작동될 수 있다. 그에 의해, 종래와 같이 기판의 외부에 있는 구동 회로를 사용하는 대신에 화소와 동일한 기판 상에 형성된 구동 회로에 의해 화소를 제어하는 것이 가능하게 된다.
상기한 바와 같은 액티브 매트릭스 표시장치는 동일한 기판 상에 형성된 다수의 회로 및 소자를 구비하고, 그에 의해 제조 비용의 감소, 전기 광학 장치의 소형화, 수율의 상승, 및 단위 시간당 작업 처리량의 증대라는 장점을 비롯한 다수의 장점이 얻어진다.
한편, 활발한 연구가 진행 중에 있는 발광장치는 EL 소자를 자기 발광 소자로서 구비하는 액티브 매트릭스 발광장치(EL 표시장치로도 지칭됨)이다.
본 명세서에 있어서, 발광장치의 EL 소자는 EL 층이 한 쌍의 전극(양극과 음극) 사이에 개재되는 구조로 된다. EL 층은 일반적으로 적층 구조를 취한다. 적층 구조의 대표적인 예는 Eastman Kodak Company의 Tang 등에 의해 제안된 것으로,홀 이송 층, 발광 층, 및 전자 이송 층으로 이루어진다. 그러한 구조는 현재 개발 중에 있는 거의 모든 발광장치에 채용될 정도로 높은 발광 효율을 나타낸다.
적층 구조의 다른 예는 홀 주입 층, 홀 이송 층, 발광 층, 및 전자 이송 층으로 이루어져 그 순서대로 양극 상에 적층된 구조, 홀 주입 층, 홀 이송 층, 발광 층, 전자 이송 층, 및 전자 주입 층으로 이루어져 그 순서대로 양극 상에 적층된 구조이다. 발광 층은 형광 색소 등으로 도핑될 수 있다.
본 명세서에 있어서, 음극과 양극과의 사이에 개재된 모든 층은 총괄하여 EL 층으로서 지칭된다. 따라서, 상기한 홀 주입 층, 홀 이송 층, 발광 층, 전자 이송 층, 전자 주입 층 등은 모두 EL 층 중에 포함된다.
상기한 구조로 된 EL 층에는 한 쌍의 전극으로부터 미리 정해진 전압이 인가되고, 그에 의해 발광 층에서 캐리어의 재결합이 일어나 발광이 이루어지게 된다. 본 명세서에서의 EL 층이란 양극, EL 층, 및 음극으로 이루어진 발광 소자를 지칭한다.
EL 소자의 EL층은 열, 빛, 수분, 산소 등에 의해 열화된다. 따라서, 액티브 매트릭스 발광장치의 제조 시에는 일반적으로 배선 및 TFT를 형성한 후에 EL 소자를 형성된다.
상기한 EL 층은 각종의 방법에 의해 형성될 수 있다. 지금까지 제안된 그러한 방법의 예는 진공 증착법, 스퍼터링법, 스핀 코팅법, 롤 코팅법, 캐스팅 방법, LB 방법, 이온 도금법, 침지법, 잉크젯 방법, 및 인쇄법을 포함한다. 인쇄법은 EL 층을 선택적으로 형성할 수 있기 때문에 특히 효과적인 방법이다.
EL 소자를 형성한 후에는 그 위에 EL 소자가 형성된 기판(EL 패널)을 외기에 EL 소자를 노출시킴이 없이 밀봉 부재 등으로 밀봉(패키징)함으로써 덮개 부재에 접합한다.
패키징 또는 기밀성을 향상시키기 위한 기타의 공정을 실행한 후에는 기판 상에 형성된 소자 또는 회로부터 인도된 단자를 외부 신호 단자에 접속하기 위해 커넥터(FPC, TAB 등)를 부착한다. 그와 같이 하여, 액티브 매트릭스 발광장치를 완성시킨다.
EL 층을 형성하는데 인쇄법을 채용할 경우, EL 재료를 용해시키기 위한 용매의 휘발성이 매우 높으면 시간의 경과에 따라 인쇄 재료가 변질된다. 그로 인해, 다량의 기판을 처리하는 것이 곤란하게 된다.
본 발명의 목적은 인쇄법에 의해 EL층을 형성할 때에 생기는 상기한 문제점을 해결하기 위한 방안을 제공하는 것이다.
도 1A 내지 도 1C는 활판 인쇄법의 원리를 설명한 도면;
도 2는 멀티체임버 성막장치를 나타낸 도면;
도 3A 내지 도 3C는 액티브 매트릭스 발광장치의 제작공정을 나타낸 도면;
도 4A 내지 도 4C는 액티브 매트릭스 발광장치의 제작공정을 나타낸 도면;
도 5A 및 도 5B는 액티브 매트릭스 발광장치의 제작공정을 나타낸 도면;
도 6A 및 도 6B는 발광장치의 밀봉 구조를 나타낸 도면;
도 7A 및 도 7B는 인라인(in-line) 성막장치를 나타낸 도면;
도 8A 내지 도 8D는 다색 인쇄법을 설명한 도면;
도 9는 멀티체임버 성막장치를 나타낸 도면;
도 10A 내지 도 10F는 전기장치의 특정 예를 나타낸 도면;
도 11A 내지 도 11C는 전기장치의 특정 예를 나타낸 도면;
도 12는 압력 조정 기구를 설명한 도면;
도 13은 압력 조정 기구를 설명한 도면.
<도면의 주요 부분에 대한 부호의 설명>
200 : 게이트 201 : 이송실
202 : 이송기구(A) 203 : 기판
204 : 반입실 205 : 인쇄실
206 : 인쇄 유닛 207 : 건조실
208 : 핫 플레이트 유닛 209 : 증착실
210 : 성막 유닛 211 : 밀봉실
212 : 자외선 조사 기구 213 : 인도실
214 : 이송기구(B)
그러한 목적을 달성하기 위해, 본 발명은 인쇄법에 의해 EL 층을 형성하기 위한 처리실(인쇄실로서도 지칭됨) 중의 압력을 대기압(정상 압력) 또는 가압에 의해 대기압보다 더 높은 압력으로 설정한 채로 인쇄법에 의해 EL 층을 형성하는 것을 그 특징으로 한다. 처리실은 압력 조정 기구에 접속된다. 본 발명에 따른 압력 조정 기구는 처리실 중의 압력을 대기압 또는 대기압에 가까운 압력(전형적으로 1 내지 2 기압, 바람직하게는 1.1 내지 1.5 기압)으로 유지하는 기능을 한다.
특히, 압력 조정 기구는 가스를 압축하여 그 압축된 가스를 처리실로 도입하기 위한 압축기 및 처리실 중의 압력을 측정한 후에 그 측정된 압력에 따라 배기 밸브를 개폐하기 위한 센서로 이루어진다. 여기에서, 배기 밸브란 처리실로부터 가스를 배출시키기 위한 밸브를 지칭한다. 본 명세서에서의 센서란 처리실 중의 압력을 측정하여 그 측정치에 따라 제어 신호를 입력하기 위한 장치를 의미한다. 그 때의 센서로부터의 제어 신호는 배기 밸브에 입력되어 그 개폐를 제어하게 된다.
또는, 압력 조정 기구는 처리실을 가열하여 그 처리실을 가압시킴으로써 처리실 중의 압력을 원하는 압력으로 설정하기 위한 히터를 구비할 수 있다. 그 경우, 센서로부터의 신호는 가변 저항기에 입력되어 전원으로부터 히터에 제공되는 전력을 제어하게 된다.
또한, 본 발명은 처리실이 불활성 가스로 충전되거나 EL 층을 형성하는 용매 압력으로 설정되는 것을 그 특징으로 한다.
불활성 가스는 반응성이 불량한 가스, 특히 아르곤과 헬륨과 같은 희가스 또는 질소이다. 용매 압력이란 공간 또는 처리실이 가스 상태의 용매로 충전된 상태를 지칭한다.
아울러, 본 발명은 EL 층을 형성하기 위한 처리실(인쇄실)에 추가하여 인쇄법에 의해 형성된 EL 층을 건조시키기 위한 처리실(건조실), EL 소자의 양극 또는 음극을 형성하기 위한 처리실(증착실), 및 완성된 EL 소자를 밀봉하기 위한 처리실(밀봉실)이 마련되어 모든 처리가 단일의 장치에 의해 취급될 수 있도록 한성막장치를 제공하는 것을 그 특징으로 한다.
본 명세서에서의 인쇄법이란 활판 인쇄, 평판 인쇄, 또는 스크린 인쇄(실크스크린)와 같은 인쇄법을 채용하여 전극 상에 EL 층을 형성하는 방법을 지칭한다. EL 층을 형성하는데 특히 바람직한 것은 활판 인쇄이다. 이제, 도 1A 내지 도 1C를 참조하여 활판 인쇄를 채용한 본 발명에 따른 인쇄법(활판 인쇄법)에 관해 설명하기로 한다.
도 1A는 본 발명에 따른 활판 인쇄법에 의해 EL 층을 형성하기 위한 처리실을 나타낸 것이다. 본 명세서에서는 인쇄법에 의해 EL 층을 형성하기 위한 인쇄 장치를 구비하는 처리실이 처리실로서 지칭된다. 도 1A의 처리실은 도면 부호 "118"로 지시되어 있다.
도 1A 내지 도 1C에서, 도면 부호 "110"은 아닐록스 롤(anilox roll)을, 그리고 도면 부호 "111"은 닥터 바(doctor bar)(닥터 블레이드(doctor blade)로도 지칭됨)를 각각 지시하고 있다. 닥터 바(111)에 의해 EL 재료와 용매와의 혼합물(이후로 그러한 혼합물은 EL 형성 재료(112)로서 지칭됨)이 아닐록스 롤(110)의 표면 부근에 고이게 된다. 여기서 EL 재료란 형광 유기 화합물, 즉, 일반적으로 홀 주입 층, 홀 이송 층, 발광 층, 전자 이송 층, 또는 전자 주입 층으로서 불리는 유기 화합물을 지칭한다.
아닐록스 롤(110)의 표면 상에는 도 1B에 도시된 바와 같이 망상 홈(이후로 망상체로서 지칭됨)(110a)이 마련된다. 망상체(110a)는 아닐록스 롤(100)이 "A" 화살표로 지시된 방향으로 회전하는 것을 통해 EL 형성 재료(112)를 아닐록스롤(110)의 표면에 유지시킨다. 도 1A에서 아닐록스 롤(110)의 전 표면에 걸쳐 도시된 점선은 아닐록스 롤(110)의 표면에 유지된 EL 형성 재료를 나타낸다.
도면 부호 "113"은 인쇄 롤을, 그리고 도면 부호 "114"는 활판 인쇄판을 각각 지시하고 있다. 활판 인쇄판(114)은 에칭 등에 의해 얻어진 울퉁불퉁한 표면을 구비한다. 그러한 울퉁불퉁한 표면은 도 1C에 도시되어 있다. 도 1C에서, 단일의 기판 상에 여러 매의 발광장치를 제조하기 위해 활판 인쇄판(114) 상의 상이한 지점에 화소부 패턴(114a)이 형성된다. 화소부 패턴(114a0의 확대도를 살펴보면, 각각의 패턴은 다수의 화소에 해당하는 위치에 볼록부(114b)를 구비한다.
아닐록스 롤(110)은 회전하여 EL 형성 재료(12)를 망상체(110a) 내에 계속적으로 유지시킨다. 한편, 인쇄 롤(113)은 "B" 화살표로 지시된 방향으로 회전하고, 활판 인쇄판(114) 상의 볼록부(114b) 만이 망상체(110a)에 접촉된다. 그러한 접촉 시에 EL 형성 재료(112)가 볼록부(114b)의 표면에 도포된다.
EL 형성 재료(112)는 볼록부(114b)가 인쇄 롤(113)과 동일한 속도로 수평으로("C" 화살표로 지시된 방향으로) 이동되는 기판(115)과 접촉되게 되는 소정의 위치의 지점에 인쇄된다. 즉, EL 형성 재료(112)는 기판(115) 상에 매트릭스를 형성하면서 인쇄된다.
그후, 다른 처리실(본 명세서에서는 건조실로서 지칭됨)에서 대기압으로 질소 분위기 중의 열처리를 함으로써 EL 형성 재료(112) 중에 함유된 용매가 증발되어 EL 재료를 떠나게 된다. 따라서, 용매는 EL 재료의 유리 전이 온도(Tg)보다 더 낮은 온도에서 증발될 필요가 있다. EL 형성 재료(112)의 점도는 형성될 EL 층의최종 두께를 결정한다. 그러한 점도는 용매의 선택에 의해 조정될 수 있다. 바람직한 점도는 1 ×10-3Pa·s(보다 더 바람직하게는 1 ×10-3내지 2 ×10-2Pa·s).
EL 재료를 용해시키기 위한 용매의 대표적 예에는 톨루엔, 크실렌, 클로로벤젠, 디클로로벤젠, 아니졸, 클로로포름, 디클로로메탄, γ부틸 락톤, 부틸 셀로졸브, 시클로헥산, NMP(N-메틸-2-피롤리돈), 시클로헥사논, 디옥산, 및 THF(테트라히드로푸란)이 포함된다.
EL 형성 재료(112)가 결정 핵으로서의 역할을 하는 지나치게 많은 불순물을 함유할 경우에는 용매의 증발 시에 EL 재료가 결정화될 가능성이 매우 높다. 결정화된 EL 재료는 그 발광 효율이 낮으므로, 바람직하지 않다. 따라서, EL 형성 재료(112) 중에 불순물이 적은 것이 좋다.
불순물을 감소시키기 위해, 용매를 정제할 때, EL 재료를 정제할 때, 및 용매를 EL 재료와 혼합시킬 때의 환경이 최대한으로 청정해야 한다. 본 발명에서 있어서 역시 똑같이 중요한 점은 EL 형성 재료를 인쇄할 때에 인쇄 장치 중의 분위기가 불순물을 감소시키도록 조절되어야 한다는 것이다.
분위기를 조절하기 위해, EL 형성 재료의 인쇄 시에 인쇄 장치가 설치되어 있는 인쇄실(전형적으로 청정 부스)을 질소, 헬륨, 또는 아르곤과 같은 불활성 가스로 충전시킨다. 선택적으로, 그러한 인쇄실을 EL 형성 재료를 용해시키는데 사용되는 용매를 함유한 용매 분위기로 설정한다.
인쇄실(118)이 용매 분위기로 설정될 경우에는 용매를 인쇄실(118) 내에 마련된 용매 트레이(117)에 넣어 둔다.
본 발명에 따르면, 인쇄실(118)에 마련된 압력 조정 기구(116)는 불활성 가스로 충전되거나 용매 분위기로 설정된 인쇄실(118) 중의 압력을 대기압 또는 대기압에 가까운 압력(전형적으로 1 내지 2 기압, 바람직하게는 1.1 내지 1,5 기압)으로 유지시킨다.
본 발명을 실시함에 있어서는, 진공 배기 설비를 필요로 하는 진공 증착 장치와 같은 장치는 EL 재료로 막을 형성하는데 필요하지 않다. 따라서, 전체 시스템이 간단하게 되고 유지가 쉬워져서 본 발명의 장점을 이루게 된다.
본 발명은 액티브 매트릭스 발광장치는 물론 패시브 매트릭스(단일 매트릭스) 발광장치에도 채용될 수 있다.
[실시형태]
이제, 본 발명을 실시하기 위한 장치에 관해 도 2를 참조하여 설명하기로 한다. 그러한 장치는 인쇄법에 의한 EL 층의 형성으로부터 전극의 형성과 그에 후속되는 EL 소자의 밀봉에 이르기까지의 일련의 처리를 실행한다. 도 2에는 멀티체임버 성막장치의 평면도가 도시되어 있다.
도 2에서, 도면 부호 "201"은 이송실을 지시하고 있다. 이송실(201)은 기판(203)을 이송하는 이송기구(A)(202)를 구비한다. 이송실(201)은 감압 분위기로 설정되고, 게이트를 통해 각각의 처리실에 접속된다. 이송기구(A)(202)는 게이트가 개방된 동안에 기판을 각각의 처리실로 인도한다. 이송실(201) 중의 압력은 오일 로터리 펌프, 기계적 부스터 펌프, 터보 분자 펌프, 또는 극저온 펌프와 같은진공 펌프에 의해 감압될 수 있다. 수분을 제거하는데 효과적이기 때문에 저온 펌프를 사용하는 것이 바람직하다.
이후로는 처리실에 관해 각각 설명하기로 한다. 처리실 중에서 이송실(201)에 직접 접속된 것은 이송실(201)이 대기압 분위기로 설정되기 때문에 진공 펌프(도시를 생략)를 구비한다. 진공 펌프의 예는 상기한 바와 같고, 오일 로터리 펌프, 기계적 부스터 펌프, 터보 분자 펌프, 및 극저온 펌프를 포함한다.
우선, 도면 부호 "204"로 지시된 반입실에는 기판이 세팅되는데, 그 반입실은 반출실로서의 기능을 겸용한다. 반입실(204)은 게이트(200a)를 통해 이송실(201)에 접속되고, 그 이송실(204) 내에는 기판(203)이 세팅된 캐리어(도시를 생략함)가 놓여진다. 반입실(204)은 2개의 방으로 나뉘어지는데, 그 하나는 기판을 내부로 들여오기 위한 것이고 다른 하나는 기판을 외부로 내보내기 위한 것이다. 반입실(204)은 상기한 바와 같은 진공 펌프 이외에도 질소 가스 또는 희유 가스를 도입하기 위한 퍼지 라인을 구비한다.
다음으로, 도면 부호 "205"는 EL 재료를 인쇄법에 의해 막으로 형성하기 위한 인쇄실을 지시하고 있다. 인쇄 실(205)은 게이트(200b)를 통해 이송실(201)에 접속된다. 인쇄실(205)은 내부에 인쇄 유닛(206)을 구비하고, 그 인쇄 유닛(206)에서는 홀 주입 층, 적색 광을 발광하는 발광 층, 녹색 광을 발광하는 발광 층, 및 청색 광을 발광하는 발광 층이 형성된다. 홀 주입 층, 적색 광을 발광하는 발광 층, 녹색 광을 발광하는 발광 층, 및 청색 광을 발광하는 발광 층에는 임의의 재료가 사용될 수 있다.
본 발명에서는 EL 층이 인쇄법에 의해 형성되므로, 그에 적절한 EL 재료는 중합체 재료이다. 대표적인 중합체 재료는 폴리파라페닐렌 비닐렌(PPV)계 재료, 폴리비닐 카르바졸(PVK)계 재료, 및 폴리플루오렌(PF)계 재료와 같은 고분자 재료이다.
홀 주입 층, 홀 이송 층, 및 발광 층을 인쇄법에 의해 중합체 재료로 형성하기 위해, 중합체 선구 물질을 인쇄한 후에 가열하여 그 선구 물질을 EL 재료로서의 중합체 재료로 변환시킨다. 필요한 다른 EL 재료를 증착법 등에 의해 막으로 형성하여 그 형성된 막을 중합체 재료 상에 적층시킴으로써 적층 구조로 된 EL 층을 얻게 된다.
특히, 홀 주입 층은 중합체 선구 물질로서의 폴리테트라히드로티오페닐페닐렌을 가열하여 그것을 폴리페닐렌 비닐렌으로 변환시킴으로써 형성된다. 홀 주입 층의 적절한 두께는 30 내지 100 ㎚(바람직하게는 40 내지 80 ㎚)이다. 발광 층의 바람직한 재료는 다음과 같다: 적색 광 발광 층의 경우에는 시아노폴리페닐렌 비닐렌, 녹색 광 발광 층의 경우에는 폴리페닐렌 비닐렌, 그리고 청색 광 발광 층의 경우에는 폴리페닐렌 비닐렌 또는 폴리알킬 페닐렌. 각각의 발광 층의 적절한 두께는 30 내지 150 ㎚(바람직하게는 40 내지 100 ㎚)이다.
또한, 완충제 층으로서 구리 프탈로시아닌 막을 전극과 그 위에 형성된 EL 재료 막과의 사이에 형성하는 것이 효과적이다.
상기한 재료는 단지 본 발명의 EL 재료에 사용될 수 있는 재료의 예에 불과하고, 본 발명의 EL 재료를 그러한 상기한 EL 재료로 한정할 필요는 없다. 본 발명에 따르면, EL 재료를 용매와 혼합하여 그 혼합물을 인쇄한 후에 증발에 의해 용매를 제거하여 EL 층을 형성하게 된다. 따라서, EL 재료와 용매와의 화합물이 용매를 증발시키기 위한 온도를 EL 층의 유리 전이 온도보다 높게 되도록 하지 않는 한에는 임의의 EL 재료가 사용될 수 있다.
또한, EL 형성 재료의 점도를 증가시키기 위해 EL 재료에 첨가제를 첨가하는 것도 역시 효과적이다. EL 재료는 그것이 용매 중에 용해될 수 있다면 저분자 재료로 될 수도 있다.
인쇄법에 의해 EL 재료를 형성할 때, EL 층은 수분 및 산소의 존재 하에서 열화되기 쉽다. 따라서, 그러한 열화 요인을 EL 재료의 형성 전에 최대한으로 제거해야만 한다. 수분 및 산소를 제거하기 위해, 인쇄 장치를 아르곤 또는 헬륨과 같은 불활성 가스로 충전된 처리실(본 경우에서의 인쇄실) 내에 설치하여 불활성 분위기 중에서 인쇄하는 것이 바람직하다.
사용되는 불활성 가스의 이슬점은 -20 ℃ 이하인 것이 바람직하고, -50 ℃ 이하인 것이 더욱 바람직하다.
EL 형성 재료를 균일한 막으로 형성하려면, EL 형성 재료를 구성하는 용매를 함유한 용매 분위기로 인쇄실을 설정하는 것이 효과적이다. 그러한 용매 분위기는 용매 트레이(216) 내에 용매를 넣어 둠으로써 얻어질 수 있다.
불활성 가스로 충전되거나 용매 분위기로 설정된 인쇄실 중의 압력을 대기압으로 유지하거나, 그 인쇄실을 계속적으로 가압시킨다(전형적으로 1 내지 2 기압, 바람직하게는 1.1 내지 1.5 기압). 그러한 압력은 압력 조정 기구(215)에 의해 조정된다. 본 발명을 실시함에 있어서는, 진공 배기 설비를 필요로 하는 진공 증착 장치와 같은 장치는 EL 재료로 막을 형성하는데 필요하지 않다. 따라서, 전체 시스템이 간단하게 되고 유지가 쉬워져서 본 발명의 장점을 이루게 된다.
이어서, 인쇄실(205) 중에서 막으로 형성된 EL 재료를 건조실(207) 중에서 건조시킨다. 건조실(207)은 게이트(200c)를 통해 이송실(201)에 접속된다. 그 경우, 기판 상의 EL 재료는 기판을 건조실(207) 내에 마련된 핫 플레이트 유닛(208) 상에 놓아둠으로써 건조될 수 있다.
도면 부호 "209"로 지시된 다음 처리실은 EL 소자의 양극 또는 음극으로서의 역할을 하는 도전막을 증착에 의해 형성하기 위한 증착실이다. 증착실(209)은 게이트(200d)를 통해 이송실(201)에 접속된다.
증착실(209)은 내부에 성막 유닛(210)을 구비한다. 성막 유닛(210)에서 형성되는 도전막의 특정의 예는 MgAg 막 또는 Al-Li 합금 막(알루미늄과 리튬과의 합금 막)으로서, 그것은 EL 소자의 음극으로서의 역할을 한다.
선택적으로, 알루미늄을 주기율표의 제1 족 또는 제2 족에 속하는 원소와 공동으로 증착시킬 수도 있다. 공동 증착이란 다수의 증착 셀을 동시에 가열하여 성막 중에 상이한 물질을 혼합시키는 증착 방법이다.
다음으로, 도면 부호 "211"로 지시된 밀봉실(봉함실 또는 글러브 박스로서도 지칭됨)은 게이트(200e)를 통해 이송실(201)에 접속된다. 밀봉실(211)은 EL 소자를 기밀 공간 중에 봉함하는 최종 처리를 실행한다. 그러한 처리는 완성된 EL 소자를 산소와 수분으로부터 보호하기 위해 실시되는 것이다. 그러한 처리를 통해,EL 소자를 밀봉 부재를 사용하여 자동적으로 봉함하거나 열 경화 수지 또는 자외선 경화 수지를 사용하여 봉함한다.
밀봉 부재는 유리, 세라믹, 플라스틱, 또는 금속으로 될 수 있다. 발광이 밀봉 부재 측을 향해 이루어진다면, 밀봉 부재는 빛에 투명한 것이어야 한다. 밀봉 부재를 EL 소자가 형성되어 있는 기판에 열 경화 수지 또는 자외선 경화 수지를 사용하여 접합시킨다. 이어서, 그 수지를 열 처리 또는 자외선 조사 처리에 의해 가열하여 기밀 공간을 생성한다. 또한, 기밀 공간 중에 흡습성 재료를 넣어 두는 것이 효과적인데, 그러한 흡습성 재료의 대표적인 예는 산화바륨이다.
밀봉 부재와 EL 소자가 형성되어 있는 기판에 의해 형성된 공간을 열 경화 수지 또는 자외선 경화 수지로 충전시킬 수도 있다. 그 경우, 산화바륨이 그 대표적인 예인 흡습성 재료를 열 경화 수지 또는 자외선 경화 수지에 첨가하는 것이 효과적이다.
도 2에 도시된 성막장치에는 자외선을 조사하기 위한 기구(이후로 자외선 조사 기구로서 지칭됨)(212)가 밀봉실(211) 중에 마련된다. 자외선 조사 기구(212)는 자외선을 방출하여 자외선 경화 수지를 경화시킨다. 진공 펌프가 밀봉실(211) 내에 마련되어 있다면, 밀봉실(211)의 내부를 감압된 압력으로 설정할 수도 있다. 상기한 봉함 단계는 로봇의 조작에 의해 자동적으로 실행되고, 감압된 압력은 산소 및 수분이 들어오는 것을 방지한다. 한편, 밀봉실(211)의 내부를 가압할 수도 있다. 그 경우, 그러한 가압은 고 순도의 질소 가스 또는 희유 가스로 퍼징하여 산소 또는 기타의 오염물이 외기로부터 들어오지 못하도록 하면서 실행된다.
밀봉실(211)은 인도실(패스 박스)(213)에 접속된다. 인도실(213)은 밀봉실(211) 중에서 그 EL 소자가 봉함된 기판을 인도실(213)로 이송하는 이송기구(B)(214)를 구비한다. 인도실(213)도 역시 그 내부에 진공 펌프가 마련된다면 감압된 압력으로 설정될 수 있다. 인도실(213)은 밀봉실(211)이 외기에 직접 노출되는 것을 피하기 위해 설치된 것으로서, 기판을 인도실로부터 인출한다.
상기한 성막장치에 의해 EL 소자를 기밀 공간 중에 봉함할 때까지의 일련의 처리를 외기에 노출시킴이 없이 실행할 수 있다.
따라서, 그러한 장치는 신뢰성이 높은 발광장치를 제조할 수 있게 된다. 본 실시예 형식에서 예시된 성막장치는 단지 본 발명을 실시하는 하나의 형태에 불과하고, 본 발명을 한정하는 것은 아니다.
[실시예 1]
본 실시예에서는 화소부 및 그 화소부의 외주에 마련되는 구동 회로의 TFT(n채널형 TFT 및 p채널형 TFT)를 동일한 기판 상에 동시에 형성하는 방법에 관해 도 3A 내지 도 5B를 참조하여 설명하기로 한다.
우선, 본 실시예에서는 예컨대 Corning #7059 유리 및 #1737 유리로 대표되는 붕규산바륨 유리 또는 붕규산알루미늄 유리와 같은 유리로 이루어진 기판(300)을 사용한다. 기판(300)으로서는 투과성이 있는 기판인 한에는 아무런 한정이 없고, 석영 기판을 사용할 수도 있음을 유념해야 할 것이다. 본 실시예의 처리 온도에 대한 열 저항성이 있는 플라스틱 기판도 역시 사용할 수 있다.
이어서, 기판(300) 상에 산화규소막, 질화규소막, 또는 질산화규소막과 같은절연막으로 된 하지막(301)을 형성한다. 본 실시예에서는 하지막(301)으로서 2층 구조의 것을 사용한다. 그러나, 단층 막 또는 2층 이상의 절연막으로 이루어진 적층 구조의 것을 사용할 수도 있다. 하지막(301)의 제 1 층으로서 SiH4, NH3, 및 N2O를 반응 가스로 사용하는 플라즈마 CVD에 의해 질산화규소막(301a)을 10 내지 200 ㎚(바람직하게는 50 내지 100 ㎚)의 두께로 형성한다. 본 실시예에서는 막 두께가 50 ㎚인 질산화규소막(301a)(조성비 Si = 32 %, O = 27 %, N = 24 %, 및 H = 17 %)을 형성한다. 이어서, 하지막(301)의 제2 층으로서 SiH4및 N2O를 반응 가스로 사용하는 플라즈마 CVD에 의해 질산화규소막(301b)을 50 내지 200 ㎚(바람직하게는 100 내지 150 ㎚)의 두께로 형성하여 적층시킨다. 본 실시예에서는 막 두께가 100 ㎚인 질산화규소막(301b)(조성비 Si = 32 %, O = 59 %, N = 7 %, 및 H = 2 %)을 형성한다.
뒤이어, 하지막 상에 반도체 층(302 내지 305)을 형성한다. 공지의 방법(예컨대, 스퍼터링법, LPCVD법, 또는 플라즈마 CVD법)에 의해 형성되어 공지의 결정화 공정(레이저 결정화 방법, 열 결정화 방법, 또는 니켈을 촉매로 사용하는 열 결정화 방법)으로 처리된 비정질 구조의 반도체 막으로 반도체 층(302 내지 305)을 형성한다. 그와 같이 얻어진 결정성 반도체 막을 원하는 형태로 패터닝하여 반도체 층을 얻는다. 반도체 층(302 내지 305)을 25 내지 80 ㎚(바람직하게는 30 내지 60 ㎚)의 두께로 형성한다. 결정성 반도체 막의 재료에 대한 특별히 한정이 있는 것은 아니지만, 규소 또는 규소 게르마늄(SixGe1-x, X = 0.0001 내지 0.02) 합금 등으로 형성하는 것이 바람직하다. 본 실시예에서는 플라즈마 CVD법에 의해 두께가 55 ㎚인 비정질 규소막을 형성한 후에 그 비정질 규소막 상에 니켈 함유 용액을 유지시킨다. 비정질 규소막의 탈수소화 공정을 실행한(500 ℃로 1 시간 동안) 후에 그 비정질 규소막에 대해 열 결정화 공정을 실행한다(550 ℃로 4 시간 동안). 또한, 결정화되는 규소막의 결정화도를 개선시키기 위해, 레이저 어닐링 처리를 실행하여 결정성 규소막을 형성한다. 이어서, 그러한 결정성 규소막을 사진 인쇄법을 사용하는 패터닝 공정으로 처리하여 반도체 층(302 내지 305)을 얻는다.
또한, 반도체 층(302 내지 305)을 형성한 후에는 소량의 불순물 원소(붕소 또는 인)를 도핑하여 TFT의 스레시홀드 값을 제어할 수도 있다.
아울러, 결정성 반도체 막을 레이저 결정화 방법으로 제조하는 경우에는 펄스 진동형 또는 연속파형 엑시머 레이저, YAG 레이저, 또는 YVO4레이저를 사용할 수 있다. 그러한 유형의 레이저를 사용할 경우에는 레이저 발진기로부터 방출되는 레이저 광을 광학 시스템에 의해 선형 빔으로 집속하여 반도체 막에 조사하는 방법을 사용하는 것이 바람직하다. 결정화 조건은 조작자에 의해 적절히 선택될 수 있지만, 엑시머 레이저를 사용할 경우에는 펄스 진동 주파수를 300 ㎐로 설정하고 레이저 에너지 밀도를 100 내지 400 mJ/㎠(전형적으로 200 내지 300 mJ/㎠)로 설정한다. YAG 레이저를 사용할 경우에는 제2 고조파를 30 내지 300 ㎐의 펄스 진동 주파수와 300 내지 600 mJ/㎠(전형적으로 350 내지 500 mJ/㎠)의 레이저 에너지 밀도로 하여 사용하는 것이 바람직하다. 이어서, 100 내지 1000 ㎛, 예컨대 400 ㎛의폭의 선형 형상으로 집속된 레이저 광을 기판의 전 표면에 걸쳐 조사하는데, 그 때에 선형 레이저 광의 중첩 비율(오버랩 비율)을 50 내지 90 %로 설정할 수 있다.
이어서, 게이트 절연막(306)을 형성하여 반도체 층(302 내지 305)을 덮는다. 플라즈마 CVD법 또는 스퍼터링법에 의해 막 두께가 40 내지 150 ㎚인 규소 함유 절연막으로 게이트 절연막(306)을 형성한다. 본 실시예에서는 플라즈마 CVD법에 의해 두께가 110 ㎚인 질산화규소막(조성비 Si = 32 %, O = 59 %, N = 7 %, 및 H = 2 %)으로 게이트 절연막(306)을 형성한다. 물론, 게이트 절연막은 질산화규소막에 한정되지는 않고, 다른 규소 함유 절연막을 단층 또는 적층 구조로 사용할 수도 있다.
또한, 산화규소막을 사용할 때에는 TEOS(tetraethyl orthosilicate)와 O2를 혼합하여 40 Pa의 반응 압력 및 300 내지 400 ℃의 기판 온도에서 0.5 내지 0.8 W/㎠의 고주파(13.56 ㎒) 전력 밀도로 방전하는 플라즈마 CVD에 의해 그것을 형성할 수 있다. 그와 같이 제조된 산화규소막에서는 400 내지 500 ℃의 후속 열 어닐링에 의해 게이트 절연막으로서의 우수한 특성이 얻어질 수 있다.
이어서, 게이트 절연막(306) 상에 두께가 20 내지 100 ㎚인 제1 도전막(307) 및 두께가 100 내지 400 ㎚인 제2 도전막(308)을 형성하여 적층시킨다. 본 실시예에서는 막 두께가 30 ㎚인 TaN 막으로 제1 도전막(307)을 형성하고, 막 두께가 370 ㎚인 W 막으로 제2 도전막(308)을 형성하여 적층시킨다. TaN 막을 질소 함유 분위기 하에서 Ta 타깃에 대한 스퍼터링에 의해 형성한다. 또한, W 막을 W 타깃에 대한 스퍼터링에 의해 형성한다. W 막을 육불화텅스텐(WF6)을 사용한 열 CVD법에 의해 형성할 수도 있다. 어느 것을 사용하든지 간에, 게이트 전극으로서 사용하려면 재료의 저항이 낮게 되도록 하는 것이 필요하고, W 막의 저항률을 20 μΩ㎝ 이하로 설정하는 것이 바람직하다. 결정립을 크게 함으로써 W 막의 저항률이 낮아지도록 할 수 있다. 그러나, W 막 중에 산소와 같은 다수의 불순물 원소가 존재할 경우에는 결정화가 억제됨으로써 저항이 높아지게 된다. 따라서, 본 실시예에서는 순도가 99.9999 %인 W 타깃을 사용한 스퍼터링에 의해 W 막을 형성함으로써, 아울러 성막 동안에 가스 상 중의 불순물이 막의 내부에 혼입되는 것을 방지하도록 충분한 주의를 기울임으로써 9 내지 20 μΩ㎝의 저항률을 얻을 수 있게 된다.
본 실시예에서는 제1 도전막(307)이 TaN으로 형성되고 제2 도전막(308)이 W로 형성되지만, 그 재료가 특별히 그에 한정되는 것은 아니고, Ta, W, Ti, Mo, Al, Cu, Cr, Nd로 이루어진 군으로부터 선택된 원소 또는 그들 원소를 주성분으로 함유하는 합금 재료나 화합물 재료로 양자의 도전막을 형성할 수도 있음을 유념해야 할 것이다. 또한, 인과 같은 불순물 원소로 도핑된 다결정 규소막으로 대표되는 반도체 막을 사용할 수도 있고, AgPdCu 합금을 사용할 수도 있다. 뿐만 아니라, 탄탈(Ta)로 형성된 제1 도전막과 W로 형성된 제2 도전막을 결합시키는 것, 질화탄탈(TaN)로 형성된 제1 도전막과 W로 형성된 제2 도전막을 결합시키는 것, 질화탄탈(TaN)로 형성된 제1 도전막과 Al로 형성된 제2 도전막을 결합시키는 것, 및 질화탄탈(TaN)로 형성된 제1 도전막과 Cu로 형성된 제2 도전막을 결합시키는 것과 같은 임의의 결합 형식을 채용할 수도 있다.
다음으로, 사진 인쇄법을 사용하여 레지스트로 이루어진 마스크(309 내지 313)를 형성하고, 제1 에칭 처리를 실행하여 전극 및 배선을 형성한다. 본 실시예에서는 제1 에칭 조건으로서 ICP(유도 결합 플라즈마) 에칭 방법을 사용하고, CF4, Cl2, 및 O2의 가스 혼합물을 에칭 가스로서 사용하며, 가스 유량을 25/25/10 sccm으로 설정하고, 1 Pa의 압력 하에서 500 W RF(13.56 ㎒) 전력을 코일형 전극에 인가함으로써 플라즈마를 발생시킨다. 본 실시예에서는 Matsushita Electric Industrial Co. Ltd.에 의해 제조된 ICP(모델 E645-ICP)에 의한 건식 에칭 장치를 사용한다. 기판 측(시편 스테이지)에도 역시 150 W RF(13.56 ㎒) 전력을 인가하여 효과적으로 부(negative)의 자기 바이어스 전압을 걸도록 한다. W 막을 제1 에칭 조건으로 에칭하고, 제2 도전층의 단부를 테이퍼 형상으로 형성한다. 제1 에칭 조건에서는 W에 대한 에칭 속도가 200.39 ㎚/min이고, TaN에 대한 에칭 속도가 80.32 ㎚/min이며, TaN에 대한 W의 선택도가 약 2.5이다. 또한, 제1 에칭 조건에 따른 W의 테이퍼 각은 약 26°이다.
이어서, 레지스트로 이루어진 마스크(309 내지 312)를 제거하지 않고서 제1 에칭 조건을 제2 에칭 조건으로 바꾸는데, CF4와 Cl2의 혼합 가스를 에칭 가스로서 사용하고, 가스 유량을 30/30 sccm으로 설정하며, 1 Pa의 압력 하에서 500 W RF(13.56 ㎒) 전력을 코일형 전극에 인가함으로써 약 30초 동안 에칭을 실행한다. 기판 측(시편 스테이지)에도 역시 20 W RF(13.56 ㎒) 전력을 인가하여 효과적으로부성 자기 바이어스 전압을 걸도록 한다. CF4와 Cl2가 혼합된 제2 에칭 조건에 따라 W 막과 TaN이 모두 동일한 정도로 에칭된다. 제1 에칭 조건에서는 W에 대한 에칭 속도가 58.97 ㎚/min이고, TaN에 대한 에칭 속도가 66.43 ㎚/min이다. 에칭 시간을 약 10 내지 20 % 정도 증가시켜 게이트 절연막 상에 잔류물을 전혀 남기지 않도록 할 수도 있음을 유념해야 할 것이다.
제1 에칭 공정에서는 적절한 형상의 레지스트로 된 마스크를 채용함으로써 제1 및 제2 도전막의 단부가 기판 측에 인가된 바이어스 전압의 영향으로 인해 테이퍼 형상이 되도록 형성된다. 테이퍼부의 각은 15 내지 45°로 설정될 수 있다. 그와 같이 하여, 제1 에칭 공정에 의해 제1 도전막과 제2 도전막으로 이루어진 제1 형상 도전층(314 내지 318)(제1 도전층(314a 내지 318a) 및 제2 도전층(314b 내지 318b))이 형성된다. 채널 길이 방향으로의 제1 도전층의 폭은 본 실시예 형태에 예시된 W1에 해당한다. 도면 부호 "319"는 게이트 절연막을 지시하고 있고, 제1 형상 도전막으로 덮이지 않는 게이트 절연막의 영역은 에칭에 의해 20 내지 50 ㎚만큼 더 얇아지게 된다.
이어서, 레지스트로 이루어진 마스크를 제거하지 않고서 제1 도핑 공정을 실행하여 n형 전도성을 부여하는 불순물 원소를 반도체 층에 첨가한다(도 3B를 참조). 이온 도핑법 또는 이온 주입법에 의해 도핑을 실행할 수 있다. 이온 도핑법은 도즈량이 1 ×1013내지 5 ×1015atms/㎠이고 가속 전압이 60 내지 100 keV인 조건으로 실행된다. 본 실시예에서는 도즈량이 1.5 ×1015atms/㎠이고 가속 전압이80 keV이다. n형 전도성을 부여하기 위한 불순물 원소로서는 주기율표 제15 족에 속하는 원소, 대표적으로 인(P) 또는 비소(Ar)를 사용하는데, 본 실시예에서는 인을 사용한다. 그 경우, 도전층(314 내지 318)은 n형 전도성을 부여하기 위한 불순물 원소에 대한 마스크가 되어 자기 배향 형식으로 고농도 불순물 영역(320 내지 323)이 형성된다. n형 전도성을 부여하기 위한 불순물 원소는 1 ×1020내지 1 ×1021atoms/㎤의 농도로 고농도 불순물 영역(320 내지 323)에 첨가된다.
이어서, 도 3C에 도시된 바와 같이 레지스트로 이루어진 마스크를 제거하지 않고서 제2 에칭 공정을 실행한다. CF4, Cl2, 및 O2의 혼합 가스를 에칭 가스로서 사용하고, 가스 유량을 25/25/10 sccm으로 설정하며, 1 Pa의 압력 하에서 500 W RF(13.56 ㎒) 전력을 코일형 전극에 인가하여 플라즈마를 발생시킴으로써 에칭을 실행한다. 기판 측(시편 스테이지)에도 역시 20 W RF(13.56 ㎒) 전력을 인가하여 효과적으로 부(negative)의 자기 바이어스 전압을 걸도록 한다. 제1 에칭 공정에서는 W에 대한 에칭 속도가 124.62 ㎚/min이고, TaN에 대한 에칭 속도가 124.62 ㎚/min이며, TaN에 대한 W의 선택도가 약 6.05이다. 그와 같이 하여, W 막이 선택적으로 에칭된다. 제2 에칭 공정에서의 W의 테이퍼 각은 70°이다. 제2 에칭 공정에 의해 제2 도전층(324b 내지 327b)이 형성된다. 한편, 제1 도전층(314a 내지 318a)은 거의 에칭되지 않고, 제1 도전층(324a 내지 327a)이 형성된다.
다음으로, 제2 도핑 공정을 실행한다. 제2 도전층(322b 내지 325b)을 불순물 원소에 대한 마스크로서 사용하고, 제1 도전층의 테이퍼부의 아래에 있는 반도체 층에 불순물 원소가 첨가되도록 도핑을 실행한다. 본 실시예에서는 인(P)을 불순물 원소로서 사용하고, 3.5 ×1012atoms/㎠의 도즈량 및 90 keV의 가속 전압으로 플라즈마 도핑을 실행한다. 그와 같이 하여, 제1 도전층과 중첩되는 저농도 불순물 영역(329 내지 332)이 자기 배향 형식으로 형성된다. 저농도 불순물 영역(329 내지 332)에서의 인(P)의 농도는 1 ×1017내지 1 ×1018atoms/㎤이고, 제1 도전층의 테이퍼부의 두께를 따라 완만한 농도 구배로 된다. 제1 도전층의 테이퍼부와 중첩되는 반도체 층에서는 불순물 농도가 제1 도전층의 테이퍼부의 단부로부터 내부 쪽으로 다소 떨어지게 됨을 유념해야 할 것이다. 그러나, 그러한 농도는 거의 동일한 수준으로 유지된다. 또한, 그 불순물 원소를 고농도 불순물 영역(333 내지 336)에 첨가하여 고농도 불순물 영역(333 내지 336)을 형성한다.
이어서, 도 4A에 도시된 바와 같이 레지스트로 이루어진 마스크를 제거하지 않고서 제3 에칭 공정을 실행한다. 제3 에칭 공정에서는 제1 도전층의 테이퍼부가 부분적으로 에칭되어 반도체 층과 중첩되는 영역을 감축시키게 된다. 그 경우, CHF3를 에칭 가스로서 사용하고, 반응성 이온 에칭법(RIE법)을 사용한다. 본 실시예에서는 6.7 Pa의 처리실 압력, 800 W의 RF 전력, 35 sccm의 CHF3가스 유량으로 제2 에칭 공정을 실행한다. 그와 같이 하여, 제1 도전층(341 내지 344)이 형성된다.
제3 에칭 공정에서는 절연막(319)이 동시에 에칭되고 고농도 불순물영역(333 내지 336)의 일부가 노출되어 절연막(346a 내지 346d)이 형성된다. 본 실시예에서는 고농도 불순물 영역(333 내지 336)의 일부가 노출되는 것에 의한 에칭 조건을 사용하지만, 절연막의 두께 또는 에칭 조건이 달라질 때에는 고농도 불순물 영역 상에 얇은 층의 절연막을 남겨둘 수도 있음을 유념해야 할 것이다.
제3 에칭 공정에 따라 제1 도전층(341 내지 344)과 중첩되지 않는 불순물 영역(LDD 영역)(337a 내지 340a)이 형성된다. 불순물 영역(GOLD 영역)(337b 내지 340b)은 제1 도전층(341 내지 344)과 중첩된 채로 남아 있음을 유념해야 할 것이다.
제1 도전층(341)과 제2 도전층(324b)으로 형성된 전극은 추후의 공정에서 형성될 구동 회로의 n채널형 TFT의 게이트 전극이 된다. 제1 도전층(342)과 제2 도전층(325b)으로 형성된 전극은 추후의 공정에서 형성될 구동 회로의 p채널형 TFT의 게이트 전극이 된다. 유사하게, 제1 도전층(343)과 제2 도전층(326b)으로 형성된 전극은 추후의 공정에서 형성될 화소부의 n채널형 TFT의 게이트 전극이 되고, 제1 도전층(344)과 제2 도전층(327b)으로 형성된 전극은 추후의 공정에서 형성될 화소부의 저장 커패시터의 전극 중의 하나가 된다.
상기한 공정에 따르면, 본 실시예에서는 제1 도전층(341 내지 344)와 중첩되는 불순물 영역(GOLD 영역)(337b 내지 340b)에서의 불순물 농도와 제1 도전층(341 내지 344)과 중첩되지 않는 불순물 영역(LDD 영역)(337a 내지 340a)에서의 농도간의 차이가 작게 될 수 있고, 그에 의해 TFT 특성에 개선된다.
다음으로, 레지스트로 이루어진 마스크를 제거하고, 새로이 레지스트로 마스크를 형성하여 제3 도핑 공정을 실행한다. 제3 도핑 공정에 따라 상기한 전도성(n형)과는 상반된 전도성(p형)을 부여하는 불순물 원소를 p채널형 TFT의 활성 층이 되는 반도체 층에 첨가함으로써 불순물 영역(350 내지 355)이 형성된다(도 4B를 참조). 제1 도전층(342 내지 344)을 불순물 원소에 대한 마스크로서 사용하여 p형 전도성을 부여하는 불순물 원소를 첨가함으로써 자기 배향 형식으로 불순물 영역을 형성한다. 본 실시예에서는 디보란(B2H6)을 사용하여 이온 도핑법에 의해 불순물 영역(350 내지 355)을 형성한다. 제3 도핑 공정에서는 n채널형 TFT가 될 반도체 층을 레지스트로 형성된 마스크(145, 146)로 덮는다. 제1 및 제2 도핑 공정에 따르면 소스 영역 및 드레인 영역의 p채널형 TFT가 될 불순물 영역(348, 349)에 인을 상이한 농도로 첨가하지만, 본 도핑 고정을 실행함에 있어서는 어느 불순물 영역에서도 p형 전도성을 부여하는 불순물 원소의 농도가 2 ×1020내지 2 ×1021atoms/㎤가 되도록 한다. 그와 같이 하여, 불순물 영역은 아무런 문제가 없이 p채널형 TFT의 소스 영역 및 드레인 영역으로서 기능하게 된다. 본 실시예에서는 p채널형 TFT의 활성 층이 되는 반도체 층의 일부를 노출시키므로, 불순물 원소(붕소)가 용이하게 첨가된다는 장점이 있다.
상기한 공정에 따라 각각의 반도체 층에 불순물 영역이 형성된다.
이어서, 마스크(348, 349)를 제거하고 제1 층간 절연막(356)을 형성한다. 그러한 층간 절연막(356)을 플라즈마 CVD법 또는 스퍼터링법에 의해 규소 함유 절연막으로 그 두께가 100 내지 200 ㎚가 되도록 형성한다. 본 실시예에서는 CVD법에 의해 막 두께가 150 ㎚인 질산화규소막을 형성한다. 물론, 제1 층간 절연막(356)은 질산화규소막으로 한정되는 것이 아니라, 다른 규소 함유 절연막을 단층 또는 적층 구조로 형성할 수도 있다.
이어서, 도 4C에 도시된 바와 같이 각각의 반도체 층에 첨가된 불순물 원소를 활성화시키는 단계를 실행한다. 노 어닐링 오븐을 사용한 열 어닐링에 의해 그러한 단계를 실행한다. 1 ppm 이하, 바람직하게는 0.1 ppm 이하의 산소 농도를 함유한 질소 분위기 중에서 400 내지 700℃, 전형적으로 500 내지 550℃로 열 어닐링을 실행한다. 본 실시예에서는 4 시간 동안 550℃로 열처리를 실행한다. 열 어닐링 방법 이외에도 레이저 어닐링 방법 및 급속 열 어닐링 방법(RTA법)을 활성화에 적용할 수도 있음을 유념해야 할 것이다.
본 실시예에서는 상기한 활성화 공정과 동시에 결정화 중에 촉매로서 사용된 니켈을 고농도의 질소를 함유한 불순물 영역(333, 335, 350, 353)으로 게터링시킴을 유념해야 할 것이다. 그 결과, 채널 형성 영역이 되는 반도체 층의 니켈 농도가 크게 낮아지게 된다. 그와 같이 형성된 채널 형성 영역을 구비한 TFT는 양호한 결정화도로 인해 오프 전류 값이 감소되고 전계 이동도가 높아짐으로써 만족할만한 특성을 획득하게 된다.
또한, 층간 절연막을 형성하기 전에 활성화 공정을 실행할 수도 있다. 그러나, 사용된 배선 재료가 열에 취약할 경우에는 본 실시예에서와 같이 배선 등을 보호하기 위해 층간 절연막(규소를 주성분으로 함유하는 층간 절연막, 예컨대 질산화규소막)을 형성한 후에 활성화를 실행하는 것이 바람직하다.
또한, 3 내지 100 %의 수소를 함유한 분위기 중에서 1 내지 12 시간 동안 300 내지 550℃로 열 처리하여 반도체 층에 수소를 첨가하는 단계를 실행한다. 본 실시예에서는 약 3 %의 수소를 함유한 분위기 중에서 1 시간 동안 410 ℃로 열 처리를 실행한다. 그러한 단계는 반도체 층에 있는 댕글링 본드(dangling bond)를 층간 절연막 중에 있는 수소로 종결 처리하는 단계이다. 수소 첨가의 다른 수단으로서 플라즈마 수소 첨가(플라즈마에 의해 여기된 수소를 사용함)를 실행할 수도 있다.
또한, 활성화 공정으로서 레이저 어닐링을 사용할 경우에는 수소 첨가 공정 후에 엑시머 레이저 또는 YAG 레이저와 같은 레이저 광을 조사하는 것이 바람직하다.
다음으로, 도 5A에 도시된 바와 같이 제1 층간 절연막(356) 상에 유기 절연 재료로 제2 층간 절연막(357)을 형성한다. 본 실시예에서는 두께가 1.6 ㎛인 아크릴 수지 막을 형성한다. 이어서, 패터닝을 실행하여 각각 불순물 영역(333, 335, 350, 353)에 도달되는 콘택트 홀을 형성한다.
제2 층간 절연막(357)으로서는 규소 함유 절연 재료로 된 막 또는 유기 수지로 된 막을 사용할 수 있다. 사용이 가능한 규소 함유 절연 재료의 예에는 산화규소, 질화규소, 및 질산화규소가 포함된다. 사용이 가능한 유기 수지의 예에는 폴리이미드, 폴리아미드, 아크릴, 및 BCB(벤조시클로부텐)가 포함된다.
본 실시예에서는 플라즈마 CVD법에 의해 질산화규소막을 형성한다. 질산화규소막의 두께는 바람직하게는 1 내지 5 ㎛(더욱 바람직하게는 2 내지 4 ㎛)이다.그 수분 함량이 낮은 질산화규소막이 EL 소자의 열화를 억제하는데 효과적이다. 콘택트 홀을 건식 에칭 또는 습식 에칭에 의해 형성할 수 있다. 에칭 시에 정전기 대전으로 인한 손상의 문제점을 고려한다면, 습식 에칭이 바람직하다.
본 실시예에서는 콘택트 홀을 형성할 때에 제1 층간 절연막(356)과 제2 층간 절연막(357)을 동시에 에칭한다. 그 경우, 콘택트 홀의 형상을 고려한다면, 제2 층간 절연막(357)의 재료가 제1 층간 절연막(356)의 재료보다 더 빠른 에칭 속도를 나타내는 것이 바람직하다.
그와 같이 하여 불순물 영역(333, 335, 350, 353)에 각각 접속된 배선 라인(358, 361, 362, 365)이 얻어진다. 이어서, 두께가 50 ㎚인 Ti 막과 두께가 500 ㎚인 합금 막(Al과 Ti의 합금 막)과의 적층물을 패터닝에 의해 형성한다. 그 대신, 다른 도전막을 형성할 수도 있다.
다음으로, 그 적층물 상에 투명 도전막을 80 내지 120 ㎚의 두께로 형성하고 패터닝하여 양극(367)을 형성한다(도 5A를 참조). 본 실시예에서 양극으로서 사용되는 투명 전e 막은 산화인듐/산화주석(ITO) 막 또는 2 내지 20 %의 산화아연이 그에 혼합된 산화인듐 막이다.
양극(367)을 형성함에 있어서는 드레인 배선 라인(365)과 직접 중첩되도록 함으로써 전류 제어 TFT(404)의 드레인 영역과의 전기 접속을 이루도록 한다.
다음으로, 도 5B에 도시된 바와 같이 규소 함유 절연막(본 실시예에서는 산화규소막)을 500 ㎚의 두께로 형성한다. 그 절연막에는 양극(367)에 대응하는 위치에 개구를 형성하여 뱅크로서의 기능을 하는 제2 층간 절연막(368)을 형성한다.개구를 형성할 때에 습식 에칭의 사용에 의해 그 측벽이 용이하게 테이퍼 형상으로 될 수 있다. 개구의 측벽이 충분히 완만하지 않으면, 높이 차로 인해 EL 층이 열화되는 심각한 문제점이 발생된다. 따라서, 개구를 세심한 주의를 기울여 형성해야 한다.
본 실시예에서는 제3 층간 절연막(368)으로서 산화규소막을 사용하지만, 일부의 경우에는 폴리이미드 막, 폴리아미드 막, 아크릴 막, 또는 BCB(벤조시클로부텐)과 같은 유기 수지 막을 사용할 수도 있다.
다음으로, 도 2에 도시된 멀티체임버 성막장치를 사용하여 도 5B에 도시된 바와 같이 활판 인쇄법으로 EL 층(369)을 형성한다. 이어서, 증착에 의해 음극(MgAg 전극)(370) 및 보호 전극을 형성한다. EL 층(369) 및 음극(370)을 형성하기 전에 양극(367)을 열처리하여 수분을 완전히 제거하는 것이 바람직하다. 본 실시예에서 MgAg 전극으로 되는 EL 소자의 음극을 다른 공지의 재료로 형성할 수도 있다.
EL 층(369)을 본 실시예 형태에서 설명된 재료로 형성할 수 있다. 본 실시예에서의 EL 층은 홀 이송 층과 발광 층과의 2층 구조로 된다. 그러나, 그러한 2층 구조에는 홀 주입 층, 전자 주입 층, 또는 전자 이송 층이 부가될 수도 있다. EL 층용으로 다양하게 조합된 층들이 제안되어 있고, 그 중의 임의의 하나를 본 실시예에 사용할 수 있다.
본 실시예에서는 중합체 선구 물질로서 폴리테트라티오페닐페닐렌을 인쇄하고 그 선구 물질을 가열하여 폴리페닐렌 비닐렌으로 변환시킴으로써 홀 이송 층을형성한다. 또한, 본 실시예에서는 1,3,4-옥사디아졸 유도체의 30 내지 40 %의 PBD로 분자 분산된 폴리비닐 카바졸을 증착하고 그 막을 녹색 발광의 중심으로서 1 %의 쿠마린 6으로 도핑함으로써 발광 층을 형성한다.
보호 전극(371) 단독으로 EL 층(369)을 수분 및 산소로부터 보호하도록 할 수 있지만, 패시베이션 막(372)을 형성하는 것이 더욱 바람직하다. 본 실시예에서는 두께가 300 ㎚인 질화규소막을 패시베이션 막(372)으로서 형성한다. 보호 전극(371)을 형성한 후에 장치를 공기에 노출시킴이 없이 연속적으로 패시베이션 막(372)을 형성할 수도 있다.
보호 전극(371)은 음극(370)의 열화를 방지하기 위해 마련되는 것으로, 그 대표적인 것은 알루미늄을 그 주성분으로 함유한 금속 막이다. 보호 전극(371)으로 다른 재료를 사용할 수 있음은 물론이다. EL 층(369)과 음극(370)은 수분에 매우 취약하다. 따라서, 보호 전극(371)의 형성까지 장치를 공기에 노출시킴이 없이 연속적으로 막을 형성함으로써 EL 층을 외기로부터 보호하는 것이 바람직하다.
EL 층(369)의 적절한 두께는 10 내지 400 ㎚(전형적으로 60 내지150 ㎚)이고, 음극(370)의 적절한 두께는 80 내지 200 ㎚(전형적으로 100 내지 150 ㎚)이다.
그와 같이 하여, 도 5B에 도시된 바와 같은 구조로 된 EL 모듈을 완성시킨다. 본 발명에 따라 EL 모듈을 제조하는 공정에서는 회로 구조 및 공정에 기인하여 소스 신호 라인을 게이트 전극의 재료인 Ta와 W로 형성하는 한편, 게이트 신호 라인을 소스 전극 및 드레인 전극을 형성하는 배선 재료인 Al로 형성한다. 그러나, 다른 재료로 소스 신호 라인 및 게이트 신호 라인을 형성할 수도 있다.
n채널형 TFT(4010 및 p채널형 TFT(402)를 구비하는 구동 회로(406)를 스위칭 TFT(403), 전류 제어 TFT(404), 및 커패시터 축전기(405)를 구비하는 화소부(407)가 형성된 동일한 기판 상에 형성할 수 있다.
구동 회로(406)의 n채널형 TFT(401)는 채널 형성 영역(372); 게이트 전극의 일부를 구성하는 제1 도전층(341)과 중첩된 저농도 불순물 영역(337b)(GOLD 영역(337b)); 게이트 전극의 외부에 형성된 저농도 불순물 영역(337a)(LDD 영역(337a)); 및 소스 영역 또는 드레인 영역으로서의 기능을 하는 고농도 불순물 영역(333)을 구비한다. p채널형 TFT(402)는 채널 형성 영역(373); 게이트 전극의 일부를 구성하는 제1 도전층(342)과 중첩된 불순물 영역(338b); 게이트 전극의 외부에 형성된 불순물 영역(338a); 및 소스 영역 또는 드레인 영역으로서의 기능을 하는 불순물 영역(334)을 구비한다.
화소부(407)의 스위칭 TFT(403)는 채널 형성 영역(374); 게이트 전극을 구성하는 제1 도전층(343)과 중첩된 저농도 불순물 영역(339b)(GOLD 영역(339b)); 게이트 전극의 외부에 형성된 저농도 불순물 영역(339a)(LDD 영역(339a)); 및 소스 영역 또는 드레인 영역으로서의 기능을 하는 고농도 불순물 영역(335)을 구비한다. 전류 제어 FT(404)는 채널 형성 영역(375); 게이트 전극을 구성하는 제1 도전층(344)과 중첩된 저농도 불순물 영역(355)(GOLD 영역(355)); 게이트 전극의 외부에 형성된 저농도 불순물 영역(354)(LDD 영역(354)); 및 소스 영역 또는 드레인 영역으로서의 기능을 하는 고농도 불순물 영역(353)을 구비한다. 커패시터 축전기(405)는 제1 도전층(376a) 및 제2 도전층(376b)을 사용하여 전극 중의 하나로서의 기능을 하도록 하기 위해 형성된 것이다.
도 5B의 상태의 EL 모듈을 어떻게 하여 발광장치로서 완성시키는지에 관해 도 6A 및 도 6B를 참조하여 설명하기로 한다.
도 6A는 EL 소자를 밀봉할 때까지의 공정을 완료한 장치의 평면도이다. 도 6B는 도 6A의 A-A' 선을 따른 단면도이다. 점선은 각각 도면 부호 "601", "602", 및 "603"으로 지시된 소스 측 구동 회로, 화소부, 및 게이트 측 구동 회로를 나타내고 있다. 도면 부호 "604"는 커버 부재를, 도면 부호 "605"는 제1 밀봉 부재를, 그리고 도면 부호 "606"은 제2 밀봉 부재를 각각 지시하고 있다. 밀봉재(607)는 제1 밀봉 부재(605)로 둘러싸인 공간에 마련된다.
소스 측 구동 회로(601) 및 게이트 측 구동 회로(603)에 입력될 신호를 전송하고, 외부 입력 단자로서의 역할을 하는 FPC(609)로부터 비디오 신호 및 클록 신호를 수신하기 위한 배선 라인은 도면 부호 "608"로 지시되어 있다. 도 6A에는 FPC만이 도시되어 있지만, 인쇄 배선 기판(PWB)을 FPC에 부착할 수도 있다. 본 명세서에서의 발광장치란 발광장치 그 자체뿐만 아니라 그 장치에 FPC 또는 PWB가 부착된 발광장치를 지칭한다.
다음으로, 그 장치의 단면 구조를 도 6B를 참조하여 설명하기로 한다. 화소부(602) 및 소스 측 구동 회로(601)를 기판(610)의 상부에 형성한다. 화소부(602)는 전류 제어 TFT(611) 및 그 TFT(611)의 드레인에 전기 접속된 양극(612)을 각각 구비하는 다수의 화소로 이루어진다. 게이트 측 구동 회로(603)는 n채널형 TFT(613)와 p채널형 TFT(614)의 조합에 의해 얻어지는 CMOS 회로(도 5A 및 도 5B를참조)로 이루어진다.
양극(612)은 그 양측에 뱅크(615)를 구비한다. 양극(612) 상에는 EL 소자의 EL 층(616) 및 음극(617)이 형성된다.
음극(617)도 역시 모든 화소에 공유되는 배선 라인으로서의 기능을 하고, 접속 배선 라인(608)을 통해 FPC(609)에 전기 접속된다. 화소부(602) 및 게이트 측 구동 회로(603)에 포함된 소자는 모두 음극(617) 및 패시베이션 막(618)으로 덮여진다.
커버 부재(604)를 제1 밀봉 부재(605)에 의해 접합한다. 커버 부재(604)와 EL 소자간에 간격을 확보하기 위해 수지 막으로 된 스페이서를 마련할 수 있다. 제1 밀봉 부재(605)에 의해 둘러싸인 공간 중에 밀봉재(607)를 충전시킨다. 제1 밀봉 부재(605) 및 밀봉재(607)에는 에폭시계 수지를 사용하는 것이 바람직하다. 제1 밀봉 부재(605)는 수분 및 산소를 최소한으로 양으로 투과시킬 수 있는 재료인 것이 바람직하다. 밀봉재(607)는 흡습 효과가 있는 물질 또는 산화 방지 효과가 있는 물질을 함유할 수 있다.
EL 소자를 덮도록 마련된 밀봉재(607)는 커버 부재(604)를 접합시키기 위한 접착제로서의 기능도 한다. 본 실시예에서 커버 부재(604)를 구성하는 플라스틱 기판에 사용되는 재료는 FRP(fiberglass-reinforced plastics), PVF(polyvinyl fluoride), Mylar, 폴리에스터, 또는 아크릴이다.
커버 부재(604)를 밀봉재(607)를 사용하여 접합시킨 후에는 제2 밀봉 부재(606)를 마련하여 밀봉재(607)의 측면(노출 면)을 덮도록 한다. 제2 밀봉 부재(606)를 제1 밀봉 부재(605)와 동일한 재료로 형성할 수 있다.
상기한 구조에 의해 EL 소자가 밀봉재(607) 중에 봉함됨으로써 EL 소자가 주위로부터 완전히 차단되어 산화에 의해 EL 소자의 열화를 가속화시키는 산소 및 수분과 같은 외부 물질의 침투가 방지된다. 따라서, 신뢰성이 높은 발광장치를 얻을 수 있게 된다.
[실시예 2]
실시예 1은 멀티체임버 성막장치의 사용에 따른 EL 층의 형성으로부터 EL 소자를 밀봉할 때까지의 공정을 예시하고 있다. 실시예 2에서는 인-라인 성막장치를 사용하여 어떻게 EL 층의 형성으로부터 EL 소자를 밀봉할 때까지의 일련의 처리를 실행하는지에 관해 도 7A 및 도 7B를 참조하여 설명하기로 한다.
도 7A는 인-라인 성막장치의 평면도이고, 도 7B는 그 측면도이다. 도면 부호 "701"은 처리될 기판용의 로더 유닛(이송 유닛)을 지시하고 있다. 실시예 1에 설명된 바와 같이, 처리될 각각의 기판은 로더 유닛(701)에 세팅되기 전에 EL 소자의 양극(또는 음극)을 형성할 때까지의 공정을 완료한다.
EL 층을 활판 인쇄 장치를 구비한 인쇄실(702) 중에서 기판 상에 인쇄한다. 인쇄실(702)은 도 7B에 도시된 바와 같이 잉크 탱크(703), 닥터 바(704), 아닐록스 롤(705), 및 인쇄 롤(706)로 이루어진다. EL 형성 재료를 잉크 탱크(703)로부터 쏟아내면, EL 형성 재료는 아닐록스 롤(705)에 유지되었다가 인쇄 롤(706)의 볼록부에 도포된다. 그 시점에, 닥터 바(704)는 잉크 탱크9703)로부터 쏟아지는 EL 형성 재료의 양을 조정하여 EL 형성 재료가 아닐록스 롤(705)의 표면에 균등하게 유지되도록 한다.
EL 형성 재료는 인쇄 롤(706)의 볼록부가 인쇄 롤(706)과 동일한 속도로 수평으로("I" 화살표로 지시된 방향으로) 이동하는 기판과 접촉되는 위치에 인쇄된다.
그 시점에, 기판을 정렬용 모니터(도시를 생략)를 사용하여 위치시킨다.
인쇄실(702)을 불활성 가스로 충전시키거나 EL 형성 재료를 구성하는 용매를 함유한 용매 분위기로 설정한다. 인쇄실 중의 압력을 대기압 또는 대기압에 가까운 압력(전형적으로 1 내지 2 기압, 바람직하게는 1,1 내지 1,5 기압)으로 유지시킨다. 그러한 압력은 압력 조정 기구(707)에 의해 조정된다. 용매를 용매 트레이(708) 내에 넣어둠으로써 인쇄실을 용매 분위기로 설정할 수 있다.
본 실시예에서는 홀 주입 층, 홀 이송 층, 및 발광 층을 중합체 재료로 인쇄법에 의해 형성한다.
우선, 홀 주입 층과 홀 이송 층을 형성한다. 본 경우에는 PEDOT(폴리티오펜 유도체)를 물에 용해시킴으로써 얻은 PEDOT의 수용액을 사용한다.
이어서, 인쇄된 수용액을 건조실(710) 중에서 증발시킴으로써 그 수분을 제거하여 홀 주입 층과 홀 이송 층을 형성한다. 그 시점에, 기판을 80 내지 150 ℃로 핫 플레이트 유닛(711)에 의해 가열한다.
발광 층은 적색 광 발광 층, 녹색 광 발광 층, 및 청색 광 발광 층을 포함한다. 인쇄실 중에서 인쇄되는 적색 광 발광 층의 EL 재료는 시아노폴리페닐렌 비닐렌을, 녹색 광 발광 층의 EL 재료는 폴리페닐렌 비닐렌을, 그리고 청색 광 발광 층의 EL 재료는 폴리페닐렌 비닐렌 또는 폴리알킬 페닐렌을 각각 함유한다. 각각의 발광 층의 적절한 두께는 30 내지 150 ㎚(바람직하게는 40 내지 100 ㎚)이다.
EL 형성 재료가 그 위에 인쇄된 기판을 다시 건조실(710)에 넣어 EL 형성 재료 중의 용매를 증발시키는 한편, EL 재료를 기판 상에 남겨둔다 그러한 처리는 처리될 기판을 건조실(711) 중에 마련된 핫 플레이트 유닛(711) 상에서 가열함으로써 이루어진다. 그러한 처리 시의 온도는 20 내지 150 ℃인 것이 바람직하나, 사용되는 용매의 휘발성에 의존하여 달라질 수 있다.
상기한 형식에 따라 홀 주입 층, 홀 이송 층, 및 발광 층을 형성한 후에는 EL 소자의 음극(또는 양극)으로서의 역할을 하는 도전막을 증착실(712) 중에서 증착시킴으로써 형성한다. 증착실(712)은 그 내부에 성막 유닛(713)을 구비한다. 성막 유닛(713)에서 형성되는 도전막의 특정의 예는 EL 소자의 음극으로서의 역할을 하는 MgAg 막 또는 Al-Li 합금 막(알루미늄과 리튬과의 합금 막)이다. 증착에 사용된 재료는 증착 원(714) 내에 마련되어 있다.
증착실(712)은 EL 층의 일부를 형성하는데는 물론 전극을 생성하는데도 사용될 수 있다.
그와 같이 하여, 상기한 공정을 통해 EL 소자를 완성시킨다. 이어서, 밀봉실(715)은 EL 소자를 기밀 공간 중에 봉함하는 최종 처리를 실행한다. 그러한 처리는 EL 소자를 산소 및 수분으로부터 보호하기 위해 실행되는 것이다. EL 소자를 밀봉 부재를 사용하여 자동적으로 봉함하거나 열 경화 수지 또는 자외선 경화 수지를 사용하여 봉함한다.
도 7A 및 도 7B에 도시된 성막장치에는 자외선을 조사하기 위한 기구(이후로 자외선 조사 기구로서 지칭됨)(716)가 밀봉실(715) 중에 마련된다. 자외선 조사 기구(716)는 성막 유닛(717) 중에서 자외선을 방출하여 기판 상에 있는 자외선 경화 수지를 경화시킨다. 진공 펌프가 밀봉실(715) 내에 마련되어 있다면, 밀봉실(715)을 감압된 압력으로 설정할 수도 있다. 상기한 봉함 단계는 로봇의 조작에 의해 자동적으로 실행되고, 감압된 압력은 산소 및 수분이 들어오는 것을 방지한다. 한편, 밀봉실(715)을 가압할 수도 있다. 그 경우, 그러한 가압을 고 순도의 질소 가스 또는 희유 가스로 퍼징하여 산소 또는 기타의 오염물이 외기로부터 들어오지 못하도록 하면서 실행한다.
밀봉실(715) 중에서의 처리를 한 후에는 기판을 언로더(718)에 이송한다. 그와 같이 하여, 인-라인 성막장치에 의한 공정이 완료된다. 그러한 성막장치에서는 처리실 서로 분리되어 그 처리실에 적합한 환경이 따로 설정된다. 성막장치를 수분을 함유하지 않아서 EL 소자에 영향을 미치지 않는 불활성 가스 분위기 하에 있도록 설정하는 것이 바람직하다.
상기한 바와 같이, 도 7A 및 도 7B에 도시된 성막장치에 의해 EL 소자가 외기에 노출됨이 없이 형성되어 기밀 공간 중에 완전히 봉함된다. 따라서, 그러한 장치는 신뢰성이 높은 발광장치를 제조할 수 있게 된다. 또한, 그러한 인-라인 시스템은 단위 시간당 작업 처리량이 높은 발광장치를 제조하는데 기여한다.
본 실시예의 구조는 실시예 1의 구조와 자유롭게 조합될 수 있다.
[실시예 3]
본 실시예에서는 실시예 1에 예시된 활판 인쇄법에 의해 어떻게 다색 EL 층을 형성하는지에 관해 설명하기로 한다. 도 8A에서, 도면 부호 "801"은 인쇄실에 마련되는 인쇄 롤을 지시하고 있다. 인쇄 롤(801)의 표면에는 에칭 등에 의해 형성된 활판 인쇄판이 마련된다. 활판 인쇄판은 단일 기판 상에서 다수 매의 발광장치를 형성하기 위해 다수의 화소부 패턴(802)을 구비한다.
화소부 패턴(802)이 확대도를 살펴보면, 각각의 패턴은 다수의 화소의 위치에 대응하는 위치에 볼록부(803)를 구비한다. 볼록부(803)의 형태는 본 실시예에 따른 활판 인쇄법에 의해 다수의 색이 얻어져야 하기 때문에 각각의 색에 대해 상이하게 된다.
예컨대, EL 형성 재료가 도 8B에 도시된 볼록부(803a)를 사용하여 인쇄될 경우에는 도 8B의 실선 영역에 의해 나타낸 바와 같이 제1 색 화소의 화소부가 형성된다.
이어서, 제1 색의 경우와는 상이한 다른 EL 형성 재료가 도 8C에 도시된 볼록부(803b)를 사용하여 인쇄되어 도 8C에 도시된 바와 같이 제1 색 화소와는 상이한 지점에 제2 색 화소를 형성한다.
이어서, 제1 및 제2 색과는 상이한 또 다른 EL 형성 재료가 도 8D에 도시된 볼록부(803c)를 사용하여 인쇄되어 도 8D에 도시된 바와 같이 제1 색 화소 및 제2 색 화소와는 상이한 지점에 제3 색 화소를 형성한다.
그와 같이 하여, 상기한 단계를 거쳐 3색의 EL 형성 재료가 인쇄되게 된다. EL 형성 재료의 색의 수는 3개에 한정되는 것이 아니라, 2개이거나 3개를 초과할수도 있다.
본 실시예의 인쇄 방법은 상이한 형태의 다수의 볼록부를 필요로 한다. 그러한 요건을 충족시키기 위해 다수의 인쇄실이 마련된다. 선택적으로, 하나의 색의 EL 형성 재료가 단일의 인쇄실 중에서 모든 기판 상에 인쇄된 후에 하나의 색을 위한 하나의 형태의 볼록부가 다른 색을 위한 다른 형태의 볼록부로 교체되는 것도 가능하다.
여기에서, 도 9는 다수의 인쇄실을 구비한 멀티체임버 성막장치를 도시하고 있다. 도 9에서, 도면 부호 "901"은 이송기구(A)(902)가 이송 기판(903)에 놓여지는 이송실을 지시하고 있다. 이송실(901)은 감압 분위기로 설정되고, 게이트를 통해 각각의 처리실에 접속된다. 이송기구(A)(902)는 게이트가 개방된 동안에 기판을 처리실로 인도한다.
이송실(901) 중의 압력은 오일 로터리 펌프, 기계적 부스터 펌프, 터보 분자 펌프, 또는 극저온 펌프와 같은 진공 펌프에 의해 감압될 수 있다. 수분을 제거하는 그 효율성 때문에 극저온 펌프를 사용하는 것이 바람직하다.
이후로는 처리실에 관해 각각 설명하기로 한다. 처리실 중에서 이송실(901)에 직접 접속된 것은 이송실(901)이 감압 분위기로 설정되기 때문에 진공 펌프(도시를 생략)를 구비한다. 진공 펌프의 예는 상기한 바와 같고, 오일 로터리 펌프, 기계적 부스터 펌프, 터보 분자 펌프, 및 극저온 펌프를 포함한다.
우선, 도면 부호 "904"로 지시된 반입실에는 기판이 세팅되는데, 그 반입실은 로드 록 체임버(load lock chamber)로서도 지칭된다. 반입실(904)은게이트(900a)를 통해 이송실(901)에 접속되고, 그 이송실(904) 내에는 기판(903)을 동반한 캐리어(도시를 생략함)가 놓여진다. 반입실(904)은 2개의 방으로 나뉘어지는데, 그 하나는 기판을 내부로 들여오기 위한 것이고 다른 하나는 기판을 외부로 내보내기 위한 것이다. 반입실(904)은 상기한 바와 같은 진공 펌프 이외에도 고 순도의 질소 가스 또는 희유 가스를 도입하기 위한 퍼지 라인을 구비한다.
다음으로, EL 재료로 막을 형성하기 위한 것으로서, 도면 부호 "905", "907", 및 "909"로 각각 지시되어 있는 인쇄실(A), (B), 및 (C)에 관해 설명하기로 한다.
본 실시예에서는 인쇄실(A)(905)이 홀 주입 층과 제1 색 발광 층으로서의 적색 광 발광 층이 형성되는 성막 유닛(906)을 구비한다. 홀 주입 층과 적색 광 발광 층에는 공지의 재료를 사용한다.
인쇄실(A)(905)은 게이트(900b)를 통해 이송실(901)에 접속된다. 인쇄실(A)(905)을 질소 또는 다른 불활성 가스 분위기 또는 EL 형성 재료를 구성하는 용매를 함유한 용매 분위기로 설정한다. 또한, 인쇄실(A)(905) 중의 압력을 대기압 또는 대기압에 가까운 압력(전형적으로 1 내지 2 기압, 바람직하게는 1.1 내지 1.5 기압)으로 유지시킨다. 그러한 압력은 압력 조정 기구(919a)에 의해 조정된다. 인쇄실(A)(905)을 용매 분위기로 설정할 경우에는 용매를 용매 트레이(920a) 내에 넣어둔다.
다음으로, 제2 색의 EL 재료를 인쇄실(B)(907) 내의 성막 유닛(908)에서 막으로 형성한다. 인쇄실(B)(907)은 게이트(900c)를 통해 이송실(901)에 접속된다.본 실시예에서는 인쇄실(B)(907) 내의 성막 유닛(908)에서 홀 주입 층과 녹색 발광 층을 형성한다. 홀 주입 층과 녹색 발광 층에는 공지의 재료를 사용한다.
인쇄실(B)(907)을 질소 또는 다른 불활성 가스 분위기 또는 EL 형성 재료를 구성하는 용매를 함유한 용매 분위기로 설정한다. 또한, 인쇄실(B)(907) 중의 압력을 대기압 또는 대기압에 가까운 압력(전형적으로 1 내지 2 기압, 바람직하게는 1.1 내지 1.5 기압)으로 유지시킨다. 그러한 압력은 압력 조정 기구(919b)에 의해 조정된다. 인쇄실(B)(907)을 용매 분위기로 설정할 경우에는 용매를 용매 트레이(920b) 내에 넣어둔다.
다음으로, 제3 색의 EL 재료를 인쇄실(C)(909) 내의 성막 유닛(910)에서 막으로 형성한다. 인쇄실(C)(909)은 게이트(900d)를 통해 이송실(901)에 접속된다. 본 실시예에서는 인쇄실(C)(909) 내의 성막 유닛(910)에서 홀 주입 층과 청색 발광 층을 형성한다. 홀 주입 층과 청색 발광 층에는 공지의 재료를 사용한다.
인쇄실(C)(909)을 질소 또는 다른 불활성 가스 분위기 또는 EL 형성 재료를 구성하는 용매를 함유한 용매 분위기로 설정한다. 또한, 인쇄실(C)(909) 중의 압력을 대기압 또는 대기압에 가까운 압력(전형적으로 1 내지 2 기압, 바람직하게는 1.1 내지 1.5 기압)으로 유지시킨다. 그러한 압력은 압력 조정 기구(919c)에 의해 조정된다. 인쇄실(C)(909)을 용매 분위기로 설정할 경우에는 용매를 용매 트레이(920c) 내에 넣어둔다.
다음으로, 도면 부호 "911"로 지시된 처리실은 EL 소자의 양극 또는 음극으로서의 역할을 하는 도전막을 증착에 의해 형성하기 위한 증착실이다.증착실(911)은 게이트(900e)를 통해 이송실(901)에 접속된다. 본 실시예에 따른 증착실(911)은 도 2에 도시된 구조로 된다. 증착실(911)은 내부에 성막 유닛(912)을 구비한다. 성막 유닛(912)에서는 EL 소자의 음극으로서의 역할을 하는 도전막으로서 Al-Li 합금 막(알루미늄과 리튬과의 합금 막)이 형성된다. 선택적으로, 알루미늄을 주기율표의 제1 족 또는 제2 족에 속하는 원소와 공동으로 증착시켜 도전막을 형성할 수도 있다.
다음으로, 인쇄실 중에서 EL 층을 인쇄한 후에 EL 형성 재료 중에 함유된 용매를 증발시키기 위한 건조실이 도면 부호 "913"으로 지시되어 있다. 건조실(913)은 게이트(900f)를 통해 이송실(901)에 접속된다. 건조실(913) 내에는 핫 플레이트 유닛(914)이 마련되어 기판을 20 내지 120 ℃로 가열한다.
다음으로, 도면 부호 "915"로 지시된 처리실은 게이트(900g)를 통해 반입실(904)에 접속된 밀봉실이다. 밀봉실(915)은 그 내부에 실시예 1에서와 같은 자외선 조사 기구(916)를 구비한다. 또한, 밀봉실(915)은 인도실(917)에 접속된다. 인도실(917)은 밀봉실(915) 중에서 EL 소자를 봉함한 후에 기판을 인도실(917)로 이송하는 이송기구(B)(918)를 구비한다. 인도실(917)의 설명에 관해서는 다시 실시예 1을 참조하면 된다.
상기한 바와 같이, 도 9에 도시된 성막장치에 의해 EL 소자를 외기에 노출시킴이 없이 형성하여 기밀 공간 중에 완전히 봉함시키게 된다. 따라서, 신뢰성이 높은 발광장치를 제조할 수 있게 된다.
본 실시예의 구조는 실시예 1 또는 실시예 2의 구조와 자유롭게 조합될 수있다.
[실시예 4]
실시예 1에서는 탑 게이트형 TFT를 사용하는 경우에 관해 설명하였지만, 본 발명은 그러한 TFT 구조에 한정되는 것은 아니고, 바틈 게이트형 TFT(대표적으로 역 스태거형 TFT)를 사용하여 실시될 수도 있다. 또한, 역 스태거형 TFT를 임의의 수단에 의해 형성할 수도 있다.
역 스태거형 TFT는 탑 게이트형 TFT보다 더 적은 공정으로 용이하게 제조되므로, 본 발명의 목적중의 하나인 제조 비용을 낮추는데 매우 유리하다. 본 실시예의 구성은 실시예 1 내지 실시예 3 중의 임의의 구성과 자유롭게 조합될 수 있음을 유념해야 할 것이다.
[실시예 5]
본 발명의 발광장치를 구동시킴에 있어서, 상 신호로서 아날로그 신호를 사용하여 아날로그 구동을 실행할 수도 있고, 디지털 신호를 사용하여 디지털 구동을 실행할 수도 있다.
아날로그 구동을 실행할 경우에는 아날로그 신호를 스위칭 TFT의 소스 배선에 전송하는데, 계조 정보를 포함한 아날로그 신호가 전류 제어 TFT의 게이트 전압이 된다. 이어서, EL 소자로 흐르는 전류를 전류 제어 TFT에 의해 제어하여 EL 소자의 발광 세기를 제어함으로써 계조 표시를 실행한다. 그 경우, 전류 제어 TFT를 포화 영역에서 동작시키는 것이 바람직하다.
한편, 디지털 구동을 실행할 경우에는 아날로그 계조 표시와는 상이하게 계조 표시가 시분할 구동(시간 비율 계조 구동)에 의해 실행된다. 즉, 발광 시간의 길이를 조절함으로써 컬러 계조가 가시적으로 변하는 것으로 보일 수 있게 된다. 그 경우, 전류 제어 TFT를 선형 영역에서 구동하는 것이 바람직하다.
EL 소자는 액정 소자에 비해 매우 신속한 응답 속도를 나타내므로, 고속 구동이 가능하다. 따라서, EL 소자는 1 프레임을 다수의 서브 프레임으로 구분한 후에 계조 표시를 실행하는 시분할 구동에 적합하다.
본 발명은 소자 구조와 관련된 기술이므로, 그와 같이 어느 구동 방법을 사용하더라도 무방하다.
[실시예 6]
실시예 1에서는 EL 층으로서 유기 EL 재료를 사용하지만, 본 발명은 무기 EL 재료를 사용하여 실시될 수도 있다. 그러나, 현재의 무기 EL 재료는 극히 높은 구동 전압을 필요로 하므로, 아날로그 구동을 실행할 경우에는 구동 전압을 견딜 수 있는 전압 저항 특성이 있는 TFT를 사용해야 한다.
선택적으로, 구동 전압이 종래의 무기 EL 재료보다 더 낮은 무기 EL 재료가 개발된다면, 그 재료를 본 발명에 적용하는 것이 가능하게 될 것이다.
또한, 본 실시예의 구성은 실시예 1 내지 실시예 5 중의 임의의 구조와 조합될 수 있다.
[실시예 7]
본 발명의 발광장치는 자기 발광형이므로, 액정 디스플레이 장치에 비해 탁월한 가시성을 나타내고, 그 시야 각이 넓게 된다. 따라서, 그러한 발광장치는 각종의 전자 장치의 표시부에 적용될 수 있다. 예컨대, 대형 스크린 상에서 TV 프로그램 등을 관람하기 위해 본 발명에 따른 발광장치를 대각선 크기가 30 인치 이상(전형적으로 40 인치 이상)인 발광장치의 표시부로서 사용할 수 있다.
그러한 표시장치는 예컨대 PC용 표시장치, TV 방송 프로그램을 수상하기 위한 표시장치, 광고 표시용 표시장치와 같은 정보를 표시하는데 사용되는 모든 종류의 표시장치를 포함한다. 또한, 본 발명에 따른 발광장치는 기타의 각종의 전기 장치의 표시부로서 사용될 수 있다.
본 발명의 다른 전자 장치로서는 다음의 것을 들 수 있다: 비디오 카메라; 디지털 카메라; 고글형 디스플레이(헤드 장착형 디스플레이); 차량 항법 시스템; 음성 재생 장치(카 오디오 스테레오 또는 오디오 세트 등); 노트북형 PC; 게임기; 휴대형 정보 터미널(예컨대, 이동 컴퓨터, 휴대 전화, 휴대 게임기, 또는 전자 수첩); 및 녹화 매체를 구비한 화상 재생 장치(특히, 디지털 다기능 디스크(DVD)와 같은 녹화 매체 중의 화상을 재생하여 화상을 표시하는 표시부를 구비한 장치). 그러한 전자 장치의 특정의 예는 도 10A 내지 도 11C에 도시되어 있다.
도 10A는 케이싱(1301), 지지 스탠드(1302), 및 표시부(1303)를 포함하는 EL 표시장치이다. 본 발명의 발광장치는 표시부(1303)로서 사용될 수 있다. 그러한 EL 표시장치는 자기 발광형이므로, 배경 조명이 불필요하다. 따라서, 표시부가 액정 표시장치의 그것보다 더 얇게 될 수 있다.
도 10B는 본체(1311), 표시부(1312), 음성 입력부(1313), 조작 스위치(1314), 배터리(1315), 및 수상부(1316)를 포함하는 비디오 카메라이다. 본발명의 발광장치는 표시부(1312)로서 사용될 수 있다.
도 10C는 본체(1321), 신호 케이블(1322), 헤드 고정 밴드(1323), 표시부(1324), 광학계(1325), 및 표시장치(1326)를 포함하는 헤드 장착형 표시장치의 일부(우측부)이다. 본 발명의 발광장치는 표시장치(1326)로서 사용될 수 있다.
도 10D는 녹화 매체를 구비하고, 본체(1331), 녹화 매체(예컨대, DVD 등)(1332), 조작 스위치(1333), 표시부(a)(1334), 및 표시부(b)(1335)를 포함하는 화상 재생 장치(특히, DVD 재생 장치)이다. 표시부(a)(1334)는 주로 화상 정보의 표시에 사용된다. 표시부(b)(1335)는 주로 문자 정보의 표시에 사용된다. 본 발명의 발광장치 및 구동 방법은 표시부(a)(1334) 및 표시부(b)(1335)로서 사용될 수 있다. 녹화 매체를 구비한 상 재생 장치는 가정용 게임기와 같은 장치를 포함함을 유념해야 할 것이다.
도 10E는 본체(1341), 표시부(1342), 및 암부(1343)를 포함하는 고글형 표시장치(헤드 장착형 표시장치)이다. 본 발명의 발광장치는 표시부(1342)로서 사용될 수 있다.
도 10F는 본체(1351), 케이싱(1352), 표시부(1353), 및 키보드(1354)를 포함하는 PC이다. 본 발명의 발광장치는 표시부(1353)로서 사용될 수 있다.
장래에 EL 재료의 휘도가 증대된다면 출력 화상 정보를 포함한 광을 렌즈 등으로 확대 및 투사함으로써 본 발명의 발광장치를 전방형 또는 후방형 프로젝터에 사용하는 것도 가능함을 유념해야 할 것이다.
또한, 상기한 전자 장치는 흔히 인터넷 및 CATV(케이블 TV)와 같은 전자 통신망을 통해 전송되는 정보를 표시하게 되고, 특히 동영상 정보를 표시할 상황이 늘어가고 있다. EL 재료의 응답 속도가 매우 높기 때문에, 본 발명의 발광장치는 그러한 동영상 표시에 적합하다.
도 11A는 본체(1401), 음성 출력부(1402), 음성 입력부(1403), 표시부(1404), 조작 스위치(1405), 및 안테나(1406)를 포함하는 휴대 전화이다. 본 발명의 발광장치는 표시부(1404)로서 사용될 수 있다. 흑색 배경에 백색 문자를 표시함으로써 표시부(1404)가 이동 전화의 전력 소비를 억제시킬 수 있음을 유념해야 할 것이다.
도 11B는 본체(1411), 표시부(1412), 및 조작 스위치(1413, 1414)를 포함하는 음 재생 장치, 보다 구체적으로 카 오디오 스테레오이다. 본 발명의 발광장치는 표시부(1412)로서 사용될 수 있다. 또한, 본 실시예에는 차량 장착 오디오 스테레오가 예시되지만, 이동형 또는 가정용 음 재생 장치도 역시 사용될 수 있다. 특히 이동형 음 재생 장치에서 흑색 배경에 백색 문자를 표시함으로써 표시부(1414)가 전력 소비를 억제시킬 수 있음을 유념해야 할 것이다. 특히, 그것은 이동형 음 재생 장치에 효과적이다.
도 11C는 본체(1421), 표시부(A)(1422), 대안 렌즈부(1423), 조작 스위치(1424), 표시부(B)(1425), 및 배터리(1426)를 포함하는 디지털 카메라이다. 본 발명의 발광장치는 표시부(A)(1422) 및 표시부(B)(1425)로서 사용될 수 있다. 표시부(B)(1425)를 작동 패널로서 사용할 경우에는 흑색 배경에 백색 문자를 표시함으로써 디지털 카메라의 전력 소모를 억제시킬 수 있음을 유념해야 할 것이다.
본 실시예에 예시된 이동 전자 장치의 경우에는 어둠 속에서 사용할 때에 외부 광을 감지하여 표시의 휘도를 낮추는 전력 절감 방법으로서 센서부가 마련된다.
상기한 바와 같이, 본 발명의 적용 범위는 매우 넓고, 각종의 분야의 전자 장치에 사용될 수 있다. 또한, 본 실시예의 전자 장치는 실시예 1 내지 실시예 6에 예시된 구조 중의 임의의 구조를 자유롭게 조합시킴으로써 얻어질 수 있다.
[실시예 8]
본 실시예에서는 본 발명의 압력 조정 기구에 관해 설명하기로 한다. 도 12에는 인쇄실(1201)에 접속된 압력 조정 기구(1202)가 도시되어 있다. 본 실시예에서는 인쇄법에 의해 EL 층을 형성하는 처리실을 인쇄실로서 지칭한다.
인쇄실(1201) 내에는 기판(1203) 상에 EL 층을 형성하는 인쇄 장치(1204)가 마련된다. 인쇄실(1201)에 관한 설명은 도 1에서 설명된 것과 동일하므로 생략하기로 한다. 또한, 인쇄실(1201) 내에는 용매 트레이(1205)가 마련된다. 용매 트레이(1205) 내에는 다음의 용매가 준비된다: 톨루엔, 크실렌, 클로로벤젠, 디클로로벤젠, 아니졸, 클로로포름, 디클로로메탄, γ부틸 락톤, 부틸 셀로졸브, 시클로헥산, NMP(N-메틸-2-피롤리돈), 시클로헥사논, 디옥산, 및 THF(테트라히드로푸란).
인쇄실(1201)을 압력 조정 기구(1202)에 의해 압축할 때에 용매 트레이(1205) 내에 마련된 용매가 증발하기 때문에, 인쇄실(1201)을 용매 분위기로 조성하는 것이 가능하게 된다. 그러나, 용매 트레이(1205)는 반드시 마련되어야 하는 것은 아니고, 필요한 경우에 마련된다.
다음으로, 본 실시예의 압력 조정 기구(1202)에 관해 설명하기로 한다. 압력 조정 기구(1202)에는 질소, 헬륨, 및 아르곤이 제공되는 실린더(1206), 가스를 압축하는 압축기(1207), 압력실(1201)의 내부 압력을 측정하는 센서(1208), 및 내부 가스를 인쇄실(1201)로 배출하는 파이프 장치에 마련된 배기 밸브(1209)가 있다.
압축기(1207)에 의해 압축된 가스는 인쇄실(1201)("a" 화살표로 지시된 방향으로)로 도입된다. 센서(1208)는 배기 밸브(1209)에 접속되어 인쇄실(1201)의 내부 압력에 따라 배기 밸브(1209)의 개폐를 제어한다. 센서(1208)는 압력계를 구비하고, 그 규격은 0 내지 1,3 MPa로 한다. 특히, 인쇄실(1201)의 내부 압력이 원하는 압력보다 더 낮은 경우에는 배기 밸브(1209)가 폐쇄되고, 원하는 압력보다 더 높은 경우에는 배기 밸브(1209)가 개방되어 인쇄실(1201) 중의 가스를 "b" 화살표로 지시된 방향으로 배출시킴으로써 인쇄실(1201) 중의 압력을 제어하게 된다.
또한, 인쇄실(1201)은 SUS에 의해 형성되는데, 그 압력 저항은 0.8 MPa/㎠(설계 압력 저항은 1.5 MPa/㎠임)이다. 안전을 확보하기 위해 안전 밸브 및 단속 밸브를 마련하는 것이 바람직하다. 배기 밸브(1209)의 압력 저항은 0.9 MPa/㎠이다.
따라서, 인쇄실(1201) 중의 압력을 제어할 수 있다. 본 실시예의 구성은 실시예 1 내지 실시예 7에 예시된 모든 압력 조정 기구로서 실시될 수 있다.
[실시예 9]
본 실시예에서는 실시예 8에 예시된 것과는 상이한 구조로 된 본 발명에 따른 압력 조정 기구의 경우에 관해 설명하기로 한다. 본 실시예에서는 인쇄법에 의해 EL 층을 형성하기 위한 처리실을 인쇄실로 지칭한다.
본 실시예의 압력 조정 기구에서는 도 13에 도시된 바와 같이 인쇄실(1301)의 외부에 마련된 다수의 가압용 히터(1302)에 의해 인쇄실(1301)을 가열하게 된다. 히터(1302)는 전원(1303)에 접속된다. 히터(1302)와 전원(1303)과의 사이에는 가변 저항기(1304)가 마련된다. 가변 저항기(1304)는 전원(1303)으로부터 히터로 제공되는 전력을 제어하는데 사용된다.
가변 저항기(1304)는 인쇄실(1301) 중의 압력을 측정하여 그 측정된 압력에 따라 가변 저항기를 제어하기 위한 제1 센서(1305)를 구비한다. 그와 같이 하여, 전원(1303)으로부터 히터(1302)로 제공되는 전력이 제어된다. 제1 센서(1305)에 설치된 압력계의 규격은 0 내지 1.3 MPa의 범위로 된다.
상기한 바와 같이 히터로 제공되는 전력을 제어함으로써 히터(1302)가 인쇄실(1301)을 가열하는 온도를 제어할 수 있다. 그 결과, 인쇄실 중의 압력이 제어될 수 있게 된다.
실시예 8에서와 같이 그 내부에 용매가 넣어지는 용매 트레이를 인쇄실에 마련할 수 있다. 그 경우, 인쇄실(1301)은 인쇄실(1301)이 가열되어 용매의 증발점에 도달되거나 그를 초과할 때에 증발되는 용매로 충전된다. 용매 트레이에 넣어둘 용매는 EL 형성 재료에 함유된 용매와 동일할 수 있다.
그러한 히터(1302)의 배치는 도 13에 도시된 것에 한정되는 것이 아니고, 인쇄실(1301)이 가열 및 가압되는 한에는 변경될 수 있다.
본 실시예에서의 인쇄실(1301)은 제2 센서(1307)를 추가로 구비한다. 제2센서(1307)는 인쇄실(1301) 중의 압력을 측정하여 그 측정된 인쇄실(1301) 중의 압력에 따라 배기 밸브(1306)의 개폐를 제어한다.
제2 센서(1307)는 인쇄실(1301) 및 배기 밸브(1306)에 접속되고, 제2 센서(1307)의 압력계는 인쇄실(1301) 중의 압력을 측정할 수 있다. 그 압력계의 규격은 0 내지 1.3 MPa의 범위로 된다. 인쇄실 중의 압력이 원하는 압력보다 더 낮은 경우에는 배기 밸브(1306)가 폐쇄되는 반면에, 인쇄실 중의 압력이 원하는 압력보다 더 높은 경우에는 배기 밸브(1306)가 개방되어 가스를 인쇄실(1301)로부터 배출시킨다. 그와 같이 하여, 인쇄실 중의 압력이 조정된다.
인쇄실(1301)은 SUS로 제작되는데, 그 압력 저항은 0.8 MPa/㎠(설계 압력 저항은 1.5 MPa/㎠임)이다. 안전을 확보하기 위해 안전 밸브, 단속 밸브 등을 마련하는 것이 바람직하다. 압력 저항이 0.9 MPa/㎠인 배기 밸브(1306)가 사용하기에 적합하다.
상기한 기구에 의해 처리실(1301)을 가압할 수 있다. 본 실시예의 구성은 실시예 1 내지 실시예 8에 예시된 모든 압력 조정 기구에 적용될 수 있다.
본 발명은 종래에 인쇄법에 의해 EL을 형성할 때에 불가피하였던 용매의 휘발성과 관련된 문제점을 일으킴이 없이 인쇄법에 의해 EL 층을 형성할 수 있도록 한다. 따라서, 발광장치의 제조 비용이 절감될 수 있다. 또한, 저렴하게 제조될 수 있는 발광장치를 표시장치로서 사용함으로써 전자 장치의 제조 비용을 감소시킬 수 있다.

Claims (45)

  1. 전계 발광 층을 형성하기 위한 처리실로 이루어지는 성막장치로서,
    처리실은 압력 조정 기구에 접속되고, 그 압력 조정 기구에 의해 가압되어 대기압 이상의 압력에 도달되는 것을 특징으로 하는 성막장치.
  2. 전계 발광 층을 형성하기 위한 처리실로 이루어지는 성막장치로서,
    처리실은 압축기에 접속되고;
    처리실은 그 압축기로부터의 가스 도입에 의해 가압되어 대기압 이상의 압력에 도달되는 것을 특징으로 하는 성막장치.
  3. 전계 발광 층을 형성하기 위한 처리실로 이루어지는 성막장치로서,
    처리실은 압축기에 접속되고;
    처리실은 그 압축기로부터의 가스 도입에 의해 가압되어 대기압 이상의 압력에 도달되며;
    처리실은 용매 분위기를 수반하는 것을 특징으로 하는 성막장치.
  4. 전계 발광 층을 형성하기 위한 처리실로 이루어지는 성막장치로서,
    처리실은 압축기, 센서, 및 배기 밸브를 구비하고;
    압축기는 가스를 처리실로 도입하며;
    센서는 처리실 중의 압력을 측정하여 배기 밸브를 개폐하기 위한 신호를 입력시키는 것을 특징으로 하는 성막장치.
  5. 제 2 항에 있어서, 가스는 이슬점이 -20 ℃인 불활성 가스인 것을 특징으로 하는 성막장치.
  6. 제 5 항에 있어서, 불활성 가스는 질소, 아르곤, 또는 헬륨으로 이루어지는 것을 특징으로 하는 성막장치.
  7. 제 3 항에 있어서, 가스는 이슬점이 -20 ℃인 불활성 가스인 것을 특징으로 하는 성막장치.
  8. 제 7 항에 있어서, 불활성 가스는 질소, 아르곤, 또는 헬륨으로 이루어지는 것을 특징으로 하는 성막장치.
  9. 제 4 항에 있어서, 가스는 이슬점이 -20 ℃인 불활성 가스인 것을 특징으로 하는 성막장치.
  10. 제 9 항에 있어서, 불활성 가스는 질소, 아르곤, 또는 헬륨으로 이루어지는 것을 특징으로 하는 성막장치.
  11. 제 1 항에 있어서, 성막장치에는 2개 이상의 처리실이 마련되는 것을 특징으로 하는 성막장치.
  12. 제 2 항에 있어서, 성막장치에는 2개 이상의 처리실이 마련되는 것을 특징으로 하는 성막장치.
  13. 제 3 항에 있어서, 성막장치에는 2개 이상의 처리실이 마련되는 것을 특징으로 하는 성막장치.
  14. 제 4 항에 있어서, 성막장치에는 2개 이상의 처리실이 마련되는 것을 특징으로 하는 성막장치.
  15. 제 1 항에 있어서, 처리실 중의 압력은 1.1 내지 1.5 기압인 것을 특징으로 하는 성막장치.
  16. 제 2 항에 있어서, 처리실 중의 압력은 1.1 내지 1.5 기압인 것을 특징으로 하는 성막장치.
  17. 제 3 항에 있어서, 처리실 중의 압력은 1.1 내지 1.5 기압인 것을 특징으로하는 성막장치.
  18. 제 4 항에 있어서, 처리실 중의 압력은 1.1 내지 1.5 기압인 것을 특징으로 하는 성막장치.
  19. 절연 표면 상에 형성된 제1 전극 상에 전계 발광 층 및 제2 전극을 형성하기 위한 성막장치로서,
    전계 발광 층을 형성하기 위한 제1 처리실;
    전계 발광 층을 건조시키기 위한 제2 처리실; 및
    제2 전극을 형성하기 위한 제3 처리실로 이루어지고, 제1 처리실은 압축기에 접속되며, 제1 처리실은 압축기로부터의 가스 도입에 의해 가압되어 대기압 이상의 압력에 도달되는 것을 특징으로 하는 성막장치.
  20. 절연 표면 상에 형성된 제1 전극 상에 전계 발광 층 및 제2 전극을 형성하기 위한 성막장치로서,
    전계 발광 층을 형성하기 위한 제1 처리실;
    전계 발광 층을 건조시키기 위한 제2 처리실; 및
    제2 전극을 형성하기 위한 제3 처리실로 이루어지고, 제1 처리실은 압축기에 접속되며, 제1 처리실은 압축기로부터의 가스 도입에 의해 가압되어 대기압 이상의 압력에 도달되고, 제1 처리실은 용매 분위기를 수반하는 것을 특징으로 하는 성막장치.
  21. 절연 표면 상에 형성된 제1 전극 상에 전계 발광 층 및 제2 전극을 형성하기 위한 성막장치로서,
    전계 발광 층을 형성하기 위한 제1 처리실;
    전계 발광 층을 건조시키기 위한 제2 처리실; 및
    제2 전극을 형성하기 위한 제3 처리실로 이루어지고, 제1 처리실은 압축기, 센서, 및 배기 밸브를 구비하며, 압축기는 가스를 처리실로 도입하고, 센서는 처리실 중의 압력을 측정하여 배기 밸브를 개폐하기 위한 신호를 입력시키는 것을 특징으로 하는 성막장치.
  22. 제 19 항에 있어서, 가스는 이슬점이 -20 ℃인 불활성 가스인 것을 특징으로 하는 성막장치.
  23. 제 22 항에 있어서, 불활성 가스는 질소, 아르곤, 또는 헬륨으로 이루어지는 것을 특징으로 하는 성막장치.
  24. 제 20 항에 있어서, 가스는 이슬점이 -20 ℃인 불활성 가스인 것을 특징으로 하는 성막장치.
  25. 제 24 항에 있어서, 불활성 가스는 질소, 아르곤, 또는 헬륨으로 이루어지는 것을 특징으로 하는 성막장치.
  26. 제 21 항에 있어서, 가스는 이슬점이 -20 ℃인 불활성 가스인 것을 특징으로 하는 성막장치.
  27. 제 26 항에 있어서, 불활성 가스는 질소, 아르곤, 또는 헬륨으로 이루어지는 것을 특징으로 하는 성막장치.
  28. 제 19 항에 있어서, 성막장치에는 2개 이상의 처리실이 마련되는 것을 특징으로 하는 성막장치.
  29. 제 20 항에 있어서, 성막장치에는 2개 이상의 처리실이 마련되는 것을 특징으로 하는 성막장치.
  30. 제 21 항에 있어서, 성막장치에는 2개 이상의 처리실이 마련되는 것을 특징으로 하는 성막장치.
  31. 제 19 항에 있어서, 처리실 중의 압력은 1.1 내지 1.5 기압인 것을 특징으로 하는 성막장치.
  32. 제 20 항에 있어서, 처리실 중의 압력은 1.1 내지 1.5 기압인 것을 특징으로 하는 성막장치.
  33. 제 21 항에 있어서, 처리실 중의 압력은 1.1 내지 1.5 기압인 것을 특징으로 하는 성막장치.
  34. 절연 표면 상에 형성된 전극 및 그 전극과 접촉되는 전계 발광 층을 구비하는 발광장치의 제작방법으로서,
    가스를 압축기로부터 처리실로 도입하는 단계;
    처리실을 가압하여 대기압 이상의 압력에 도달시키는 단계; 및
    처리실 중에서 전계 발광 층을 형성하는 단계를 포함하는 것을 특징으로 하는 발광장치의 제작방법.
  35. 반도체 소자와 접속된 전극 및 그 전극과 접촉되는 전계 발광 층을 구비하는 발광장치의 제작방법으로서,
    가스를 압축기로부터 처리실로 도입하는 단계;
    처리실을 가압하여 대기압 이상의 압력에 도달시키는 단계; 및
    처리실 중에서 전계 발광 층을 형성하는 단계를 포함하는 것을 특징으로 하는 발광장치의 제작방법.
  36. 제 34 항에 있어서, 처리실 중의 압력을 1.1 내지 1.5 기압으로 하는 것을 특징으로 하는 발광장치의 제작방법.
  37. 제 35 항에 있어서, 처리실 중의 압력을 1.1 내지 1.5 기압으로 하는 것을 특징으로 하는 발광장치의 제작방법.
  38. 제 34 항에 있어서, 전계 발광 층을 인쇄법에 의해 형성하는 것을 특징으로 하는 발광장치의 제작방법.
  39. 제 38 항에 있어서, 전계 발광 층을 활판 인쇄법, 평판 인쇄법, 및 스크린 인쇄법 중의 어느 하나에 의해 형성하는 것을 특징으로 하는 발광장치의 제작방법.
  40. 제 35 항에 있어서, 전계 발광 층을 인쇄법에 의해 형성하는 것을 특징으로 하는 발광장치의 제작방법.
  41. 제 40 항에 있어서, 전계 발광 층을 활판 인쇄법, 평판 인쇄법, 및 스크린 인쇄법 중의 어느 하나에 의해 형성하는 것을 특징으로 하는 발광장치의 제작방법.
  42. 제 34 항에 따른 방법에 의해 제조되는 발광장치.
  43. 제 35 항에 따른 방법으로 제조되는 발광장치.
  44. 제 42 항에 있어서, 발광장치는 표시장치, 디지털 카메라, 노트북 컴퓨터, 이동 컴퓨터, 녹화 매체를 구비한 휴대 상 재생 장치, 고글형 표시장치, 비디오 카메라, 및 이동 전화로 이루어지는 군으로부터 선택된 장치인 것을 특징으로 하는 발광장치.
  45. 제 43 항에 있어서, 발광장치는 표시장치, 디지털 카메라, 노트북 컴퓨터, 이동 컴퓨터, 녹화 매체를 구비한 휴대 상 재생 장치, 고글형 표시장치, 비디오 카메라, 및 이동 전화로 이루어지는 군으로부터 선택된 장치인 것을 특징으로 하는 발광장치.
KR1020010041098A 2000-07-10 2001-07-10 성막장치 및 발광장치 제작방법 KR100865543B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000209130 2000-07-10
JPJP-P-2000-00209130 2000-07-10

Publications (2)

Publication Number Publication Date
KR20020005511A true KR20020005511A (ko) 2002-01-17
KR100865543B1 KR100865543B1 (ko) 2008-10-29

Family

ID=18705587

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010041098A KR100865543B1 (ko) 2000-07-10 2001-07-10 성막장치 및 발광장치 제작방법

Country Status (4)

Country Link
US (4) US6940223B2 (ko)
JP (3) JP5100882B2 (ko)
KR (1) KR100865543B1 (ko)
TW (1) TW577813B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210142150A (ko) * 2019-06-25 2021-11-24 후지필름 가부시키가이샤 감방사선성 수지 조성물의 제조 방법

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW577813B (en) 2000-07-10 2004-03-01 Semiconductor Energy Lab Film forming apparatus and method of manufacturing light emitting device
US6924594B2 (en) * 2000-10-03 2005-08-02 Semiconductor Energy Laboratory Co., Ltd. Light emitting device
US7211828B2 (en) 2001-06-20 2007-05-01 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and electronic apparatus
TW548860B (en) * 2001-06-20 2003-08-21 Semiconductor Energy Lab Light emitting device and method of manufacturing the same
JP2003045874A (ja) 2001-07-27 2003-02-14 Semiconductor Energy Lab Co Ltd 金属配線およびその作製方法、並びに金属配線基板およびその作製方法
TWI264121B (en) 2001-11-30 2006-10-11 Semiconductor Energy Lab A display device, a method of manufacturing a semiconductor device, and a method of manufacturing a display device
WO2003054981A1 (en) * 2001-12-20 2003-07-03 Add-Vision, Inc. Screen printable electrode for organic light emitting device
US6953735B2 (en) 2001-12-28 2005-10-11 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating a semiconductor device by transferring a layer to a support with curvature
US7230271B2 (en) 2002-06-11 2007-06-12 Semiconductor Energy Laboratory Co., Ltd. Light emitting device comprising film having hygroscopic property and transparency and manufacturing method thereof
DE10229118A1 (de) * 2002-06-28 2004-01-29 Infineon Technologies Ag Verfahren zur kostengünstigen Strukturierung von leitfähigen Polymeren mittels Definition von hydrophilen und hydrophoben Bereichen
US7080901B2 (en) * 2002-12-03 2006-07-25 Dai Nippon Printing Co. Ltd. Printing unit and manufacturing line for manufacturing flexible organic EL display
US20040264139A1 (en) * 2003-06-24 2004-12-30 Nokia Corporation Process for manufacturing a cover
US20040265481A1 (en) * 2003-06-27 2004-12-30 Yoshihiro Kobayashi Method for manufacturing electroluminescent element
US20050126410A1 (en) * 2003-11-07 2005-06-16 Samsung Electronics Co., Ltd. System and method for printing an alignment film
US7495644B2 (en) * 2003-12-26 2009-02-24 Semiconductor Energy Laboratory Co., Ltd. Display device and method for manufacturing display device
US7202504B2 (en) 2004-05-20 2007-04-10 Semiconductor Energy Laboratory Co., Ltd. Light-emitting element and display device
US8080277B2 (en) * 2005-03-18 2011-12-20 Konica Minolta Holdings, Inc. Method of forming organic compound layer, method of manufacturing organic EL element and organic EL element
JP4682701B2 (ja) * 2005-05-27 2011-05-11 凸版印刷株式会社 有機el素子用インキおよび有機el素子の製造方法
US7767516B2 (en) * 2005-05-31 2010-08-03 Semiconductor Energy Laboratory Co., Ltd Semiconductor device, manufacturing method thereof, and manufacturing method of antenna
KR100846592B1 (ko) * 2006-12-13 2008-07-16 삼성에스디아이 주식회사 유기 발광 디스플레이 장치
JP5208591B2 (ja) 2007-06-28 2013-06-12 株式会社半導体エネルギー研究所 発光装置、及び照明装置
US20090084279A1 (en) * 2007-09-28 2009-04-02 Toppan Printing Co., Ltd. Relief printing plate and printed matter
US20090176010A1 (en) * 2008-01-04 2009-07-09 M Zwick Lucy Method of manufacturing organic light emitting display
EP2230703A3 (en) * 2009-03-18 2012-05-02 Semiconductor Energy Laboratory Co., Ltd. Manufacturing apparatus and manufacturing method of lighting device
KR101849786B1 (ko) 2009-03-18 2018-04-17 가부시키가이샤 한도오따이 에네루기 켄큐쇼 조명 장치
WO2011108382A1 (en) * 2010-03-05 2011-09-09 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
GB2480875B (en) * 2010-06-04 2014-09-03 Plastic Logic Ltd Production of electronic switching devices
KR101494994B1 (ko) * 2011-02-07 2015-02-23 세키스이가가쿠 고교가부시키가이샤 제관 장치 및 제관 방법
KR101434658B1 (ko) * 2011-12-28 2014-08-29 코오롱인더스트리 주식회사 유기 태양전지의 제조 방법
TWI474432B (zh) * 2012-11-15 2015-02-21 Lextar Electronics Corp 晶粒定位裝置、具有晶粒定位裝置的晶粒定位系統與發光二極體顯示板的晶粒定位方法
CN107757153B (zh) 2012-12-27 2020-05-01 科迪华公司 用于打印油墨体积控制以在精确公差内沉积流体的技术
US9700908B2 (en) 2012-12-27 2017-07-11 Kateeva, Inc. Techniques for arrayed printing of a permanent layer with improved speed and accuracy
US11673155B2 (en) 2012-12-27 2023-06-13 Kateeva, Inc. Techniques for arrayed printing of a permanent layer with improved speed and accuracy
US9832428B2 (en) 2012-12-27 2017-11-28 Kateeva, Inc. Fast measurement of droplet parameters in industrial printing system
US9352561B2 (en) 2012-12-27 2016-05-31 Kateeva, Inc. Techniques for print ink droplet measurement and control to deposit fluids within precise tolerances
US11141752B2 (en) 2012-12-27 2021-10-12 Kateeva, Inc. Techniques for arrayed printing of a permanent layer with improved speed and accuracy
WO2014129519A1 (en) 2013-02-20 2014-08-28 Semiconductor Energy Laboratory Co., Ltd. Peeling method, semiconductor device, and peeling apparatus
KR102099288B1 (ko) * 2013-05-29 2020-04-10 삼성디스플레이 주식회사 유기 발광 표시 장치 및 유기 발광 표시 장치의 제조 방법
CN105793957B (zh) 2013-12-12 2019-05-03 株式会社半导体能源研究所 剥离方法及剥离装置
CN107825886B (zh) 2013-12-12 2020-04-14 科迪华公司 制造电子设备的方法
WO2017159222A1 (ja) * 2016-03-18 2017-09-21 サトーホールディングス株式会社 アンテナパターンの製造方法、rfidインレットの製造方法、rfidラベルの製造方法及びrfid媒体の製造方法
CN107168589B (zh) 2017-07-20 2020-09-18 上海天马微电子有限公司 一种显示基板及其制作方法、显示面板和显示装置
US20220176634A1 (en) * 2019-08-29 2022-06-09 Hewlett-Packard Development Company, L.P. Pressure-regulating apparatus for three-dimensional printer

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3622415A1 (de) * 1986-07-03 1988-01-07 Ego Elektro Blanc & Fischer Strahlheizkoerper
KR970006206B1 (ko) * 1988-02-10 1997-04-24 도오교오 에레구토론 가부시끼가이샤 자동 도포 시스템
GB9202154D0 (en) 1992-01-31 1992-03-18 Challenger John G Clean room construction
JPH05310412A (ja) 1992-05-11 1993-11-22 Hitachi Ltd 有機溶液の回転塗布装置
JP3181737B2 (ja) * 1992-12-28 2001-07-03 東北パイオニア株式会社 エレクトロルミネッセンス素子
KR950006975A (ko) * 1993-08-16 1995-03-21 이헌조 비정질 반도체막 및 수소화된 비정질 반도체막 형성방법
US5627089A (en) 1993-08-02 1997-05-06 Goldstar Co., Ltd. Method for fabricating a thin film transistor using APCVD
US5431800A (en) 1993-11-05 1995-07-11 The University Of Toledo Layered electrodes with inorganic thin films and method for producing the same
JP3463362B2 (ja) * 1993-12-28 2003-11-05 カシオ計算機株式会社 電界発光素子の製造方法および電界発光素子
JP3030309B2 (ja) * 1994-03-09 2000-04-10 工業技術院長 薄膜製造装置
TW334474B (en) * 1995-02-01 1998-06-21 Sumitomo Kagaku Kk Method for making a polymeric fluorescent substrate and organic electrolumninescent element
JPH08220327A (ja) * 1995-02-09 1996-08-30 Toray Ind Inc カラーフィルタ
KR970018003A (ko) * 1995-09-21 1997-04-30 김광호 급속 화학적 기상 성막장치
JP3574270B2 (ja) 1996-04-17 2004-10-06 三菱電機株式会社 Alテーパドライエッチング方法
JPH1077467A (ja) * 1996-09-04 1998-03-24 Sumitomo Chem Co Ltd 有機エレクトロルミネッセンス素子の製造方法
JPH10150028A (ja) 1996-11-15 1998-06-02 Mitsubishi Electric Corp Sogコーター及びsog膜の成膜方法
TW376547B (en) * 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6175345B1 (en) * 1997-06-02 2001-01-16 Canon Kabushiki Kaisha Electroluminescence device, electroluminescence apparatus, and production methods thereof
PL183687B1 (pl) * 1997-06-06 2002-06-28 Centrum Badan Sposób wytwarzania półprzewodnikowych związków grupy A-B o przewodnictwie elektrycznym typu p i typu n
US6215244B1 (en) * 1997-06-16 2001-04-10 Canon Kabushiki Kaisha Stacked organic light emitting device with specific electrode arrangement
US6001418A (en) * 1997-12-16 1999-12-14 The University Of North Carolina At Chapel Hill Spin coating method and apparatus for liquid carbon dioxide systems
TW392101B (en) * 1997-12-18 2000-06-01 Nikon Corp Method of controlling air pressure in chamber, apparatus for the same, and exposure apparatus
KR100345283B1 (ko) * 1998-05-15 2002-10-25 테스텍 주식회사 접촉발광소자와그의제조방법및이를이용한접촉입력장치
DE69923495D1 (de) * 1998-05-15 2005-03-10 Testech Inc Eine auf Berührung reagierende lichtemittierende Vorrichtung, ein Verfahren zu deren Herstellung, und deren Verwendung in einem Berührungseingabegerät
JP3704258B2 (ja) * 1998-09-10 2005-10-12 松下電器産業株式会社 薄膜形成方法
US6208075B1 (en) * 1998-11-05 2001-03-27 Eastman Kodak Company Conductive fluorocarbon polymer and method of making same
TW556357B (en) * 1999-06-28 2003-10-01 Semiconductor Energy Lab Method of manufacturing an electro-optical device
US6384427B1 (en) * 1999-10-29 2002-05-07 Semiconductor Energy Laboratory Co., Ltd. Electronic device
US6582504B1 (en) * 1999-11-24 2003-06-24 Sharp Kabushiki Kaisha Coating liquid for forming organic EL element
JP4827294B2 (ja) 1999-11-29 2011-11-30 株式会社半導体エネルギー研究所 成膜装置及び発光装置の作製方法
JP3891753B2 (ja) 2000-02-22 2007-03-14 シャープ株式会社 有機発光素子の製造方法
JP4889883B2 (ja) * 2000-07-10 2012-03-07 株式会社半導体エネルギー研究所 成膜方法および成膜装置
TW577813B (en) 2000-07-10 2004-03-01 Semiconductor Energy Lab Film forming apparatus and method of manufacturing light emitting device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210142150A (ko) * 2019-06-25 2021-11-24 후지필름 가부시키가이샤 감방사선성 수지 조성물의 제조 방법

Also Published As

Publication number Publication date
JP2012094550A (ja) 2012-05-17
US8056501B2 (en) 2011-11-15
US20020003397A1 (en) 2002-01-10
US6940223B2 (en) 2005-09-06
US20050257741A1 (en) 2005-11-24
JP2012199250A (ja) 2012-10-18
TW577813B (en) 2004-03-01
JP5560300B2 (ja) 2014-07-23
US20100018457A1 (en) 2010-01-28
KR100865543B1 (ko) 2008-10-29
US8647706B2 (en) 2014-02-11
JP2012033506A (ja) 2012-02-16
US20120058251A1 (en) 2012-03-08
JP5100882B2 (ja) 2012-12-19

Similar Documents

Publication Publication Date Title
JP5100882B2 (ja) 発光装置の作製方法
JP4889883B2 (ja) 成膜方法および成膜装置
US7572478B2 (en) Light emitting device
US7517551B2 (en) Method of manufacturing a light-emitting device
KR101011346B1 (ko) 발광 장치 및 그 제작 방법
US7488986B2 (en) Light emitting device
US7948171B2 (en) Light emitting device
US7465593B2 (en) Electronics device, semiconductor device, and method for manufacturing the same
KR100884218B1 (ko) 발광 장치의 제조 방법
KR100975800B1 (ko) 발광 디바이스 및 전자 기기
US7057209B2 (en) Light emitting device, method of manufacturing the same and manufacturing apparatus therefor
US20050136784A1 (en) Light emitting device and method of manufacturing the same
JP4651916B2 (ja) 発光装置の作製方法
JP2003086359A (ja) 発光装置及び電子機器
JP2001319789A (ja) 発光装置およびその作製方法
JP4597421B2 (ja) 発光装置の作製方法
JP2003109756A (ja) 発光装置の作製方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120919

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130924

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140923

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150918

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160922

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee