KR20010048581A - Method for forming a contact hole of a semiconductor device - Google Patents

Method for forming a contact hole of a semiconductor device Download PDF

Info

Publication number
KR20010048581A
KR20010048581A KR1019990053310A KR19990053310A KR20010048581A KR 20010048581 A KR20010048581 A KR 20010048581A KR 1019990053310 A KR1019990053310 A KR 1019990053310A KR 19990053310 A KR19990053310 A KR 19990053310A KR 20010048581 A KR20010048581 A KR 20010048581A
Authority
KR
South Korea
Prior art keywords
contact hole
semiconductor substrate
interlayer insulating
insulating layer
etching
Prior art date
Application number
KR1019990053310A
Other languages
Korean (ko)
Inventor
이현철
이재봉
Original Assignee
윤종용
삼성전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윤종용, 삼성전자 주식회사 filed Critical 윤종용
Priority to KR1019990053310A priority Critical patent/KR20010048581A/en
Publication of KR20010048581A publication Critical patent/KR20010048581A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes

Abstract

PURPOSE: A method for manufacturing a contact hole of a semiconductor device is provided to minimize a defect and to improve reliability, by forming the contact hole in which a partial region of the surface of the semiconductor substrate is completely etched. CONSTITUTION: A spacer(48) is formed on a gate electrode and the sidewall of the gate electrode of a semiconductor substrate(40). An interlayer dielectric(52) is formed on the semiconductor substrate by using an oxide material. The interlayer dielectric formed in a contact hole region for connecting a storage electrode of a capacitor or bit line is anisotropically dry-etched to expose a part of the spacer. The interlayer dielectric etched to a depth that a part of the spacer is exposed, is wet-etched to an end where the semiconductor substrate is exposed.

Description

반도체 장치의 콘택홀 형성 방법 {METHOD FOR FORMING A CONTACT HOLE OF A SEMICONDUCTOR DEVICE}Method for forming contact hole in semiconductor device {METHOD FOR FORMING A CONTACT HOLE OF A SEMICONDUCTOR DEVICE}

본 발명은 반도체 장치의 콘택홀 형성 방법에 관한 것으로써, 보다 상세하게는 건식식각 및 습식식각을 계속적으로 수행하여 콘택홀(contact hole)을 형성하는 반도체 장치의 콘택홀 형성 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for forming a contact hole in a semiconductor device, and more particularly, to a method for forming a contact hole in a semiconductor device in which contact holes are formed by continuously performing dry etching and wet etching.

최근의 반도체 장치는 0.15㎛의 디자인 룰을 가진다. 이에 따라 상기 디자인 룰을 만족시키기 위하여 콘택홀은 에스펙토 레티오(aspect ratio)를 2 이상으로 형성하여야 한다. 따라서 상기 콘택홀의 폭(CD : critical dimension)은 축소되고, 상기 콘택홀을 형성하는 층간절연층(inter layer dielectric : ILD)은 두껍게 형성된다.Recent semiconductor devices have a design rule of 0.15 mu m. Accordingly, in order to satisfy the design rule, the contact hole must have an aspect ratio of 2 or more. Therefore, the width of the contact hole (CD) is reduced, and an interlayer dielectric (ILD) that forms the contact hole is formed thick.

그리고 상기 콘택홀을 형성시 공정 마진의 확보가 더욱 어려워짐에 따라 최근에는 게이트 전극의 측벽에 형성되는 스페이서를 공정 마진으로 확보하는 자기정렬콘택(self align contact : 이하 'SAC'라 함)을 주로 수행한다.As the process margin is more difficult to secure when forming the contact hole, a self align contact (hereinafter referred to as 'SAC') which mainly secures the spacer formed on the sidewall of the gate electrode as the process margin is mainly used. Perform.

여기서 상기 스페이서를 공정 마진으로 확보하기 때문에 이를 쇼울더 마진(shoulder margin)이라고도 한다.Since the spacer is secured as a process margin, this is also referred to as a shoulder margin.

도 1은 종래의 콘택홀이 형성된 반도체 장치를 도시한 단면도이다.1 is a cross-sectional view of a semiconductor device in which a conventional contact hole is formed.

도 1을 참조하면, 반도체 기판(10)상에 게이트 전극들(17)이 형성되어 있다. 여기서 게이트 전극들(17)은 폴리실리콘층(12), 텅스텐 실리사이드(14) 및 질화실리콘층(16)이 순차적으로 적층된 구조이다. 그리고 게이트 전극들(17)의 측벽에 스페이서(18)가 형성되어 있다. 여기서 스페이서(18)는 질화실리콘을 사용하여 형성한다.Referring to FIG. 1, gate electrodes 17 are formed on a semiconductor substrate 10. The gate electrodes 17 have a structure in which the polysilicon layer 12, the tungsten silicide 14, and the silicon nitride layer 16 are sequentially stacked. Spacers 18 are formed on sidewalls of the gate electrodes 17. The spacer 18 is here formed using silicon nitride.

또한 반도체 기판(10)의 표면부위에는 확산 영역(20)이 형성되어 있다. 여기서 상기 확산 영역(20)은 상기 게이트 전극들(17) 사이의 영역에 형성된다.In addition, a diffusion region 20 is formed on the surface of the semiconductor substrate 10. The diffusion region 20 is formed in the region between the gate electrodes 17.

상기 게이트 전극들(17) 및 게이트 전극들(17)의 측벽에 스페이서(18)가 형성된 반도체 기판(10)상에 층간절연층(22)이 형성되어 있다. 여기서 층간절연층(22)은 산화물을 사용하여 12,000Å의 두께로 형성한다.An interlayer insulating layer 22 is formed on the semiconductor substrate 10 having the spacers 18 formed on sidewalls of the gate electrodes 17 and the gate electrodes 17. Here, the interlayer insulating layer 22 is formed to a thickness of 12,000 kPa using oxide.

그리고 반도체 기판(10)의 표면이 일부 노출되는 콘택홀이 층간절연층(22)에 의하여 형성되어 있다. 여기서 상기 콘택홀의 형성으로 게이트 전극들(17) 사이의 반도체 기판(10)의 표면이 노출된다.A contact hole through which the surface of the semiconductor substrate 10 is partially exposed is formed by the interlayer insulating layer 22. The surface of the semiconductor substrate 10 between the gate electrodes 17 is exposed by the formation of the contact hole.

상기 콘택홀의 형성을 위한 SAC공정에서는 층간절연층(22)과 스페이서(18)의 식각선택비를 이용한다. 이에 따라 층간절연층(22)이 선택적으로 식각된다. 즉, 스페이서(18)를 쇼울더 마진으로 확보한 상태에서 상기 식각선택비를 이용하여 층간절연층(22)을 식각한다.In the SAC process for forming the contact hole, an etching selectivity of the interlayer insulating layer 22 and the spacer 18 is used. As a result, the interlayer insulating layer 22 is selectively etched. That is, the interlayer insulating layer 22 is etched using the etch selectivity while the spacer 18 is secured with shoulder margin.

여기서 상기 SAC공정에서는 층간절연층(22)을 구성하는 물질인 산화물과 스페이서(18)를 구성하는 물질인 질화실리콘이 5 내지 7 : 1 정도의 식각선택비를 갖는다. 그리고 상기 SAC공정은 플라즈마를 이용한 이방성의 건식식각이다.In the SAC process, an oxide, which is a material constituting the interlayer insulating layer 22, and silicon nitride, a material constituting the spacer 18, have an etching selectivity of about 5 to 7: 1. The SAC process is anisotropic dry etching using plasma.

그러나 상기 식각선택비로 층간절연층(22)을 식각할 경우에는 도 1의 A에 의해 도시된 바와 같이 층간절연층(22)의 산화물이 식각되지 않고 반도체 기판(10)의 확산 영역(20)상에 부분적으로 잔류한다.However, when the interlayer insulating layer 22 is etched by the etching selectivity, the oxide of the interlayer insulating layer 22 is not etched, as shown by A of FIG. 1, and is formed on the diffusion region 20 of the semiconductor substrate 10. Partially remains.

이에 따라 상기 식각선택비를 높이기 위하여 폴리메릭 플라즈마(polymeric plasma)의 조건으로 식각을 수행하기도 하지만, 층간절연층(22)의 산화물 상부에 폴리머가 형성되어 식각을 방해한다. 따라서 전술한 바와 같이 층간절연층(22)의 산화물이 식각되지 않고 반도체 기판(10)의 확산 영역(20)상에 부분적으로 잔류한다.Accordingly, in order to increase the etching selectivity, etching may be performed under the condition of a polymer plasma, but a polymer is formed on the oxide of the interlayer insulating layer 22 to prevent etching. Therefore, as described above, the oxide of the interlayer insulating layer 22 is partially etched and remains partially on the diffusion region 20 of the semiconductor substrate 10.

이는 반도체 기판(10)내의 확산 영역(20)과 접촉하는 전극층(도시되지 않음) 등의 전기적 연결을 방해하는 원인으로 작용한다.This acts as a cause of disturbing the electrical connection of the electrode layer (not shown) in contact with the diffusion region 20 in the semiconductor substrate 10.

따라서 전술한 바와 같은 결함이 발생함으로써 반도체 장치의 신뢰도가 저하되는 문제점이 있었다.Therefore, there is a problem that the reliability of the semiconductor device is lowered by the occurrence of the defects described above.

본 발명의 목적은, 소망하는 영역을 완전히 노출시키는 콘택홀을 형성하기 위한 반도체 장치의 콘택홀 형성 방법을 제공하는 데 있다.An object of the present invention is to provide a method for forming a contact hole in a semiconductor device for forming a contact hole which completely exposes a desired region.

도 1은 종래의 콘택홀이 형성되어 있는 반도체 장치를 나타내는 단면도이다.1 is a cross-sectional view illustrating a semiconductor device in which a conventional contact hole is formed.

도 2는 본 발명의 일 실시예에 따른 콘택홀이 형성되어 있는 반도체 장치를 나타내는 단면도이다.2 is a cross-sectional view illustrating a semiconductor device in which a contact hole is formed according to an embodiment of the present invention.

도 3a 내지 도 3g는 본 발명의 일 실시예에 따른 반도체 장치의 콘택홀 형성 방법을 설명하기 위한 단면도이다.3A to 3G are cross-sectional views illustrating a method for forming a contact hole in a semiconductor device according to an embodiment of the present invention.

* 도면의 주요부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

10, 40 : 반도체 기판10, 40: semiconductor substrate

12, 42 : 폴리실리콘층12, 42: polysilicon layer

14, 44 : 텅스텐 실리사이드14, 44: tungsten silicide

16, 46 : 질화실리콘층16, 46 silicon nitride layer

17, 47 : 게이트 전극17, 47: gate electrode

18, 48 : 스페이서18, 48: spacer

20, 50 : 확산 영역20, 50: diffusion region

22, 52, 52a, 52b ; 층간절연층22, 52, 52a, 52b; Interlayer insulation layer

54 ; 포토레지스트층54; Photoresist layer

54a : 포토레지스트 패턴54a: photoresist pattern

상기 목적을 달성하기 위한 본 발명의 반도체 장치의 콘택홀 형성 방법은, 게이트 전극 및 상기 게이트 전극의 측벽에 스페이서가 기 형성된 반도체 기판 상에 산화물을 사용하여 층간절연층을 형성하는 단계와, 커패시터의 스토리지 전극 또는 비트 라인을 연결하기 위한 콘택홀 영역에 형성되어 있는 상기 층간절연층을 상기 스페이서의 일부 영역이 노출되는 깊이까지 이방성으로 건식식각하는 단계와, 상기 깊이까지 건식식각된 층간절연층을 상기 반도체 기판의 표면이 노출되는 앤드포인트까지 습식식각하는 단계로 구성된다.According to an aspect of the present invention, there is provided a method of forming a contact hole in a semiconductor device, the method including: forming an interlayer insulating layer using an oxide on a gate electrode and a semiconductor substrate with spacers formed on sidewalls of the gate electrode; Anisotropically dry etching the interlayer insulating layer formed in the contact hole region for connecting the storage electrode or the bit line to a depth at which a portion of the spacer is exposed, and using the interlayer insulating layer dry-etched to the depth. And wet etching to an end point to which the surface of the semiconductor substrate is exposed.

상기 층간절연층은 2 이상의 에스펙트 레티오를 가지는 콘택홀이 형성할 수 있도록 8,000 내지 22,000Å의 두께로 형성하는 것이 바람직하다.The interlayer insulating layer is preferably formed to a thickness of 8,000 to 22,000 Å to form a contact hole having two or more aspect ratios.

상기 건식식각은 CxFy가 혼합된 식각가스를 사용하고, 상기 습식식각은 HF가 혼합된 식각액을 사용하는 것이 바람직하다.The dry etching may use an etching gas in which C x F y is mixed, and the wet etching may use an etching solution in which HF is mixed.

따라서 상기 건식식각 및 습식식각을 계속하여 수행하여 소망하는 영역이 완전히 노출되는 콘택홀을 형성할 수 있다.Therefore, the dry etching and the wet etching may be continuously performed to form a contact hole in which a desired region is completely exposed.

이하, 본 발명의 바람직한 실시예를 첨부한 도면에 따라서 더욱 상세히 설명하기로 한다.Hereinafter, preferred embodiments of the present invention will be described in more detail with reference to the accompanying drawings.

도 2는 본 발명의 일 실시예에 따른 콘택홀이 형성되어 있는 반도체 장치를 나타내는 단면도이고, 도 3a 내지 도 3g는 본 발명의 일 실시예에 따른 반도체 장치의 콘택홀 형성 방법을 설명하기 위한 단면도이다.2 is a cross-sectional view illustrating a semiconductor device in which a contact hole is formed, and FIGS. 3A to 3G are cross-sectional views illustrating a method of forming a contact hole in a semiconductor device according to an embodiment of the present invention. to be.

도 2를 참조하면, 반도체 기판(40)상에 게이트 전극들(47)이 형성되어 있다. 상기 게이트 전극들(47)은 폴리실리콘층(42), 텅스텐 실리사이드(44) 및 질화실리콘층(46)이 순차적으로 적층된 구조이다.Referring to FIG. 2, gate electrodes 47 are formed on the semiconductor substrate 40. The gate electrodes 47 have a structure in which a polysilicon layer 42, a tungsten silicide 44, and a silicon nitride layer 46 are sequentially stacked.

상기 게이트 전극들(47)의 측벽에 스페이서(48)가 형성되어 있다. 여기서 스페이서(48)는 질화실리콘을 사용하여 형성한다.Spacers 48 are formed on sidewalls of the gate electrodes 47. Here, the spacer 48 is formed using silicon nitride.

상기 반도체 기판(40)의 표면 부위에는 소스/드레인 영역인 확산 영역(50)이 형성되어 있다. 여기서 상기 확산 영역(50)은 게이트 전극(47) 사이의 영역에 형성된다.A diffusion region 50, which is a source / drain region, is formed on a surface portion of the semiconductor substrate 40. The diffusion region 50 is formed in the region between the gate electrodes 47.

상기 게이트 전극들(47) 및 스페이서(48)가 형성된 반도체 기판(40)상에는 상기 반도체 기판의 확산 영역(50)을 노출시키는 콘택홀이 형성된 층간절연층(52)이 형성되어 있다. 상기 층간절연층(52)은 산화물이 사용하여 형성한다.An interlayer insulating layer 52 is formed on the semiconductor substrate 40 on which the gate electrodes 47 and the spacers 48 are formed, and contact holes are formed to expose the diffusion region 50 of the semiconductor substrate. The interlayer insulating layer 52 is formed using an oxide.

전술한 바와 같은 콘택홀이 형성된 반도체 장치의 제조 방법은 다음과 같다.A method of manufacturing a semiconductor device having a contact hole as described above is as follows.

도 3a를 참조하면, 도시된 반도체 기판(40)상에 게이트 전극들(47) 및 스페이서(48)가 형성되어 있다. 그리고 반도체 기판(40)의 표면 부위에는 확산 영역(50)이 형성되어 있다.Referring to FIG. 3A, gate electrodes 47 and spacers 48 are formed on the illustrated semiconductor substrate 40. A diffusion region 50 is formed in the surface portion of the semiconductor substrate 40.

상기 게이트 전극들(47), 스페이서(48) 및 확산 영역(50)은 다음과 같이 형성된다. 먼저, 화학기상증착공정을 수행하여 반도체 기판(40)상에 폴리실리콘층(42)을 형성한다.The gate electrodes 47, the spacers 48, and the diffusion regions 50 are formed as follows. First, the polysilicon layer 42 is formed on the semiconductor substrate 40 by performing a chemical vapor deposition process.

이어서 화학기상증착공정을 수행하여 폴리실리콘층(42)상에 텅스텐을 증착한 후, 열처리를 수행하여 상기 텅스텐을 텅스텐 실리사이드(44)로 형성한다. 계속해서 화학기상증착공정을 수행하여 텅스텐 실리사이드(44)상에 질화실리콘층(46)을 형성한다.Subsequently, a chemical vapor deposition process is performed to deposit tungsten on the polysilicon layer 42, and then heat treatment is performed to form the tungsten as tungsten silicide 44. Subsequently, a chemical vapor deposition process is performed to form the silicon nitride layer 46 on the tungsten silicide 44.

그리고 포토레지스트층(도시되지 않음)을 식각마스크로 이용하는 통상의 사진식각공정을 수행하여 반도체 기판(40)상에 게이트 전극들(47)을 형성한다.The gate electrodes 47 are formed on the semiconductor substrate 40 by performing a conventional photolithography process using a photoresist layer (not shown) as an etching mask.

이어서 상기 게이트 전극들(47)을 이온주입 마스크로 이용하는 이온주입을 수행하여 반도체 기판(40)내에 이온을 얕게 주입시킨 후, 열처리를 수행한다.Subsequently, ion implantation using the gate electrodes 47 as an ion implantation mask is performed to shallowly implant ions into the semiconductor substrate 40, and then heat treatment is performed.

상기 열처리는 이온을 활성화시킴과 동시에 상기 이온의 주입으로 인한 반도체 기판(40)의 격자 결함 등을 보상하기 위함이다.The heat treatment is to activate ions and to compensate for lattice defects of the semiconductor substrate 40 due to the implantation of ions.

계속해서 화학기상증착공정을 수행하여 상기 게이트 전극들(47)이 형성되어 있는 반도체 기판(40)상에 질화실리콘을 증착하여 질화실리콘층(도시되지 않음)을 형성한 후, 반도체 기판(40)의 표면이 노출될 때까지 상기 질화실리콘층을 에치백(etch back)한다. 이에 따라 게이트 전극들(47)의 측벽에 질화실리콘으로 구성되는 스페이서(48)가 형성된다.Subsequently, a chemical vapor deposition process is performed to deposit silicon nitride on the semiconductor substrate 40 on which the gate electrodes 47 are formed to form a silicon nitride layer (not shown), followed by the semiconductor substrate 40. The silicon nitride layer is etched back until the surface of is exposed. Accordingly, spacers 48 made of silicon nitride are formed on sidewalls of the gate electrodes 47.

이어서 스페이서(48)가 형성된 게이트 전극들(47)을 이온주입 마스크로 이용하은 이온주입을 수행하여 반도체 기판(40)내에 이온을 깊게 주입시킨 후, 열처리를 수행한다.Subsequently, ion implantation using the gate electrodes 47 having the spacers 48 formed thereon as an ion implantation mask is performed to implant ions deeply into the semiconductor substrate 40, and then heat treatment is performed.

상기 열처리는 전술한 바와 같이 상기 이온을 활성화시킴과 동시에 상기 이온의 주입으로 인한 반도체 기판(40)의 격자 결함 등을 보상하기 위함이다.As described above, the heat treatment is to activate the ions and to compensate for the lattice defect of the semiconductor substrate 40 due to the implantation of the ions.

이에 따라 반도체 기판(40)내에는 소스/드레인 영역인 확산 영역(50)이 형성되는데, 상기 확산 영역(50)은 LDD(lightly doped drain)구조로 형성된다.Accordingly, the diffusion region 50, which is a source / drain region, is formed in the semiconductor substrate 40, and the diffusion region 50 is formed of a lightly doped drain (LDD) structure.

도 3b를 참조하면, 게이트 전극들(47) 및 스페이서(48)가 형성된 반도체 기판(40)상에 산화물을 사용하여 층간절연층(52a)을 형성한다.Referring to FIG. 3B, an interlayer insulating layer 52a is formed on the semiconductor substrate 40 on which the gate electrodes 47 and the spacers 48 are formed.

여기서 층간절연층(52a)은 8,000 내지 22,000Å의 두께로 산화물을 화학기상 증착방법으로 형성하는데, 이는 0.15㎛의 디자인 룰을 만족시키기 위함이다.Here, the interlayer insulating layer 52a is formed with a chemical vapor deposition method to a thickness of 8,000 to 22,000 kPa, in order to satisfy the design rule of 0.15㎛.

도 3c를 참조하면, 상기 층간절연층(52a)상에 스핀-코팅방식으로 포토레지스트를 도포하여 포토레지스트층(54)을 형성한다.Referring to FIG. 3C, a photoresist layer 54 is formed by applying a photoresist on the interlayer insulating layer 52a by spin-coating.

도 3d를 참조하면, 정렬, 노광 및 현상의 사진공정을 수행하여 포토레지스트층(54)을 포토레지스트 패턴(54a)으로 형성한다.Referring to FIG. 3D, the photoresist layer 54 is formed into the photoresist pattern 54a by performing a photolithography process of alignment, exposure, and development.

도 3e를 참조하면, 포토레지스트 패턴(54a)을 식각마스크로 이용한 식각공정을 수행한다. 여기서 상기 식각공정은 커패시터의 스토리지 전극 또는 비트 라인을 연결하기 위한 콘택홀 영역에 형성되어 있는 상기 층간절연층(52b)의 산화물을 상기 반도체 기판(40)의 표면이 노출되지 않는 깊이까지로만 식각한다. 여기서 상기 반도체 기판(40)의 표면이 노출되지 않는 깊이는 상기 스페이서(48)가 최초로 노출되는 지점으로 한정할 수 있다.Referring to FIG. 3E, an etching process using the photoresist pattern 54a as an etching mask is performed. In the etching process, the oxide of the interlayer insulating layer 52b formed in the contact hole region for connecting the storage electrode or the bit line of the capacitor is etched only to a depth where the surface of the semiconductor substrate 40 is not exposed. . The depth at which the surface of the semiconductor substrate 40 is not exposed may be limited to a point where the spacer 48 is first exposed.

이때 상기 식각은 CxFy가스가 혼합된 식각가스를 이용하는 이방성의 건식식각이다. 이에 따라 상기 층간절연층(52b)을 구성하는 물질인 산화물과 상기 스페이서(48)를 구성하는 질화실리콘의 식각선택비를 5 내지 7 : 1 정도로 확보한다. 따라서 상기 이방성의 건식식각의 수행시 폴리머 등과 같은 식각부산물은 생성되지 않는다.At this time, the etching is anisotropic dry etching using the etching gas mixed with the C x F y gas. Accordingly, the etching selectivity of the oxide, which is a material constituting the interlayer insulating layer 52b, and the silicon nitride, constituting the spacer 48, is secured to about 5 to 7: 1. Thus, when performing the anisotropic dry etching, no etching by-products such as polymers are produced.

도 3f를 참조하면, 상기 이방성으로 건식식각된 층간절연층(52)의 산화물을 상기 포토레지스트 패턴(54a)을 식각마스크로 이용하여 반도체 기판(40)의 표면이 노출되는 앤드포인트(end point)까지 식각한다. 이에 따라 전술한 커패시터의 스토리지 전극 또는 비트 라인을 연결하기 위한 콘택홀이 형성된다.Referring to FIG. 3F, an endpoint of the surface of the semiconductor substrate 40 is exposed using the photoresist pattern 54a as an etch mask as an oxide of the anisotropic dry etched interlayer insulating layer 52. Etch until As a result, a contact hole for connecting the storage electrode or the bit line of the capacitor is formed.

이때 상기 식각은 HF가 혼합된 식각액을 이용하는 습식식각이다.At this time, the etching is a wet etching using an etchant mixed with HF.

따라서 상기 커패시터의 스토리지 전극 또는 비트 라인을 연결하기 위한 콘택홀은 상기 건식식각 및 습식식각을 계속적으로 수행함으로써 형성된다. 즉, 상기 콘택홀을 형성하기 위한 SAC공정을 상기 건식식각 및 습식식각을 계속적으로 수행하는 것이다.Therefore, a contact hole for connecting the storage electrode or the bit line of the capacitor is formed by continuously performing the dry etching and the wet etching. That is, the dry etching and the wet etching are continuously performed in the SAC process for forming the contact hole.

도 3g를 참조하면, 상기 식각마스크로 이용한 포토레지스트 패턴(54a)를 산소기를 이용한 플라즈마로 완전히 제거한다.Referring to FIG. 3G, the photoresist pattern 54a used as the etching mask is completely removed by a plasma using an oxygen group.

따라서 상기 반도체 기판(40)의 표면의 일부 영역이 완전히 노출되는 콘택홀을 형성할 수 있다.Therefore, a contact hole may be formed in which a portion of the surface of the semiconductor substrate 40 is completely exposed.

여기서 상기 콘택홀은 건식식각 및 습식식각을 계속적으로 수행함으로써 형성되는데, 이때 낮은 식각선택비 또는 폴리머로 인하여 콘택홀이 형성되는 영역에 층간절연층(52)의 산화물이 잔류하는 것을 최소화할 수 있다.Here, the contact hole is formed by continuously performing dry etching and wet etching. In this case, the oxide of the interlayer insulating layer 52 may be minimized in the region where the contact hole is formed due to the low etching selectivity or the polymer. .

이에 따라 상기 콘택홀의 형성으로 노출되는 확산 영역(50)과 접촉하는 전극층(도시되지 않음) 등을 전기적으로 원할하게 연결한다.Accordingly, the electrode layer (not shown) and the like contacting the diffusion region 50 exposed by the formation of the contact hole are electrically connected smoothly.

이는 콘택홀의 폭(CD)이 축소되고, 상기 콘택홀을 형성하는 층간절연층(ILD)의 두께가 두꺼워지는 최근의 반도체 장치의 제조에 적극적으로 응용할 수 있다.This can be actively applied to the manufacture of a recent semiconductor device in which the width CD of the contact hole is reduced and the thickness of the interlayer insulating layer ILD forming the contact hole becomes thick.

따라서 반도체 기판의 표면의 일부 영역이 완전히 노출되는 콘택홀을 형성하여 이로 인한 불량을 최소화함으로써 반도체 장치의 신뢰도가 향상되는 효과가 있다.Therefore, by forming a contact hole in which a part of the surface of the semiconductor substrate is completely exposed, thereby minimizing defects, the reliability of the semiconductor device is improved.

이상에서 본 발명은 기재된 구체예에 대해서만 상세히 설명되었지만 본 발명의 기술사상 범위 내에서 다양한 변형 및 수정이 가능함은 당업자에게 있어서 명백한 것이며, 이러한 변형 및 수정이 첨부된 특허청구범위에 속함은 당연한 것이다.Although the present invention has been described in detail only with respect to the described embodiments, it will be apparent to those skilled in the art that various modifications and variations are possible within the technical scope of the present invention, and such modifications and modifications are within the scope of the appended claims.

Claims (3)

게이트 전극 및 상기 게이트 전극의 측벽에 스페이서가 형성된 반도체 기판 상에 산화물을 사용하여 층간절연층을 형성하는 단계;Forming an interlayer insulating layer using an oxide on a gate electrode and a semiconductor substrate having spacers formed on sidewalls of the gate electrode; 커패시터의 스토리지 전극 또는 비트 라인 등을 연결하기 위한 콘택홀 영역에 형성되어 있는 상기 층간절연층을 상기 스페이서의 일부가 노출되는 깊이까지 이방성으로 건식식각하는 단계; 및Anisotropically dry etching the interlayer insulating layer formed in the contact hole region for connecting the storage electrode or the bit line of the capacitor to a depth at which a portion of the spacer is exposed; And 상기 스페이서의 일부가 노출되는 깊이까지 식각된 층간절연층을 상기 반도체 기판의 표면이 노출되는 앤드포인트까지 습식식각하는 단계를 포함하는 것을 특징으로 하는 반도체 장치의 콘택홀 형성 방법.And wet-etching the interlayer insulating layer etched to a depth at which a portion of the spacer is exposed to an end point to which the surface of the semiconductor substrate is exposed. 제 1 항에 있어서, 상기 층간절연층은 8,000 내지 22,000Å의 두께로 형성하는 것을 특징으로 하는 반도체 장치의 콘택홀 형성 방법.The method of claim 1, wherein the interlayer insulating layer is formed to a thickness of 8,000 to 22,000 kPa. 제 1 항에 있어서, 상기 건식식각은 CxFy가 포함된 식각가스를 사용하고, 상기 습식식각은 HF가 혼합된 식각액을 사용하는 것을 특징으로 하는 반도체 장치의 콘택홀 형성 방법.The method of claim 1, wherein the dry etching uses an etching gas containing C × F y , and the wet etching uses an etching solution containing HF.
KR1019990053310A 1999-11-27 1999-11-27 Method for forming a contact hole of a semiconductor device KR20010048581A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1019990053310A KR20010048581A (en) 1999-11-27 1999-11-27 Method for forming a contact hole of a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019990053310A KR20010048581A (en) 1999-11-27 1999-11-27 Method for forming a contact hole of a semiconductor device

Publications (1)

Publication Number Publication Date
KR20010048581A true KR20010048581A (en) 2001-06-15

Family

ID=19622280

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990053310A KR20010048581A (en) 1999-11-27 1999-11-27 Method for forming a contact hole of a semiconductor device

Country Status (1)

Country Link
KR (1) KR20010048581A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9786764B2 (en) 2014-11-19 2017-10-10 Samsung Electronics Co., Ltd. Fin-FET semiconductor device with a source/drain contact having varying different widths

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9786764B2 (en) 2014-11-19 2017-10-10 Samsung Electronics Co., Ltd. Fin-FET semiconductor device with a source/drain contact having varying different widths

Similar Documents

Publication Publication Date Title
KR100464416B1 (en) Method for manufacturing semiconductor device having increased effective channel length
KR100302930B1 (en) etching method
US6660652B2 (en) Method for fabricating semiconductor device
KR100497609B1 (en) Method of etching silicon nitride film
KR100244426B1 (en) Method of forming contact hole in semiconductor device
KR20010004237A (en) A method for forming semiconductor memory device including self-aligned contact process
KR20010048581A (en) Method for forming a contact hole of a semiconductor device
KR100585084B1 (en) Self-align contact etch method of semiconductor device
KR100231598B1 (en) Method for forming contact hole of semiconductor device
KR20000045437A (en) Method for forming self aligned contact of semiconductor device
KR100396685B1 (en) Interconnection of semiconductor device and manufacturing method thereof
KR100589498B1 (en) Method of manufacturing semiconductor device
KR0172513B1 (en) Method for forming contact of semiconductor device
KR100603589B1 (en) Method of forming contact hole in semiconductor device
KR20010003046A (en) method for forming self-align contact hole in semiconductor device
KR100265010B1 (en) Method of forming a contact hole in a semiconductor device
KR100223825B1 (en) Method of forming an element isolation region in a semiconductor device
KR100575616B1 (en) Method for forming borderless contact hole in a semiconductor device
KR20020001113A (en) method for manufacturing semiconductor devices
KR100652361B1 (en) Method for fabricating a semiconductor device using self aligned contact
KR20010036161A (en) Method for forming a contact hole of a self-aligned contact using a hard mask
KR20030001851A (en) The method for forming contact plug
KR19990001327A (en) Manufacturing Method of Semiconductor Device
KR20040043563A (en) Method For Manufacturing Semiconductor Devices
JP2001185505A (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination