KR19980081269A - 티타늄과 알루미늄의 상호작용을 방지하기 위한 질화처리된 티타늄 집적층 - Google Patents

티타늄과 알루미늄의 상호작용을 방지하기 위한 질화처리된 티타늄 집적층 Download PDF

Info

Publication number
KR19980081269A
KR19980081269A KR1019980012721A KR19980012721A KR19980081269A KR 19980081269 A KR19980081269 A KR 19980081269A KR 1019980012721 A KR1019980012721 A KR 1019980012721A KR 19980012721 A KR19980012721 A KR 19980012721A KR 19980081269 A KR19980081269 A KR 19980081269A
Authority
KR
South Korea
Prior art keywords
titanium
substrate
aluminum
layer
coating
Prior art date
Application number
KR1019980012721A
Other languages
English (en)
Inventor
리앙-유우 첸
테드 구오
로데릭씨. 모슬리
Original Assignee
조셉제이.스위니
어플라이드머티어리얼스,인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉제이.스위니, 어플라이드머티어리얼스,인코포레이티드 filed Critical 조셉제이.스위니
Publication of KR19980081269A publication Critical patent/KR19980081269A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5826Treatment with charged particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5846Reactive treatment
    • C23C14/586Nitriding
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

본 발명은 알루미늄의 피복이전에 노출된 티타늄 및/또는 실리콘을 갖는 기판을 가공처리하는 방법을 제공한다. 상기 기판은 기판상의 노출된 티타늄과 실리콘 구역이 질하 티타늄과 다수의 실리콘/질소 화합물(SixNy)을 각각 형성하도록 질소와 스터핑되도록 질소 플라즈마를 제공하는 가공처리 구역에 인접되게 위치된다. 상기 질소처리된 표면, 즉 TiN 및 실리콘/질소 화합물은 알루미늄과의 상호작용에 저항성을 가진다. 이러한 방식으로, 전기 절연층인 TiAl3의 형성과 실리콘의 스파이킹이 감소되거나 제거된다.

Description

티타늄과 알루미늄의 상호작용을 방지하기 위한 질화처리된 티타늄 집적층
본 발명은 반도체 소자를 제조하기 위한 금속화 공정(metallization process)에 관한 것이며, 더 상세하게는 TiAlx층의 형성없이 미세한(서브-쿼터 미크론 등급의;sub-quarter micron) Al 상호연결 구조물을 형성하기 위한 일련의 제조방법에 관한 것이다.
서브-하프 미크론 등급의 다층 금속화(multilevel metallizatioin)는 다음 세대의 초대규모 집적화(VLSI)에 매우 중요한 기술이다. 상기 초대규모 집적화 기술에 있어서 가장 중요한 접점, 바이어(vias) 및 선 등의 다층 금속화의 특징요소(feature)는 집적 밀도를 증대시키기 위해 신뢰성있게 형성되어야 한다. 회로의 집적도를 증대시키기 위한 하나의 방법은 집적회로를 형성하는 금속 도전체의 칫수를 감소시키는 것이다. 칫수가 더욱 더 작아지더라도, 작동 속도는 증가해야 하고 전력밀도는 낮게 소모되어야 하나, 전류 밀도는 크기 감소 요인에 비례하여 증가되어야 한다. 그러므로, 상기 특징요소들의 크기가 감소하더라도 금속 특징들은 높은 전도율을 갖는 것이 중요하다.
집적회로에 있어서 금속 특징들의 전도율은 사용된 회로의 설계기술 및 제조기술에 따른 다수의 변수들에 의해 영향을 받는다. 예를들어, 전자이동은 전기장의 영향력하에서 고체 원자가 한 장소로부터 다른 장소로 이동하는 확산 공정이다. 이러한 효과는 급속한 파괴없이 전도체에 의해 운반되는 최대 전류를 제한하게 된다. 집적회로의 알루미늄 전도체에 있어서, 상기 전류밀도는 약 10A6/㎠보다 작게 유지되어야 한다. 전자이동은 소자의 소형화를 제한하지 않지만, 단위시간당 일정한 숫자의 연결 회로소자에 의해 운반될 수 있는 회로의 기능을 제한한다. 그러나, 높은 방향성을 갖는 결정질 구조로된 도전체는 전자이동에 대한 개선된 저항성을 가진다. 그로므로, 집적회로의 형상이 감소되면, 박막의 높은 방향성에 대한 필요성이 증가한다. 이상적으로, 111 결정방위를 갖는 알루미늄 박막층은 전술한 바와같이 미소한 형상에서의 박막의 전자이동에 대한 저항성을 개선하도록 기판상에 형성된다. 통상적으로, Ti 하부층(underlayer) 상기 알루미늄의 111 결정 방위를 개선하는데 사용된다.
TiAl3전기 절연층의 형성시 금속의 전도율에 영향을 끼치는 다른 인자는 티타늄과 알루미늄의 상호작용에 의해 발생한다. TiAl3은 특히, 서브 쿼터 미크론 바이어과같은 미세한 특징에 있어서 전기 저항을 증가시키는 것으로 여겨진다. 또한, TiAl3형성은 박막에 있어서의 응력의 이동 및 부식에 대해 악영향을 끼치는 것으로 여겨진다. 그러나, 티타늄과 알루미늄은 집적회로내의 도전성 금속소자의 형성에 일반적으로 사용되는 도전성 금속이다. 상기 티타늄과 알루미늄의 독특한 물리적 특성은 조합되어 유용하게 사용되어, 이들 특성의 조합없이 제조된 것보다 우수한 특성을 나타낸다. 그러므로, 이들 원소간의 상호작용을 최소화하거나 방지하는 방법을 발견해내는 것이 매우 중요하다.
티타늄은 알루미늄과 같은 도전성 금속층과 실리콘 또는 이산화 실리콘층과 사이의 접착층으로서 유용하게 사용될 수 있다는 것이 공지되어 있다. 상기 접착층이라는 용어가 의미하는 바와같이, 티타늄은 알루미늄과 같은 다른 많은 도전성 금속보다 실리콘에 대한 양호한 접착력을 나타내며, 또한 이웃한 도전성 금속과의 우수한 접착력을 제공한다.
알루미늄은 높은 전도율과 양호한 가공성으로 인해 집적회로 전반에 걸쳐 도전성 금속선과 특징요소를 형성하는데 유용한 것으로 공지되어 있다. 알루미늄은 물리 증착법(PVD) 및 화학 증착법(CVD) 등을 포함하는 다수의 기술에 의해 피복된다. 그러나, 알루미늄은 실리콘 구조물에 침투하여 반도체 소자의 손상을 초래하며 높은 전류 밀도에서 전자이동을 초래하는 것으로 공지되어 있다. 알루미늄 스파이킹(spiking)은 알루미늄과 실리콘 층 사이에 Ti 또는 TiN과 같은 연속적인 장벽층을 사용함으로써 최소화할 수 있다. 알루미늄의 전자이동에 대한 저항성은 높은 결정질 구조를 형성하거나 알루미늄/동 합금을 제공함으로써 증가된다. 알루미늄/동 합금은 알루미늄과 함께 동을 피복, 즉 PVD Al/Cu 또는 동의 연속적인 피복 및 확산에 의해 알루미늄을 도우핑함으로써 형성될 수 있다.
Ti는 PVD에 의해 피복된 Al박막을 바이어, 트렌치 등의 내부에 유입시킬 수 있는 습윤 층으로서 널리 사용된다. 그러나, TiAl3의 형성은 선 및 바이어에 대한 저항을 증가시킨다. 전기 저항성 TiAl3의 형성을 최소화하거나 방지하기 위한 하나의 방법은 티타늄과 알루미늄 층을 질화 티타늄(TiN)층과 분리시키는 것이다. 질화 티타늄 층의 장점은 티타늄과 알루미늄 사이에 양호한 접착력을 제공하고 알루미늄과 상호작용하지 않는다는 점이다. 그러나, 질화 티타늄은 챔버 벽에 느슨하게 접착되어 박리되는 경향이 있고 챔버내에서의 입자 공급원이 될 수 있다.
Ti/TiN/Al의 연속적인 피복에 의해 TiAl3의 형성을 감소시키는 것으로 나타났다 하더라도, 상기 연속층은 질화 티타늄 층이 티타늄 전체 층을 덮음으로써 알루미늄과의 상호작용을 방지할 필요성이 있다. 그러나, 불행하게도 금속화 적층체내에 추가의 연속적인 층을 포함하면 바이어과 같은 특징요소 구조물의 크기를 감소시킨다. 금속 적층체의 두께가 현저하게 증가되는 것을 방지하기 위해, 매우 얇고 보다 덜 연속적인 질화 티타늄 층을 사용하는 것이 보통이다. 단지 티타늄 박막 또는 보조 원자층만이 CVA Al을 형성하는데 사용되면, 질화 티타늄 층이 모든 티타늄을 덮어 TiAl3형성을 방지해야 하며 실리콘은 티타늄 또는 질화 티타늄에 의해 덮여 실리콘 내측으로의 알루미늄 이동/침입을 방지해야 한다.
티타늄과 질화 티타늄층의 추가의 단점은 통상적으로 PVD공정에 의해 피복된다는 점이다. 종래의 PVD기술이 상당히 저렴하고 필드(웨이퍼의 최상면 또는 맨 윗면)에 일치하는 도포율을 제공하는 반면에, 이와 동일한 기술은 고 종횡비를 갖는 바이어 및 다른 특징요소들의 벽과 바닥면을 피복하는데 부적합하다.따라서, 상기 필드위의 연속적인 질화 티타늄층은 바이어내에서는 불연속적으로 된다. 상기 바이어위의 불연속적인 질화 티타늄 층은 티타늄과 알루미늄이 상호작용하게 허용하고 금속 플러그 및 특징요소 사이의 접점 표면을 가로지르게 전기 절연 TiAl3을 형성하기 때문에 특히 부적절하다.
또한, 바이어내에 PVD Ti/TiN을 피복한 후에라도 상기 바이어는 여전히, 실리콘 접점의 경우 노출된 실리콘 영역, 특히 바이어 측벽의 기저부 및 바이어 바닥의 주변 엣지에 따른 영역을 가진다. 상기 바이어이 불규칙하거나 하방으로 확대된 형상을 갖도록 오버에칭되는 경우에는 상기 바이어내의 도포율은 더 나빠질 수 있다. 상기 노출된 실리콘 바로위에 연속적으로 알루미늄을 피복하는 것에 의해 실리콘내측으로의 알루미늄 이동을 허용한다.
질화 티타늄의 장벽 특성은 어니일링 또는 산소를 박막과 합체시키는 것에 의해 개선할수 있다는 것이 공지되어 있다. 상기 산소는 질화 티타늄 입계 사이의 공간을 채운다. 어니일링은 급속 가열 어니일링(RTA) 챔버내에 수행되거나 산소 분위기하에서 가열함으로써 수행될 수 있다. 질화 티타늄의 산소 처리는 알루미늄에 대한 양호한 장벽층을 제공함으로써 스파이킹 시기를 감소시킨다.
그러므로, 본 발명의 목적은 종래기술에 있어서 필요로 했던 티타늄 및 실리콘과 알루미늄의 상호작용을 방지할 수 있는 공정을 제공하는 것인데, 상기 공정이 종래의 가공처리 챔버내에서 수행되면 가공처리 단계를 줄이고 가공처리 시간을 감소시킬 수 있으므로 바람직하며, 또한 상기 공정에서 TiAl3의 형성을 방지하면서 금속 적층체의 두께를 감소시킬 수 있으면 바람직하며, 또한 상기 공정에서 입자 발생량을 감소시킬 수 있다면 더욱 더 바람직하다.
도 1은 기판상에 피복되는 새로운 박막층을 도시하는, 본 발명에 따라 처리된 기판의 개략적인 부분 단면도.
도 2는 기판상에 피복되는 대응 습윤 층을 도시하는, 도 1의 기판의 개략적인 부분 단면도.
도 3은 저온에서 대응 습윤 층상에 피복되는 금속을 도시하는, 도 2 기판의 개략적인 횡단면도.
도 4는 기판상에서 CVD 및 PVD 공정을 수행하는데 적합한 집적식 다챔버 장치를 도시하는 개략적인 부분 횡단면.
도 5는 본 발명의 CVD 피복 공정을 수행하는데 적합한 CVD챔버를 도시하는 개략적인 부분 횡단면도.
도 6는 본 발명의 PVD 피복 공정을 수행하는데 적합한 PVD챔버를 도시하는 개략적인 횡단면도.
도 7은 본 발명에 따른 컴퓨터 프로그램인 계층망 제어구조를 도시하는 단순 블록 다이어그램.
* 도면의 주요부분에 대한 부호의 설명 *
10 : 기판 12 : 유전체 층
14 : 금속 층 20 : 습윤 층
36,40,54 : 챔버 46 : 분배기
48 : 지지대 64 : 플라즈마 발생기
73 : 타겟 75 : 받침대
본 발명은 기판상에 티타늄을 피복하는 단계와 상기 티타늄을 질소 플라즈마(N2)에 노출시키는 단계를 포함하는, 질화티타늄을 기판상에 형성하는 방법을 제공하는 것이다. 이들 단계들은 필요에 따라 반복될 수 있다. 상기 티타늄은 표준 PVD법 및 고밀도 플라즈마 PVD(이온 금속 플라즈마로 공지됨)를 포함하는 화학 증착법(CVD) 또는 물리 증착법(PVD)에 의해 피복될 수 있다. 질소는 약 5 내지 500sccm, 바람직하게 약 300sccm의 비율로 기판에 인접한 가공처리 구역내측으로 제공된다. 상기 티타늄은 질소가 존재하는 기판과 인접한 가공처리 구역내측으로 유도결합 에너지에 의해 제공된 질소 플라즈마에 노출된다. 질화 티타늄은 연속 또는 불연속 층일 수 있는 핵형성 층 또는 소입자 층을 형성할 수 있다.
본 발명의 다른 일면은 티타늄을 기판상에 피복하는 단계와, 질화 티타늄을 형성하도록 티타늄과 질소를 접촉시키는 단계, 및 알루미늄을 질화 티타늄상에 피복하는 단계를 포함하는 기판 가공처리 방법를 제공하는 것이다. 상기 알루미늄은 CVD 또는 PVD법에 의해 피복될 수 있다. 그후, 상기 알루미늄은 350 내지 600℃ 사이의 온도에서 어니일링된다. 상기 가공처리 방법은 약 3-0μΩ.㎝보다 작은 시이트 저항율을 갖는 어니일링된 알루미늄을 제공한다.
본 발명의 또다른 일면은 기판 가공처리 방법을 제공한다. 상기 방법은 티타늄을 기판상에 피복하는 단계와, 질화 티타늄을 형성하도록 상기 티타늄을 질소와 접촉시키는 단계와, 제 1 알루미늄층을 상기 질화 티타늄상에 피복하는 단계, 및 상기 제 1 알루미늄층상에 제 2 PVD 온간 알루미늄 층을 피복하는 단계를 포함한다. 상기 방법은 질화 티타늄을 알루미늄상에 피복하는 단계를 더 포함한다.
본 발명의 또다른 일면은 실리콘이 질소 플라즈마에 약 0.5 내지 5.0 mTorr, 바람직하게 약 5mTorr의 압력으로 노출되는 실리콘 표면을 갖는 기판의 가공처리 방법을 제공하는 것이다. 상기 방법은 티타늄을 기판상에 피복하는 단계와, 질화 티타늄을 형성하도록 티타늄과 질소를 접촉시키는 단계, 선택적으로 질화 티타늄상에 제 1 알루미늄층을 피복시키는 단계, 및 제 2 PVD 온간 알루미늄 층을 상기 제 1 알루미늄 층상에 380℃ 이상의 기판온도로 피복시키는 단계를 포함한다.
본 발명에 따른 또다른 일면에 있어서, 노출된 티타늄과 실리콘을 갖는 기판은 약 0.5 내지 5.0 Torr 사이의 압력으로 기판에 인접한 가공처리 구역에 질소를 제공하는 단계와, 상기 가공처리 구역에 플라즈마를 충돌시키는 단계와, 노출된 티타늄을 질화 티타늄으로 전환시키는 단계, 및 노출된 실리콘을 질화 실리콘으로 전환시키는 단계에 의해 가공처리된다.
전술한 본 발명의 특징 및 장점들을 더 명확하게 이해하기 위해, 첨부 도면에 도시한 실시예를 참조하여 본 발명에 대해 특히 한정적으로 설명한다. 그러나, 첨부된 도면은 단지 본 발명의 전형적인 실시예를 도시한 것이지 본 발명의 범주를 한정하는 것이 아니며 다른 균등한 효과를 갖는 실시예들이 있을 수 있다고 이해해야 한다.
본 발명은 기판에 알루미늄을 피복하기 이전에 티타늄 및/또는 실리콘의 노출면을 갖는 기판을 가공처리하기 위한 방법을 제공하고자하는 것이다. 상기 기판은 기판상에 있는 티타늄 및 실리콘의 노출면이 질소에 노출되어(질소로 채워서) 질화 티타늄 및 실리콘과 질소로 구성되는 다양한 화합물(SixNy)을 각각 형성하도록 질소 플라즈마가 제공되어 있는 가공처리 구역에 인접 위치된다. 상기 질소처리면, 즉 질화 티타늄과 실리콘/질소 화합물은 알루미늄과의 상호작용을 방지한다. 이러한 방법으로, 전기절연 TiAl3의 형성 및 실리콘의 스파이킹이 감소되거나 방지된다.
본 발명의 또다른 일면으로서, 질화 티타늄을 형성하기 위해 티타늄을 질소로 채우는(스터핑;stuffing) 방법을 제공한다. 상기 방법은 전기 절연 TiAl3의 형성을 유발하는 티타늄과 알루미늄의 상호작용을 감소시키거나 방지하는데 유용하다. 연속 및 불연속 티타늄 층은 질소 플라즈마에 노출시키는 본 발명에 따라 가공처리될 수 있다. 플라즈마내의 질소 이온은 티타늄과 충돌 및 작용하여 질화 티타늄을 형성한다. 질화 티타늄은 알루미늄과의 상호작용을 방지하고 Ti/Al 적층체 또는 Ti/TiN/Al 적층체보다 낮은 시이트 저항을 갖는 Ti/N스터핑/Al 금속화 적층체를 제공할수 있다.
본 발명의 또다른 일면에 따라, CVD Al과 같은 CVD 금속의 피복을 핵형성에 의해 수행하는 핵형성 층을 형성하기 위한 방법을 제공한다. 티타늄 또는 질화 티타늄의 단층(즉, 매우 얇은 바람직하게 일체 층) 또는 소립자 층(즉, 연속 층보다 작은)의 피복에 의해 CVD Al을 핵형성하고 그 위에 피복된 알루미늄이 전자이동에 대한 증대된 저항을 갖는 높은 결정질 구조를 갖는 것이 입증되었다. 본 발명에 따라, PVD Ti 박층을 피복하고 상기 티타늄을 질소 플라즈마에 노출시킴으로써 질화 티타늄 박층이 형성될 수 있다.
티타늄의 N2스터핑은 얇은, 바람직하게 약 50 내지 약 500Å 범위의 두께를 갖는 티타늄 층을 먼저 피복함으로써 수행된다. 상기 티타늄은 약 5 내지 약 30초간 아르곤 스퍼터링 가스를 티타늄 타겟에 스퍼터링함으로써 바람직하게 피복된다. 그후, 스퍼터링중에 이미 존재하지 않는 경우에 질소(N2)가 챔버내에 유입되며, 플라즈마가 상기 챔버내에서 충돌하여 티타늄과 질소 사이의 반응을 촉진한다. 상기 스터핑 방법에 있어서 플라즈마가 바람직하지만 질소대신에 티타늄이 제공될 수 있으며 가열 반응과 같은 상이한 반응에 있어서는 티타늄과 질소 사이의 반응을 촉진시킬수 있다. 플라즈마가 사용되는 경우에, 상기 질소는 챔버가 약 0.5 내지 5 Torr 압력으로 유지되는 동안 약 5 내지 약 500 sccm으로 상기 챔버내에 제공된다. 용량 또는 유도결합된 전력이 챔버내에 제공되어 질소를 여기시켜 웨이퍼상에 티타늄과 반응하는 중성자로 여기시킨다. 웨이퍼의 온도는 실온에서 약 500℃ 범위로 유지되는 것이 바람직하다. 실리콘의 질소 스터핑을 위한 바람직한 조건은 티타늄이 존재할 필요가 없는 것을 제외하면 티타늄을 질소 스터핑하는 것과 동일하다.
본 발명은 다음과 같은 장점을 제공한다. 첫째, TiAl3형성은 질화 티타늄을 형성하도록 티타늄의 모든 노출면을 반응시킴으로써 최소화되거나 완전히 제거된다. 티타늄 부분들이 유지되더라도, 초박막 티타늄 핵형성층의 사용에 의해 알루미늄과 같은 금속과의 반응에 이용될 수 있는 티타늄의 양을 줄이게 되어 TiAl3형성을 줄일수 있다. 둘째, 핵형성 층을 제공하기 위한 PVD Ti, PVD TiN, 또는 PVD Ti/N2-스터핑을 이용함으로써 CVD Al을 위한 핵형성 층을 피복하기 위한 CVD 챔버의 사용을 피할 수 있다. 상기 박막 피복용 PVD 기술은 최종적으로 형성된 박막이 다른 박막보다 불순도가 통상적으로 높고 PVD기술이 저렴하므로 바람직할 수 있다. 셋째, 본 발명의 방법은 특히, 티타늄 질화 티타늄의 고밀도 플라즈마 피복이 핵형성층을 형성하는데 사용되도라도 일체형 또는 연속적인 핵형성이 습윤층의 피복을 형성할 필요가 없으므로, 구조물내의 특징들의 크기를 작게 할수 있다.
연속적인 Ti/N2-스터핑/CVD Al/온간 PVD Al 이후의 온간 Al 평활화 공정에 유용하게 사용될 수 있다. 이러한 금속화 적층체에 있어서, 연속적인 습윤층은 양호한 도포율을 얻기위한 핵심사항이다. CVD Al과같은 CVD 금속의 연속적인 습윤 층은 질화 티티늄의 얇은 박층상에서 성장한다. 상기 핵형성 층은 CVD피막을 형성하기 위해서 상기 바이어 내측에 연속적인 층을 형성해서는 않되며, 그렇게 함으로써 보다 넓은 범위의 박막 두께가 사용될수 있고 CVD/PVD 의 통합기술의 능력이 강화된다. 본 발명자는 CVD Al 과 같은 얇은 연속적인 금속 박막이 양호한 습윤층이라는 것을 일찍이 알아냈다. 알루미늄과 같은 금속으로 이루어지는 습윤 층은 공동 계류중인 미국 특허 출원 제 08/561,605호에 기술된 바와같은 저온(≤380℃) 피복으로 발생되는 PVD 금속 피복에 사용되는 필링 또는 플로우 공정(filling , flow process)을 촉진한다.
도 1은 금속 층(14)위에 피복된 SiO2와 같은 유전체 층(12)을 갖는 가공처리된 기판(10)의 부분적인 횡단면도이다. 본 발명의 일면에 따라, 상기 유전체 층(12)은 바이어(16)를 포함하도록 패턴화된다. 얇은 핵형성 박막(18)이 상기 유전체 층(12)의 필드위에, 그리고 바이어(16)의 내측에 연속적으로 피복된다. 양호한 핵형성 층(18)이 질화 티타늄을 형성하도록 질소가 스터핑된 PVD Ti 에 의해 제공된다. 종래의 방법과는 달리, 상기 층은 연속적으로 형성되지 않고 박막의 질을 향상시키고 두께를 최소화하도록 PVD 기술을 사용하여 피복될 수 있다. 양호한 핵형성 층은 질소 스터핑 이후에 PVD Ti 에 의해 약 200Å 이하로 형성되는 단일층을 포함한다.
상기 초박막 핵형성 층은 공동 계류중이고 공동으로 양도되어 본 발명에 참조된 미국 특허 출원 제 08/622,941호에 기술된 기술을 사용하여 기판상에 피복됨으로써 상기 필드상에 제어된 금속 습윤 층 피막을 제공하고 피복된 금속층과 그 다음의 PVD 금속 층의 형상 및 조직을 개선한다.
도 2는 필드 위의 바이어 내측에 피복되는 CVD Al 과 같은 얇은 습윤 층(20)을 도시하는 도 1 기판의 개략적인 부분 단면도이다. CVD Al 은 높은 종횡비를 갖는 미세한 구멍내에 연속적인 계층식 도포율과 양호한 바닥 도포율을 제공한다. CVD Al 층(28)은 전조가스로서 DMAH 열분해법을 사용하여 핵형성 층에 양호하게 피복된다. 양호하게 약 100 내지 약 300Å의 CVD Al은 다음의 바이어 필용 연속적인 습윤 층(28)을 제공하도록 패턴화된 표면위에 일치되게 피복된다.
CVD 금속층의 피복을 위한 양호한 반응은 다음 공식에 따른 DMAH 가열 환원법이다.
6(CH3)2Al -----→ 2Al + 4(CH3)3Al + 3H2
알루미늄이 하나의 양호한 CVD 금속층이지만, 동 등과 같은 다른 금속이 본 발명의 방법에 따라 피복될 수도 있다.
도 3은 상기 습윤층(20)(도 2 참조)상에 저온에서 피복된 금속 층(22)을 도시하는 도 2 기판(10)의 개략적인 횡단면도이다. 그후, 상기 바이어(16)는 온간 PVD 금속 층(22)의 피복과 같은 PVD기술을 사용하여 양호하게 충전되어, 무공동, 저저항의 상호연결 구조물을 제공한다. 양호하게, 상기 PVD기술은 상기 구조물의 필드를 평활하는 온간 금속 피복법이다.
상기 평활화된 금속 PVD층(22)은 약 150℃, 가장 바람직하게는 약 250℃ 이상(그러나, 380℃ 이하)의 가공처리 온도에서 바람직하게 형성된다. 상기 금속 박막의 평활화를 성취하기 위해서는 PVD 금속 박막을 상기 습윤 CVD 금속 박막의 바로 다음에 피복되게 하는 것이 바람직하다. 약 250℃ 이상의 온도에서 형성된 PVD 금속층의 입자성장에 의해 CVD 금속 피복중에 상기 기판의 필드상에 형성되는 노듈(nodules) 또는 기타 결함을 흡수하여, 높은 방향성을 갖는 금속 블랭킷(blanket) 층을 형성한다. 상기 PVD공정은 기판을 약 660℃, 바람직하게 약 400℃ 이하의 온도로 가열함으로써 수행된다.
상기 PVD 금속 층은 적어도 미소량의 동 또는 기타 불순물을 포함하는 것이 양호하다. 이는 상기 CVD 습윤 층 또는 그 다음의 PVD 금속 층위에 PVD AlCu층을 피복하도록 AlCu 타겟을 사용함으로써 성취될 수 있다. PVD AlCu층이 함께 연결된 PVD 및 CVD 챔버를 갖는 통합 공정에서 CVD Al 또는 PVD Al 다음에 수행될 때 웨이퍼가 챔버 사이를 통과하여도 산화 층이 형성되지 않으며 PVD AlCu 층이 입계없이 CVD Al층상에 적층형태로 성장할 것이다. 즉, 균일한 결정구조가 양 층에 걸쳐 형성될 수 있다. 또한, 연속적인 CVD Al/PVD AlCu공정은 상기 상호혼합된 층을 약 300℃에서 약 15분간 어니일링함으로써 CVD/PVD 층내에서 동의 거의 균일한 분포를 얻을 수 있게 한다. 상호혼합된 CVD/PVD Al 층의 상부면은 표면 반사율을 감소시키고 상기 층의 모사전송 능력을 개선하도록 PVD TiN 반사 방지 피막(ARC)을 수용하는 것이 바람직하다.
본 발명의 또다른 일면으로서, N2-스터프된 Ti는 질화 티타늄 습윤층을 형성하는데 사용될 수 있다. 그 결과로서, 상기 Ti 층은 양호한 일체성을 갖는다. 하나의 특히 양호한 습윤 층은 연속적인 PVD Ti/N-스터핑을 여러번 반복함으로써 제공된다. 질화 티타늄 습윤 층은 상기 바이어 내측에 TiAl3을 형성하지 않는다. 상기 티타늄은 CVD 또는 PVD 기술에 의해 피복될수 있다.
실시예
4개의 상이한 금속화 처리공정에서의 TiAl3형성으로인한 시이트 저항을 결정하기 위한 실험을 수행했다. 4개의 기판이 얻어졌고 금속화 순서를 제외하면 동일한 조건하에서 가공처리되었다. 상기 PVD 알루미늄 피복온도는 약 170℃였고 PVD 티타늄 피복 온도는 약 250℃였다. 질소 스터핑은 약 350℃의 기판온도, 약 1Torr의 압력, 및 약 700w의 유도결합된 플라즈마의 작동전력에서 수행되었다.
제 1 기판은 TiAl3형성에의한 시이트 저항의 감소를 설명하기위해 약 500Å Ti 및 약 1000Å Al을 수용했다. 제 2 기판은 질소 스터핑 조건하에서 약 1분간 약 500Å Ti를 수용한 후에, 약 1000Å Al을 수용했다. 제 3 기판은 질소 스터핑 조건하에서 약 3분간 약 500Å Ti를 수용한 후에, 약 1000Å Al을 수용했다. 마지막으로, 제 4 기판은 상기 티타늄과 알루미늄 층 사이에 TiN층을 피복하는 종래기술을 설명하기 위해 약 500Å Ti, 약 200Å PVD TiN을 수용한 후에, 약 1000Å Al을 수용했다. 금속 적층체의 피복 이후에, 각각의 웨이퍼는 약 450℃의 온도에서 약 30분의 주기로 어니일링되었다.
각각의 금속화 적층체의 시이트 저항은 TiAl3형성으로 인한 시이트 저항변화가 가장 심한 어니일링 전후에 측정했다. 이러한 측정의 결과가 다음의 표 1에 제공되어 있다.
표 1
가공처리 공정 시이트 저항(Ω/sq)
어니일링 전 어니일링 후
Ti(500Å)/Al(1000-Å) 0.29116 0.39335 0.10219
Ti(500Å)/1×N2스터핑/Al(1000-Å) 0.28352 0.31135 0.02783
Ti(500Å)/3×N2스터핑/Al(1000-Å) 0.28451 0.30982 0.02531
Ti(500Å)/P-TiN(200-Å)/Al(1000-Å) 0.30117 0.33489 0.03372
상기 데이터는 어니일링 전후에 시이트 저항이 Ti/Al 적층체보다 낮으므로, 두 개의 질소 스터핑 공정이 TiAl3형성을 감소시키는데 효과적이라는 것을 나타낸다. 그러므로, 상기 질소 스터핑 가공처리 공정은 PVD TiN 단계로 대체하여 다음과 같은 장점을 제공할 수도 있다. (1) 감소된 웨이퍼 처리, (2) TiN 입자의 발생없음, (3) 보다 얇은 금속화 적층체, (4) N2스터핑된 Ti 상에 피복된 CVD Al이 PVD 또는 CVD TiN 박막보다 더 양호한 반사율을 나타냄. 상기 질소 스터핑 공정의 다른 장점은 어떤 노출된 실리콘 표면이 동시에 스터핑될수 있어 알루미늄 스파이킹으로부터 보호된다.
가공처리 공정을 수행하기 위한 장치
본 발명에 따른 CVD공정을 수행하는데 적합한 다챔버 가공처리 장치(35)가 도 4에 개략적으로 도시되어 있다. 상기 장치는 미국 캘리포니아 산타 클라라 소재의 어플라이드 매티리얼스사에 의해 상업화된 엔듀라(ENDURA)시스템이다. 도면에 도시된 장치(35)이 특정 실시예는 반도체 소자와 같은 평탄한 기판을 가공처리하는데 적합하나 본 발명의 범주는 상기 장치에 한정되지 않는다. 상기 장치(35)는 통상적으로 일군의 상호연결된 가공처리 챔버, 예를들어 CVD 챔버(40), PVD 챔버(36), 및 급속 가열 어니일링 챔버를 포함한다.
상기 장치(35)는 스퍼터링과 같은 PVD 공정을 수행하기 위한 적어도 하나의 에워싸인 PVD 피복 챔버(36)를 포함한다. 상기 PVD챔버(36)는 기판과 대향하는 스퍼터링 재료로 형성된 스퍼터링 타겟(도시않음)을 포함한다. 상기 타겟은 챔버와 전기 절연되어 있으며 스퍼터링 플라즈마를 발생시키기 위한 가공처리 전극으로서 역할을 한다. 스퍼터링 공정중 아르곤 또는 크세논과같은 스퍼터링 가스가 챔버(36)내측으로 유입된다. RF 바이어스 전류가 스퍼터링 타겟에 인가되고 챔버내에서 기판을 지지하는 지지대는 전기적으로 접지되어 있다. 그 결과적인 챔버(36)내의 전기장은 스퍼터링 가스를 이온화하여 기판상의 재료가 피복되도록 타겟을 스퍼터링하는 스퍼터링 플라즈마를 형성한다. 스퍼터링 공정에 있어서, 상기 플라즈마는 통상적으로, 약 100 내지 약 20,000와트, 더 적합하게 약 100 내지 10,000와트 범위의 직류 또는 RF전압을 스퍼터링 타겟에 인가함으로써 발생된다.
도 5는 본 발명에 따른 CVD 피복 공정을 수행하는데 적합한 CVD 피복 챔버(40)의 개략적인 부분 단면도이다. 상기 CVD피복 챔버(40)는 에워싸인 측벽(42)과 천정부(44)를 가진다. 상기 챔버(40)는 프로세스 가스를 챔버내측에 분배하기위한 프로세스 가스 분배기(46)를 포함한다. 질량 흐름 제어기와 공기 작동식 밸브가 피복 챔버(40)내측으로의 상기 가스의 흐름을 제어하는데 사용된다. 상기 가스 분배기(46)는 통상적으로 기판(10)위에 또는 기판(10) 주위에 장착된다. 지지대(48)는 기판을 피복챔버(40)내에 지지하기 위해 제공된다. 상기 기판은 챔버(40)의 측벽(42)내에 있는 기판 장전입구를 통해서 챔버 내측으로 공급되어 상기 지지대(48)상에 놓인다. 상기 지지대(48)는 지지대 리프트 벨로우즈(50)에 의해 승강되어, 기판과 가스 분배기(46) 사이의 간극을 조절한다. 상기 지지대(48)내의 구멍을 통해 삽입되는 리프트 핑거를 구비한 리프트 핑거 조립체(52)는 지지대상의 기판을 승강시켜 챔버 내외측으로의 기판의 이송을 촉진하는데 사용된다. 그후, 가열 히터(54)가 챔버(54)내에 제공되어 기판을 신속하게 가열한다. 기판의 신속한 가열 및 냉각은 가공처리량을 증가시키는데 유용하고 동일한 챔버내에서 상이한 온도로 작동되는 다음 공정 사이의 급속한 사이클링을 가능하게 하는데 유용하다. 기판(10)의 온도는 지지대(48)의 온도로부터 추정된다.
기판은 수평의 천공된 장벽판(58) 위에 있는 가공처리 구역(56)에서 처리된다. 상기 장벽판(58)은 소비된 처리가스를 챔버(40)로부터 배출시키기 위한 배출 시스템(62)과 유체 연통된 배출 구멍(60)을 가진다. 전형적인 배출 시스템(62)은 약 10mTorr의 최소 진공을 달성할 수 있는 회전 베인형 진공 펌프(도시않음)와 선택으로 파생 가스를 세정하기 위한 세정 시스템을 포함한다. 챔버(40)내의 압력은 기판의 측부에서 감지되고 상기 배출 시스템(62)내의 드로틀 밸브를 조절함으로써 제어된다.
플라즈마 발생기(64)는 플라즈마 강화 화학증착 공정용 챔버(40)의 가공처리 구역(95)내에 플라즈마를 발생시키기 위해 제공된다. 상기 플라즈마 발생기(64)는 상기 피복 챔버(도시않음)를 에워싸고 있는 유도기 코일에 RF 전류를 유도적으로 인가하거나, 상기 챔버내의 가공처리 전극에 RF전류를 전기용량적으로 인가하거나, 또는 챔버 벽 또는 다른 전극이 접지되어 있는 동안에 유도 및 전기용량적으로 인가함으로써 플라즈마를 발생한다. 750 내지 2000와트 범위의 전력치를 갖는 DC 또는 RF 전류가 유도 코일(도시않음)에 인가되어 유도결합된 에너지를 피복챔버내측에 공급함으로써 가공처리 구역(56)내에 플라즈마를 발생시킨다. RF전류가 사용되면, RF전류의 주파수는 통상적으로 약 400㎑ 내지 약 16㎒, 더 바람직하게 약 13.56㎒이다. 선택적으로, 산화 알루미늄이나 수정으로 제조되는 가스 오염물질 또는 플라즈마 포커스 링(도시않음)은 기판 주위에 처리가스 또는 플라즈마의 흐름을 포함시키는데 사용될 수 있다.
도 6은 본 발명의 PVD 공정을 수행하는데 적합한 PVD 챔버(70)의 개략적인 횡단면도이다. 단지 개략적인 것만을 도시한 상기 도면으로 알수 있듯이, 진공챔버는 챔버 벽(71)과 타겟 후면판(72)에 의해 주로 분할되어 있다. PVD 타겟(73)은 타겟 후면판에 부착되고 스퍼터 피복될 재료의 적어도 일부분을 포함하는 조성물을 가진다. 티타늄과 질화 티타늄의 피복을 위해, 타겟(73)은 티타늄으로 제조된다. PVD 박막 층으로 스퍼터 피복될 기판(74)은 타겟(73)의 반대편에 있는 받침대(75)에 지지된다. 프로세스 가스는 가스 공급원(84,85)으로부터 각각의 질량흐름 제어기(76,77)에 의해 계량한 양만큼 챔버(70)로 공급되며 진공펌프(78)는 챔버(70)를 소정의 저압으로 유지한다.
유도 코일(79)은 타겟(73)과 받침대(75) 사이의 공간 주위에 감겨져 있다. 3개의 독립 전원이 전술한 형태의 유도결합 스퍼터링 챔버에 사용된다. 직류 전원(80)은 받침대(75)에 대해 타겟(73)을 음편위시킨다. RF전원은 메가헤르쯔 범위의 전력을 유도 코일(79)에 공급한다. 상기 타겟(73)과 기판(74) 사이로 공급되는 직류 전압은 챔버로 공급되는 프로세스 가스가 플라즈마를 형성하고 방전할수 있게 한다. 코일(79)에 의해 챔버(70)내측으로 유도 결합된 RF전력은 플라즈마 밀도를 증가 즉, 이온화 입자를 증가시킨다. 타겟(73) 뒤에 배열된 자석(82)은 스퍼터링 효율을 증가시키도록 타겟(73)에 인접한 플라즈마의 밀도를 현저하게 증가시킨다. 다른 RF전원(83)은 플라즈마에 대해 상기 받침대(75)을 편위시키기 위해 100㎑ 내지 수 메가헤르쯔 주파수 범위의 전력을 받침대(75)에 공급한다.
가스 공급원(84)으로부터의 아르곤은 주 스퍼터링 가스이다. 상기 가스는 플라즈마내에서 이온화되며, 상기 가스의 양전하는 타겟(73)으로부터의 이온 스퍼터 입자, 즉 타겟 원자 또는 다-원자 입자들이 타겟으로부터 방출되기에 충분한 에너지로 역 바이어스된 타겟(73)에 부착된다. 스퍼터된 입자들은 스퍼터 궤적을 따라 주로 이동하며, 상기 입자들중의 몇몇 입자들은 기판(74)과 충돌하여 타겟 재료 박막으로서 기판상에 피복된다. 만일 타겟(73)이 티타늄이고 다른 반응이 없다고 가정하면, 티타늄 박막이 스퍼터 피복된다.
하나의 재료층이 작업 대상물인 웨이퍼(74)상에 스퍼터되기 전에, 상기 웨이퍼는 외벽(84)내에 있는 슬릿 밸브(도시않음)와 연통된 로드 록(도시않음)을 통과하여, 지지대상에 놓이게 될 로봇 팔, 블레이드 또는 기타 웨이퍼 처리장치(도시않음)에 의해 챔버(70)내에 위치된다. 웨이퍼를 수용하기 위한 준비시, 기판 받침대는 차폐물상에 현수지지된 클램프 링 아래의 구동기구에 의해 하강되어 상기 받침대의 바닥이 핀 위치선정 플랫폼에 근접하게 된다. 통상적으로 상기 받침대는 3개 이상의 수직 구멍(도시않음)을 포함하는데, 이들 각각의 구멍은 수직으로 미끄러질 수 있는 핀이 상기 구멍을 관통할 수 있게 한다. 상기 받침대가 방금 설명한 하강위치에 있으면, 각 핀의 상부 선단부는 받침대의 상부면 위로 돌출한다. 상기 핀의 상부 선단부는 받침대의 상부면에 평행한 평면을 한정한다.
제어 시스템
본 발명의 공정은 예를들어, 캘리포니아 소재의 시네너지 마이크로시스템사에 의해 상업화된 68400 마이크로프로세서와 같은, 주변 제어기기를 갖춘 메모리 시스템에 연결된 중앙처리장치(CPU)를 포함하는 종래의 컴퓨터 시스템에 의해 작동하는 컴퓨터 프로그램(141)의 사용으로 보완될 수 있다. 상기 컴퓨터 프로그램 코드는 예를들어, 68000 어셈블리 언어, C, C++, 또는 파스칼과 같은 종래의 컴퓨터에 의해 판독가능한 프로그램 언어로 기록될 수 있다. 적합한 프로그램 코드가 종래의 텍스트 편집기를 사용하여 단일 파일 또는 다중 파일로 전송되고 컴퓨터의 메모리 시스템과 같은 컴퓨터에 의해 이용가능한 매체내에 저장된다. 상기 전송된 코드 텍스트가 상급 언어이면, 상기 코드는 압축되며 그 결과적인 압축 코드가 미리 압축된 윈도우 라이브러리 루틴의 기계어 코드와 결합된다. 상기 결합된 압축 기계어 코드를 실행하기 위해, 상기 시스템 유저는 기계어 코드를 불러내고 상기 컴퓨터 시스템이 코드를 장전함으로써 프로그램에 지시된 업무를 수행하도록 CPU가 코드를 읽어 실행한다.
도 7은 컴퓨터 프로그램(141)의 다층망 제어구조를 나타내는 예시적인 블록선도이다. 유저는 가공처리 세트와 가공처리 챔버 번호를 가공처리 선택기의 서브루틴(142)으로 보낸다. 상기 프로그램 세트는 특정 가공처리 챔버내의 특정 가공처리를 수행하는데 필요한 예정된 가공처리 변수세트이며 예정된 세트 수에 의해 확인된다. 상기 프로그램 세트는 소정의 가공처리 챔버이며 상기 소정의 가공처리 변수세트는 특정 가공처리를 수행하는 가공처리 챔버를 작동시키는데 필요하다. 상기 가공처리 변수는 예를들어, 프로세스 가스의 조성 및 흐름율, 온도, 압력, RF 편위 전력치 및 자기장 세기와 같은 플라즈마 조건, 냉각가스 압력, 및 챔버 벽의 온도와 같은 가공처리 조건과 관련이 있다.
가공처리 시퀀서 서브루틴(143)은 식별된 가공처리 챔버와 상기 가공처리 선택기 서브루틴(142)으로부터 가공처리 변수세트를 허용하고 다수의 가공처리 챔버의 작동을 제어하기 위한 프로그램 코드를 포함한다. 다중 유저는 프로그램 세트수와 프로그램 챔버수를 기록할 수 있거나, 하나의 유저(user)가 다중 가공처리 세트수와 가공처리 챔버수를 기록할 수 있어서, 상기 시퀀서 서브루틴(143)은 소정의 순서로 선택된 가공처리에 따라 작동한다. 양호하게, 상기 시퀀서 서브루틴(143)은 (ⅰ) 챔버의 사용시기를 결정하기 위해 프로그램 작동을 감시하는 단계와, (ⅱ) 사용챔버내에서 수행될 프로그램이 무엇인지를 결정하는 단계, 및 (ⅲ) 프로그램 챔버의 이용가능성과 수행할 가공처리의 형태에 근거하여 소정의 가공처리를 실행하는 단계들을 수행하기 위한 프로그램 코드를 포함한다. 상기 가공처리를 감시하는 종래의 방법으로서는 폴링(polling)과 같은 방법이 사용될 수 있다. 실행할 가공처리의 스케쥴을 정할 때 시퀀서 서브루틴(143)은 선택된 가공처리에 대한 소정의 가공처리 조건, 또는 각 특정 유저의 경력과 비교하는데 사용되는 가공처리 챔버의 현재 조건, 또는 시스템 프로그래머가 스케쥴 특성을 결정하는데 포함하는 것이 바람직한 기타의 관련 인자 등을 고려하여 설계할 수 있다.
일단 상기 시퀀서 서브루틴(143)이 가공처리 챔버와 가공처리 세트의 조합사항을 다음 실행단계로 보낼 것을 결정하면, 상기 시퀀서 서브루틴(143)은 시퀀서 서브루틴(143)에 의해 결정된 가공처리 세트에 따라 상이한 가공처리 챔버내의 다중 가공처리 업무를 제어하는 챔버 매니저 서브루틴(143a-c)으로 특정 가공처리 세트 변수들을 통과시킴으로써 상기 가공처리 세트의 실행을 유발한다. 예를들어, 상기 챔버 매니저 서브루틴(144a)는 CVD 공정작동을 제어하기 위한 프로그램 코드를 상기 가공처리 챔버(40)내에 포함한다. 상기 챔버 매니저 서브루틴(144)도 상기 선택된 가공처리세트를 수행하는데 필요한 챔버성분의 작동을 제어하는 다수의 챔버성분 서브루틴 또는 프로그램 코드 모듈의 실행을 제어한다. 챔버성분 서브루틴의 예들은 기판 위치선정 서브루틴(145), 프로세스 가스 서브루틴(146), 압력 제어 서브루틴(147), 히터 제어 서브루틴(148), 및 플라즈마 서브루틴(149) 등이다. (ⅰ) 기판을 온도(ΔTs)범위내의 온도(Ts)로 가열하고 (ⅱ) 거의 연속적인 절연층을 기판의 필드부에 피복하도록 반응 가스들을 가공처리 구역으로 유입하기 위한 시이딩 프로그램 코드수단, 및 (ⅰ) 온도 범위(ΔTd)내의 피복온도(Td)로 기판을 유지하고 (ⅱ) 접촉구멍 또는 바이어스내에서 성장하는 적층성장층을 형성하도록 가공처리 구역내로 피복가스를 유입하기 위한 피막 성장 프로그램 코드수단으로서의 기능을 한다. 본 기술분야의 숙련자들은 어떤 가공처리가 상기 가공처리 챔버(40)에서 바람직하게 수행될 수 있을 것인가에 따라서 변경될 수 있다는 것을 이해할 것이다.
작동시, 상기 챔버 매니저 서브루틴(144a)은 실행될 특정 가공처리 세트에 따라서 가공처리 성분 서브루틴을 선택적으로 계획하고 불러들인다. 상기 챔버 매니저 서브루틴(144a)은 시퀀서 서브루틴(143)이 어느 가공처리 챔버(40)를 이용하고 어느 가공처리 세트를 실행할 것인가에 따라 가공처리 성분의 서브루틴을 계획한다. 통상적으로, 상기 챔버 매니저 서브루틴(144a)은 다수의 챔버성분을 감시하는 단계, 실행할 가공처리에 대한 가공처리 변수에 근거하여 어느 성분이 필요한 가를 결정하는 단계, 및 상기 감시 및 결정단계에 반응하는 챔버성분 서브루틴의 실행을 유발하는 단계를 포함한다.
이후, 특정 챔버성분 서브루틴의 작동에 대해 설명한다. 기판 위치선정 코드 또는 서브루틴(145)은 기판을 챔버 지지대(65)상에 장전하거나, 선택적으로 기판과 가스 분배기(55) 사이의 간극을 제어하도록 챔버(40)내의 소정 높이로 기판을 상승시키는데 사용되는 챔버성분을 제어하기 위한 프로그램 코드를 포함한다. 기판이 프로그램 챔버(40)내에 장전되면, 상기 기판 지지대(65)가 기판을 수용하도록 하강되며 상기 지지대가 기판을 수용하도록 하강된 후에, 상기 지지대는 챔버내에서 소정의 높이로 상승된다. 상기 기판 위치선정 서브루틴(145)은 챔버 매니저 서브루틴(144a)으로부터 이송되는 지지대 높이와 관련된 가공처리 세트 변수에 응답하여 지지대(65)의 운동을 제어한다.
상기 프로세스 가스 제어 서브루틴(146)은 프로세스 가스 조성비와 흐름율을 제어하기위한 프로그램 코드를 가진다. 일반적으로, 각각의 프로세스 가스용 공급라인들은 챔버내부로의 프로세스 가스의 흐름을 자동 또는 수동으로 차단하는데 사용되는 안전-차단밸브(도시않음), 및 상기 가스공급라인을 통과하는 특정가스의 흐름을 측정하는 질량흐름 제어기(도시않음)를 포함한다. 독성가스가 상기 공정에 사용되면, 여러개의 안전 차단밸브가 종래와 같이 각각의 가스공급라인상에 위치된다. 상기 프로세스 가스 제어 서브루틴(146)은 안전 차단밸브의 개폐부를 제어하며 소정의 가스 흐름을 얻기 위해 상기 질량 흐름 제어기를 제어한다. 상기 제어 서브루틴(146)은 모두 챔버 성분 서브루틴인 챔버 매니저 서브루틴(144a)에 의해 불러내어지며 소정의 가스 흐름율과 관련된 챔버 매니저 서브루틴 가공처리 변수로부터 수용된다. 통상적으로, 상기 프로세스 가스 제어 서브루틴(146)은 가스 공급라인을 개방함으로써 작동하며 필요한 질량 흐름 제어기를 판독하는 단계와 상기 판독내용을 상기 챔버 매니저 서브루틴(144a)으로부터 수용된 소정의 흐름율과 비교하는 단계 및 필요에 따라 상기 가스 공급라인의 흐름율을 조절하는 단계를 반복한다. 또한, 상기 프로세스 가스 제어 서브루틴(146)은 비안정적인 비율에 대한 상기 가스 흐름율을 감시하는 단계 및 비안정적인 조건이 검출되었을 때 상기 안전 차단밸브를 작동시키는 단계를 포함한다.
상기 프로세스 가스 서브루틴(146)은 우선적인 필드 성장모드 또는 선택적인 성장 모드로 챔버를 작동시키기 위한 피복가스 프로그램 코드를 포함한다. 상기 우선적인 필드 성장단계에서, 상기 반응가스 프로그램 모드(152)는 반응가스를 얇은 절연층을 기판상에 형성하는데 필요한 시간동안 챔버(40)내측으로 흐른다. 그후에, 선택적인 피막 성장단계에서, 상기 피복가스 프로그램 코드(154)에 의해 피복가스가 접촉 구멍 또는 바이어스, 및 상기 필드상에 소정의 선택적인 성장층을 성장시키는데 필요한 시간동안 챔버내측으로 흐른다. 스퍼터링 가스 프로그램코드(156)는 상기 PVD 공정의 수행중에 스퍼터링 가스를 PVD챔버(36)내측으로 유입시키는데 제공될 수 있다.
상기 프로세스 가스는 가스 또는 액체 전조체로부터 형성된다. 프로세스 가스가 액체 전조체, 예를들어 디메틸 알루미늄 수산화물(DMAH)로부터 기화되면, 상기 프로세스 가스 제어 서브루틴(146)은 수소, 아르곤, 또는 헬륨과 같은 캐리어 가스를 비등기 조립체 내에서 비등시키기 위한 단계를 포함하도록 기록된다. 이러한 형태의 공정을 위해, 상기 프로세스 가스 제어 서브루틴(146)은 캐리어 가스의 흐름, 비등기내의 압력, 및 상기 소정의 프로세스 가스 흐름율을 얻기 위한 비등기 온도를 제어한다. 전술한 바와같이, 소정의 프로세스 가스 흐름율은 가공처리 변수로서 프로세스 가스 제어 서브루틴(146)으로 전송된다. 또한, 상기 프로세스 가스 제어 서브루틴(146)은 필요한 캐리어 가스 흐름율, 비등기 압력, 및 주어진 프로세스 가스 흐름율에 대한 필요치를 포함하고 있는 저장 테이블에 접근함으로써 소정의 프로세스 가스 흐름율에 대한 비등기 온도를 얻기 위한 단계들을 포함한다. 일단 필요치가 얻어지면, 캐리어 가스 흐름율, 비등기 압력 및 비등기 온도가 모니터되고 상기 필요치와 비교되며 챔버의 배출 시스템(115)내에 있는 드로틀 밸브의 개방도를 조절함으로써 챔버내의 압력이 조절된다. 상기 드로틀 밸브의 개방도는 전체 압력가스 흐름, 압력 챔버의 크기, 및 배출 시스템(115)에 대한 펌핑 셋포인트 압력과 관련하여 챔버압력을 소정치로 제어하도록 설정된다.
상기 압력 제어 서브루틴(147)이 불러내어지면, 소정의 또는 타겟 압력치가 상기 챔버 매니저 서브루틴(144a)으로부터 변수로터 수용된다. 상기 압력 제어 서브루틴(147)은 챔버에 연결된 하나 이상의 종래의 압력 나노미터를 판독함으로써 챔버내의 압력을 측정하도록 작동하며, 그 측정치를 타겟압력과 비교하며, 상기 타겟 압력에 대응하는 저장 압력 테이블로부터 PID(비례, 적분, 및 미분)값을 얻으며, 상기 압력 테이블로부터 얻어진 PID 수치에 따라 드로틀 밸브를 조절한다. 이와는 달리, 상기 압력 제어서브루틴(147)은 소정의 압력에서 챔버(40)를 조절하도록 특정 개방크기로 상기 드로틀 밸브를 개폐하도록 기록될수 있다.
상기 히터 제어 서브루틴(148)은 기판을 가열하는데 사용되는 히터(80)의 온도를 제어하기 위한 프로그램 코드를 포함한다. 상기 히터 제어 서브루틴(148)은 기판을 온도범위(ΔTS)내의 소정의 시이딩(seeding) 온도(TS)로 유지하는 시이딩 단계에서 작동시키기 위한 시이딩 단계 가열 프로그램 코드(158)을 포함한다. 통상적으로, 상기 서브루틴(148)은 주위 챔버온도로부터 설정온도로 지지대의 온도를 가열하도록 프로그램된다. 기판의 온도가 시이딩 온도(TS)에 도달하면, 상기 프로세스 가스 제어 서브루틴(146)은 전술한 바와같이 시이딩 가스를 챔버 내부로 유입하도록 프로그램된다. 상기 히터 제어 서브루틴(148)은 상기 시이딩 층상에 적층성장 층을 성장시키는데 적합한 온도범위(ΔTd)내의 피복온도(Td)로 기판을 급속 가열시키기 위한 적층성장 가열 프로그램 코드(160)를 포함한다. 이 단계에서, 상기 히터 제어 서브루틴(148)은 챔버 매니저 서브루틴(144a)에 의해 불러내어져 적어도 약 50℃/min의 램프비율(ramp rate) 온도변수를 수용한다.
상기 히터 제어 서브루틴(148)은 지지대내에 위치된 열전쌍이 전압 출력을 측정함으로써 온도를 측정하며, 그 측정된 온도를 설정온도와 비교하며, 소정의 램프비율 또는 설정온도를 얻기위해 히터(80)에 인가된 전류를 증가 또는 감소시킨다. 상기 온도는 저장된 전환 테이블내의 대응온도를 찾아보거나, 4차수 다항식을 사용하여 온도를 계산함으로써 상기 측정된 전압으로부터 얻어진다. 방사선 램프가 히터(80)로서 사용되면, 히터 제어 서브루틴(148)은 램프의 신뢰도와 수명을 증가시키는 램프에 인가된 전류의 증감을 점진적으로 제어한다. 또한, 빌드-인(build in) 고장-안전 모드가 공정의 안정성을 검출하고, 상기 가공처리 챔버(40)가 부적절하게 설정된 경우에 히터(80)의 작동을 차단하도록 포함될 수 있다.
상기 플라즈마 제어 서브루틴(149)은 화학 증착 모드에서의 챔버 작동중 챔버내의 피복 플라즈마를 형성하기 위한 프로그램 코드를 포함한다. 상기 서브루틴(149)은 피복 플라즈마를 형성하기 위해서 챔버(40)내의 가공처리 전극(60,65)에 인가된 RF편위 전압치를 설정하고 선택적으로, 챔버내에 발생된 자기장의 값을 설정한다. 전술한 챔버 성분 서브루틴과 유사하게, 상기 플라즈마 제어 서브루틴(149)은 챔버 매니저 서브루틴(144a)에의해 불러내진다. 작동시, 상기 플라즈마 제어 서브루틴(149)은 플라즈마 발생기(116)에 인가된 유입전압과 챔버(40)를 통해 흐르는 유출전압을 판독하기 위한 단계를 포함한다. 과도하게 높게 유출된 전압을 판독하면 플라즈마가 발생되지 않았고 플라즈마 제어 서브루틴(149)이 재작동하거나 공정을 차단해야 한다는 것을 의미한다. 상기 판독치는 타겟치와 비교되며 전류는 챔버(40)내에 회전자장을 형성하기 위해 사인 곡선 전류를 플라즈마 발생기에 인가하기 위한 플라즈마를 제어하도록 조절된다. 소정의 자기장을 발생시키는데 필요한 사인곡선은 자기장 세기에 대응하는 사인곡선값을 저장한 테이블로부터 얻거나 사인곡선 방정식을 사용하여 계산된다.
이제까지 본 발명의 양호한 실시예에 대해 설명했지만, 본 발명의 다른 추가의 실시예들이 본 발명의 범주로부터 이탈함이 없이 고안될 수 있다. 본 발명의 범주는 다음의 청구범위에 의해 결정된다.
본 발명에 따라 티타늄 및 실리콘과 알루미늄의 상호작용을 방지할 수 있는 공정을 제공함으로써, 가공처리 단계를 줄이고 가공처리 시간을 감소시킬 수 있으며, TiAl3의 형성을 방지하면서 금속 적층체의 두께를 감소시킬 수 있으며, 또한 상기 공정에서 입자 발생량을 감소시킬 수 있다.

Claims (33)

  1. 질화 티타늄을 기판상에 형성하는 방법으로서,
    (가) 티타늄 층을 기판상에 피복하는 단계, 및
    (나) 상기 티타늄 층을 질소에 노출시키는 단계를 포함하는 것을 특징으로 하는 질화 티타늄을 기판상에 형성하는 방법.
  2. 제 1 항에 있어서, 상기 (가)단계와 (나)단계를 반복하는 단계를 더 포함하는 것을 특징으로 하는 질화 티타늄을 기판상에 형성하는 방법.
  3. 제 1 항에 있어서, 상기 티타늄은 화학 증착법에 의해 피복되는 것을 특징으로 하는 질화 티타늄을 기판상에 형성하는 방법.
  4. 제 1 항에 있어서, 상기 티타늄은 물리 증착법에 의해 피복되는 것을 특징으로 하는 질화 티타늄을 기판상에 형성하는 방법.
  5. 제 1 항에 있어서, 상기 티타늄은 콜리메이트된 물리 증착법에 의해 피복되는 것을 특징으로 하는 질화 티타늄을 기판상에 형성하는 방법.
  6. 제 1 항에 있어서, 상기 티타늄은 고밀도 플라즈마를 이용하여 피복되는 것을 특징으로 하는 질화 티타늄을 기판상에 형성하는 방법.
  7. 제 1 항에 있어서, 상기 질화 티타늄은 불연속 층을 형성하는 것을 특징으로 하는 질화 티타늄을 기판상에 형성하는 방법.
  8. 제 1 항에 있어서, 상기 질화 티타늄은 연속층을 형성하는 것을 특징으로 하는 질화 티타늄을 기판상에 형성하는 방법.
  9. 제 1 항에 있어서, 상기 티타늄은 질소 플라즈마에 노출되는 것을 특징으로 하는 질화 티타늄을 기판상에 형성하는 방법.
  10. 제 9 항에 있어서, 상기 방법은 질소 분위기하에서 기판에 인접한 가공처리 구역 내측으로 전기용량적 또는 유도 결합된 에너지를 제공하는 단계를 더 포함하는 것을 특징으로 하는 질화 티타늄을 기판상에 형성하는 방법.
  11. 제 1 항에 있어서, 상기 질화 티타늄은 핵형성 층을 형성하는 것을 특징으로 하는 질화 티타늄을 기판상에 형성하는 방법.
  12. 제 1 항에 있어서, 상기 질화 티타늄은 소립자 층을 형성하는 것을 특징으로 하는 질화 티타늄을 기판상에 형성하는 방법.
  13. 제 1 항에 있어서, 상기 질소는 약 5 내지 약 500sccm 범위의 비율로 기판에 인접한 가공처리 구역내측으로 흐르는 것을 특징으로 하는 질화 티타늄을 기판상에 형성하는 방법.
  14. 기판 가공처리 방법으로서,
    (가) 티타늄을 기판상에 피복하는 단계와,
    (나) 기판상의 티타늄을 질화 티타늄을 형성하도록 질소와 접촉시키는 단계, 및
    (다) 알루미늄을 질화 티타늄상에 피복시키는 단계를 포함하는 것을 특징으로 하는 기판 가공처리 방법.
  15. ` 제 14 항에 있어서, 상기 알루미늄은 화학 증착법에 의해 피복되는 것을 특징으로 하는 기판 가공처리 방법.
  16. 제 14 항에 있어서, 상기 알루미늄은 물리 증착법에 의해 피복되는 것을 특징으로 하는 기판 가공처리 방법.
  17. 제 14 항에 있어서, 상기 방법은 상기 알루미늄을 약 400 내지 600℃ 범위의 온도로 가열하는 (다)단계를 더 포함하는 것을 특징으로 하는 기판 가공처리 방법.
  18. 제 14 항에 있어서, 상기 방법은 상기 알루미늄을 약 450℃ 내지 550℃ 범위의 온도로 어니일링하는 (다)단계를 더 포함하는 것을 특징으로 하는 기판 가공처리 방법.
  19. 제 18 항에 있어서, 상기 어니일링된 알루미늄이 시이트 저항은 약 0.39Ω보다 작은 것을 것을 특징으로 하는 기판 가공처리 방법.
  20. 기판 가공처리 방법으로서,
    (가) 티타늄을 기판상에 피복하는 단계와,
    (나) 상기 기판상의 티타늄을 질화 티타늄을 형성하도록 질소와 접촉시키는 단계와,
    (다) 상기 질화 티타늄상에 제 1 알루미늄 층을 피복하는 단계, 및
    (라) 상기 제 1 알루미늄 층위에 제 2 PVD 온간 알루미늄 층을 피복하는 단계를 포함하는 것을 특징으로 하는 기판 가공처리 방법.
  21. 제 20 항에 있어서, 상기 티타늄은 물리 증착법에 의해 피복되는 것을 특징으로 하는 기판 가공처리 방법.
  22. 제 20 항에 있어서, 상기 (다)단계와 (라)단계를 수행하기 이전에 (가)단계 및 (나)단계를 반복하는 (마)단계를 더 포함하는 것을 특징으로 하는 기판 가공처리 방법.
  23. 제 20 항에 있어서, 질화 티타늄을 알루미늄상에 피복하는 (마)단계를 더 포함하는 것을 특징으로 하는 기판 가공처리 방법.
  24. 기판 가공처리 방법으로서,
    (가) 티타늄을 기판상에 피복하는 단계와,
    (나) 상기 티타늄을 질화 티타늄을 형성하도록 질소와 접촉시키는 단계와,
    (다) 상기 질화 티타늄상에 핵형성 물질을 피복하는 단계와,
    (라) 상기 핵형성 물질상에 습윤 층을 피복하는 단계, 및
    (마) 상기 습윤 층상에 PVD 알루미늄 층을 피복하는 단계를 포함하는 것을 특징으로 하는 기판 가공처리 방법.
  25. 제 24 항에 있어서, 상기 티타늄은 물리 증착법에 의해 피복되는 것을 특징으로 하는 기판 가공처리 방법.
  26. 제 24 항에 있어서, 상기 핵형성 물질은 PVD 알루미늄이고 상기 습윤 층은 CVD 알루미늄인 것을 특징으로 하는 기판 가공처리 방법.
  27. 실리콘 표면을 갖는 기판을 가공처리하는 방법으로서,
    (가) 실리콘을 고압의 질소 플라즈마에 노출시키는 단계를 포함하는 것을 특징으로 하는 실리콘 표면을 갖는 기판의 가공처리 방법.
  28. 제 27 항에 있어서, 상기 방법은
    (나) 티타늄을 기판상에 피복하는 단계와,
    (다) 상기 티타늄을 질화 티타늄을 형성하도록 질소와 접촉시키는 단계를 더 포함하는 것을 특징으로 하는 실리콘 표면을 갖는 기판의 가공처리 방법.
  29. 제 28 항에 있어서, 상기 (가)단계 내지 (나)단계는 고밀도 플라즈마 물리 증착 챔버내에서 수행되는 것을 특징으로 하는 실리콘 표면을 갖는 기판의 가공처리 방법.
  30. 제 29 항에 있어서, 상기 방법은
    (다) 상기 질화 티타늄상에 제 1 알루미늄 층을 피복하는 단계와,
    (라) 상기 제 1 알루미늄 층위에 제 1 PVD 온간 알루미늄을 피복하는 단계를 더 포함하는 것을 특징으로 하는 실리콘 표면을 갖는 기판의 가공처리 방법.
  31. 노출된 티타늄과 실리콘을 갖는 기판의 가공처리 방법으로서,
    (가) 기판과 인접한 가공처리 구역에 약 0.5 내지 약 5Torr 범위의 압력으로 질소를 제공하는 단계와,
    (나) 상기 가공처리 구역에서 플라즈마를 충돌시키는 단계와,
    (다) 노출된 티타늄을 질화 티타늄으로 전환시키는 단계, 및
    (라) 노출된 실리콘을 질화 실리콘으로 전환시키는 단계를 포함하는 것을 특징으로 하는 노출된 티타늄과 실리콘을 갖는 기판의 가공처리 방법.
  32. 제 31 항에 있어서, 상기 방법은 전기용량적 또는 유도 결합된 에너지를 가공처리 구역내측으로 제공하는 단계를 더 포함하는 것을 특징으로 하는 노출된 티타늄과 실리콘을 갖는 기판의 가공처리 방법.
  33. 제 31 항에 있어서, 상기 질소는 약 5 내지 약 500sccm 범위의 비율로 상기 가공처리 구역내측으로 제공되는 것을 특징으로 하는 노출된 티타늄과 실리콘을 갖는 기판의 가공처리 방법.
KR1019980012721A 1997-04-11 1998-04-10 티타늄과 알루미늄의 상호작용을 방지하기 위한 질화처리된 티타늄 집적층 KR19980081269A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US8/840,209 1997-04-11
US08/840,209 US6080665A (en) 1997-04-11 1997-04-11 Integrated nitrogen-treated titanium layer to prevent interaction of titanium and aluminum

Publications (1)

Publication Number Publication Date
KR19980081269A true KR19980081269A (ko) 1998-11-25

Family

ID=25281734

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980012721A KR19980081269A (ko) 1997-04-11 1998-04-10 티타늄과 알루미늄의 상호작용을 방지하기 위한 질화처리된 티타늄 집적층

Country Status (5)

Country Link
US (1) US6080665A (ko)
EP (1) EP0871217A3 (ko)
JP (1) JPH1145864A (ko)
KR (1) KR19980081269A (ko)
TW (1) TW376576B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100730478B1 (ko) * 2006-05-24 2007-06-19 동부일렉트로닉스 주식회사 반도체 소자 제조방법
KR20180016951A (ko) * 2016-08-08 2018-02-20 도쿄엘렉트론가부시키가이샤 실리콘 질화막의 성막 방법 및 성막 장치

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6077781A (en) * 1995-11-21 2000-06-20 Applied Materials, Inc. Single step process for blanket-selective CVD aluminum deposition
KR100269878B1 (ko) * 1997-08-22 2000-12-01 윤종용 반도체소자의금속배선형성방법
US6140228A (en) * 1997-11-13 2000-10-31 Cypress Semiconductor Corporation Low temperature metallization process
JP3568385B2 (ja) * 1998-03-16 2004-09-22 沖電気工業株式会社 半導体装置の製造方法
US6427904B1 (en) * 1999-01-29 2002-08-06 Clad Metals Llc Bonding of dissimilar metals
US6383915B1 (en) * 1999-02-03 2002-05-07 Applied Materials, Inc. Tailoring of a wetting/barrier layer to reduce electromigration in an aluminum interconnect
US6316353B1 (en) * 1999-02-18 2001-11-13 Micron Technology, Inc. Method of forming conductive connections
US6365507B1 (en) * 1999-03-01 2002-04-02 Micron Technology, Inc. Method of forming integrated circuitry
US6524951B2 (en) * 1999-03-01 2003-02-25 Micron Technology, Inc. Method of forming a silicide interconnect over a silicon comprising substrate and method of forming a stack of refractory metal nitride over refractory metal silicide over silicon
KR100355861B1 (ko) * 1999-05-11 2002-10-12 아남반도체 주식회사 반도체 소자의 제조 방법
US6355558B1 (en) * 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US6214714B1 (en) * 1999-06-25 2001-04-10 Applied Materials, Inc. Method of titanium/titanium nitride integration
US6969448B1 (en) 1999-12-30 2005-11-29 Cypress Semiconductor Corp. Method for forming a metallization structure in an integrated circuit
US6561627B2 (en) * 2000-11-30 2003-05-13 Eastman Kodak Company Thermal actuator
US6688584B2 (en) 2001-05-16 2004-02-10 Micron Technology, Inc. Compound structure for reduced contact resistance
US6709958B2 (en) 2001-08-30 2004-03-23 Micron Technology, Inc. Integrated circuit device and fabrication using metal-doped chalcogenide materials
US20040203230A1 (en) * 2002-01-31 2004-10-14 Tetsuo Usami Semiconductor device having multilayered conductive layers
US6777328B2 (en) * 2002-01-31 2004-08-17 Oki Electric Industry Co., Ltd. Method of forming multilayered conductive layers for semiconductor device
KR100455382B1 (ko) * 2002-03-12 2004-11-06 삼성전자주식회사 듀얼 다마신 구조를 가지는 반도체 소자의 금속 배선 형성방법
WO2004076705A2 (en) * 2003-02-24 2004-09-10 University Of South Florida Reactive physical vapor deposition with sequential reactive gas injection
US20050221612A1 (en) * 2004-04-05 2005-10-06 International Business Machines Corporation A low thermal budget (mol) liner, a semiconductor device comprising said liner and method of forming said semiconductor device
US7550055B2 (en) * 2005-05-31 2009-06-23 Applied Materials, Inc. Elastomer bonding of large area sputtering target
US8003536B2 (en) * 2009-03-18 2011-08-23 International Business Machines Corporation Electromigration resistant aluminum-based metal interconnect structure

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4762728A (en) * 1985-04-09 1988-08-09 Fairchild Semiconductor Corporation Low temperature plasma nitridation process and applications of nitride films formed thereby
US4715937A (en) * 1986-05-05 1987-12-29 The Board Of Trustees Of The Leland Stanford Junior University Low-temperature direct nitridation of silicon in nitrogen plasma generated by microwave discharge
US4782380A (en) * 1987-01-22 1988-11-01 Advanced Micro Devices, Inc. Multilayer interconnection for integrated circuit structure having two or more conductive metal layers
US4786360A (en) * 1987-03-30 1988-11-22 International Business Machines Corporation Anisotropic etch process for tungsten metallurgy
US5162262A (en) * 1989-03-14 1992-11-10 Mitsubishi Denki Kabushiki Kaisha Multi-layered interconnection structure for a semiconductor device and manufactured method thereof
US5658828A (en) * 1989-11-30 1997-08-19 Sgs-Thomson Microelectronics, Inc. Method for forming an aluminum contact through an insulating layer
US5478780A (en) * 1990-03-30 1995-12-26 Siemens Aktiengesellschaft Method and apparatus for producing conductive layers or structures for VLSI circuits
US5236868A (en) * 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
FR2670605B1 (fr) * 1990-12-13 1993-04-09 France Etat Procede de realisation d'une barriere de diffusion electriquement conductrice a l'interface metal/silicium d'un transistor mos et transistor correspondant.
FR2672731A1 (fr) * 1991-02-07 1992-08-14 France Telecom Procede d'oxydation localisee enterree d'un substrat de silicium et circuit integre correspondant.
US5242860A (en) * 1991-07-24 1993-09-07 Applied Materials, Inc. Method for the formation of tin barrier layer with preferential (111) crystallographic orientation
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5427666A (en) * 1993-09-09 1995-06-27 Applied Materials, Inc. Method for in-situ cleaning a Ti target in a Ti + TiN coating process
AU1745695A (en) * 1994-06-03 1996-01-04 Materials Research Corporation A method of nitridization of titanium thin films
JP3130207B2 (ja) * 1994-07-08 2001-01-31 松下電子工業株式会社 半導体装置の製造方法
JPH08186075A (ja) * 1994-12-29 1996-07-16 Nippon Steel Corp 半導体装置の製造方法及び半導体製造装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100730478B1 (ko) * 2006-05-24 2007-06-19 동부일렉트로닉스 주식회사 반도체 소자 제조방법
KR20180016951A (ko) * 2016-08-08 2018-02-20 도쿄엘렉트론가부시키가이샤 실리콘 질화막의 성막 방법 및 성막 장치

Also Published As

Publication number Publication date
JPH1145864A (ja) 1999-02-16
EP0871217A3 (en) 1999-08-04
EP0871217A2 (en) 1998-10-14
US6080665A (en) 2000-06-27
TW376576B (en) 1999-12-11

Similar Documents

Publication Publication Date Title
KR19980081269A (ko) 티타늄과 알루미늄의 상호작용을 방지하기 위한 질화처리된 티타늄 집적층
US6139697A (en) Low temperature integrated via and trench fill process and apparatus
US6368880B2 (en) Barrier applications for aluminum planarization
US6430458B1 (en) Semi-selective chemical vapor deposition
US6432479B2 (en) Method for in-situ, post deposition surface passivation of a chemical vapor deposited film
US6139905A (en) Integrated CVD/PVD Al planarization using ultra-thin nucleation layers
US20030013297A1 (en) Reliability barrier integration for Cu application
US20050211545A1 (en) Ionized physical vapor deposition (iPVD) process
US20030059538A1 (en) Integration of barrier layer and seed layer
US20030057526A1 (en) Integration of barrier layer and seed layer
US20030057527A1 (en) Integration of barrier layer and seed layer
US20070235321A1 (en) Depositing rhuthenium films using ionized physical vapor deposition (ipvd)
EP1094504A2 (en) PVD-IMP tungsten and tungsten nitride as a liner, barrier, and/or seed layer
US6017144A (en) Method and apparatus for depositing highly oriented and reflective crystalline layers using a low temperature seeding layer
JP2001044204A (ja) 孔を充填するためのアルミニウムの堆積方法及び装置
WO1999063593A1 (en) Plasma treatment for ex-situ contact fill
EP1433202A2 (en) Integration of barrier layer and seed layer
KR20010032726A (ko) 현장 예비세정 단계를 포함하는 웨이퍼상의 티타늄화학기상증착
EP0808915A2 (en) Chemical vapor deposition and sputtering method and apparatus
Faltermeier et al. Integrated plasma-promoted chemical vapor deposition route to aluminum interconnect and plug technologies for emerging computer chip metallization

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid