JP2001044204A - 孔を充填するためのアルミニウムの堆積方法及び装置 - Google Patents

孔を充填するためのアルミニウムの堆積方法及び装置

Info

Publication number
JP2001044204A
JP2001044204A JP2000193827A JP2000193827A JP2001044204A JP 2001044204 A JP2001044204 A JP 2001044204A JP 2000193827 A JP2000193827 A JP 2000193827A JP 2000193827 A JP2000193827 A JP 2000193827A JP 2001044204 A JP2001044204 A JP 2001044204A
Authority
JP
Japan
Prior art keywords
layer
pvd
metal
chamber
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000193827A
Other languages
English (en)
Inventor
Yu Sang-Ho
ホー ユー サン
Chris Cha Yonghwa
クリス チャー ヨングワ
Abburi Murali
アーブリ ムラリー
Singhvi Shri
シングヴィー スリ
Chen Fufa
チェン フファ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001044204A publication Critical patent/JP2001044204A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/18Metallic material, boron or silicon on other inorganic substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3407Cathode assembly for sputtering apparatus, e.g. Target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

(57)【要約】 【課題】 基板及び平坦な金属表面上の空隙がなく、金
属表面には窪みのない完全な孔の充填を行なうこと。 【解決手段】 溶けにくい層が高いアスペクト比の、基
板に形成されたコンタクトまたは孔を有する基板に堆積
される。PVD金属層、例えばPVDAl、またはPV
DCuが1ミリトル以下の圧力で溶けにくい層に堆積さ
れて、コンフォーマルなPVD金属層を与える。その
後、孔またはコンタクトは、金属で、例えばコンフォー
マルなPVD金属層上に物理気相堆積によって堆積され
た追加の金属をリフローすることによって満たされる。
プロセスは、ターゲット64と基板66が少なくとも1
00mmのロングスロー距離によって分離されるロング
スローPVD36チャンバ及びリフローチャンバとして
も働く熱い金属PVDを有する一体化された処理システ
ム内で達成されるのが好ましい。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体デバイスを
製造するためのメタライゼーション方法に関する。特
に、本発明は、導電層間に隙間のない相互接続、及び平
坦な金属表面を形成するために、開口を有する半導体基
板のメタライゼーションに関する。
【0002】
【従来の技術】1/2ミクロン以下のマルチレベルのメ
タライゼーションは大規模集積(VLSI)の次世代用
のキーとなる技術の1つである。この技術の中心にある
マルチレベルの相互接続は、コンタクト、孔、ライン又
は他の特徴を含む、高いアスペクト比の開口の平坦性を
要求する。これらの相互接続の信頼性のある形状は、個
々の基板及びダイ上の密度及び品質を増加するために、
VLSIの成功及び継続努力にとって非常に重要であ
る。
【0003】化学気相堆積(CVD)、同様の他のCV
Dプロセスによって形成されたアルミニウム(Al)又
は銅(Cu)は、優れたコンフォーマル層、すなわち低
温で1/2ミクロン以下(<0.5μm>の開口を有す
る非常に小さな形状のための、フィーチャのサイド及び
ベース上に均一な厚さの層を与える。したがって、CV
Dプロセス(CVD AlまたはCVD Cu)は、開口
を充填するために用いられる一般的な方法である。透過
型電子顕微鏡法のデータ(TEM)は空隙がCVDで形
成されたAl開口の多くに存在することを明らかにし
た。しかし、これらの同じ開口の電気的試験はこの空隙
の存在を証明していない。もし、層が連続して処理され
るなら、空隙は欠陥回路を生じる。この種の空隙は通常
断面の標準電子顕微鏡法技術によって検知することは非
常に困難であることが認識されるべきである。何故なら
ば、幾つかの変形が機械的研磨の間にソフトなアルミニ
ウムに生じるからである。加えて、電気的導電性試験は
如何なる構造的異常も検知しない。しかし、一般的に性
の伝気銅同姓試験にも関わらず、空隙を有するコンタク
トを通して導通が集積回路デバイスの完全性を時間と共
に危うくするかもしれない。
【0004】基板上に形成されたいろいろなCVD A
l層のTEM研究は、孔が完全に充填される前に孔の上
部がふさがってしまう場合に、空隙の形成が基本的なホ
ールプロセスによって生じることを示している。CVD
Alの薄いコンフォーマル層は、低温で高いアスペク
ト比のコンタクト及び孔に堆積されるけれども、コンタ
クトや穴の充填を完成するために続けられるCVD堆積
は、一般にそこに空隙の形成を生ずる。CVDの処理条
件を変更することによって金属層における空隙の除去に
多くの努力が注がれた。
【0005】高いアスペクト比の開口のメタライゼーシ
ョンに対する他の技術は、物理気相堆積(PVD)によ
るアルミニウムの熱い平坦化である。このプロセスにお
ける第1のステップは、PVD処理の間にAlの流動を
容易にするバリア/ウエッテリング層を形成するため
に、パターン化されたウエハ上にチタン(Ti)のよう
な溶けにくい金属の薄い層の堆積を必要とする。バリア
/ウエッテリング層の堆積に続いて、次のステップは、
(1)熱いPVD Al層か(2)冷たいPVDAl層
のいずれかの堆積を、続いて熱いPVD Al層をウエ
ッティン層上に必要とする。しかし、熱いPVD Al
プロセスは、ウエッテリング層、ウエハの状態、及び他
の処理パラメータの品質に非常に敏感である。ウエッテ
リング層の処理条件および/又は乏しいカバレージにお
ける小さな変化がコンタクト又は孔の不完全な充填につ
ながり、したがって空隙を形成する。この孔やコンタク
トを確実に充填するために、熱いPVD Alプロセス
は約450℃以上の温度で行なわれなければならない。
高い温度でも、基板の上面及びコンタクトや孔の上壁に
形成された堆積層が、コンタクトや孔のフロアが完全に
満たされる前に、合わさるために、PVDプロセスはブ
リッジ効果を生じ、それによりコンタクトや孔の口が閉
じられる。
【0006】PVD Al層が基板上に堆積されると、
イオンの衝突を基板自体に向けることによって、Alの
リフローが生ずる。イオンで基板を打つことは、基板上
に形成された金属層をリフローするようにする。このプ
ロセスは、一般にプラズマによって形成されたエネルギ
ーおよび金属層上にイオンを衝突する結果として金属層
を加熱する。基板上に形成された金属層に生じた高い温
度は1/2ミクロン以下の形状を有するデバイスの完全
性を損なう。したがって、金属層の加熱はこれらを適用
するには好ましくない。
【0007】米国特許第5,147,819号('819特許)
は、ステップカバレージを改善するために、画定された
コンタクト又は孔の直径の5%から35%までの厚さを
有するCVD Al層を適用し、次いで、所定の全体の
層圧を達成するために充分厚いPVD Al層を適用す
るステップを含む孔を充填するためのプロセスを開示す
る。高エネルギーのレーザビームが混合されたCVD
AlとPVD Alを溶融するために用いられ、それに
よって改善されたステップカバレージと平坦化を達成す
る。しかし、このプロセスは660℃以上の温度にウエ
ハ表面を加熱する必要がある。このような高温は殆ど1
/2ミクロン以下の技術には受け入れがたい。更に、ウ
エハ上で操作されるレーザビームの使用は金属層の反射
率及び均一性に影響を与える。
【0008】低温で既知のフロー及び平坦化プロセスを
用いて、高いアスペクト比の1/2ミクロン以下のコン
タクト及び孔を充填する他の試みは、二酸化シリコンの
基板からCVD Alのデウエッテリングおよび孔の側
壁に不連続な島の形成を生ずる。さらに、CVD Al
に対して低温でデウエッテリングに耐えるために、CV
D Alの厚さは数千オングストローム(Å)なければ
ならない。10000オングストロームは1ミクロンに
等しいので、1/2ミクロン以下の孔の壁にある数千オ
ングストロームのCVD Al層はその孔を完全に塞
ぎ、そこに空隙を形成する。
【0009】米国特許第5,665,659号は、バリア/ウエ
ッテリング層を堆積し、200℃と400℃の間の中間
温度で所定時間の間基板を熱処理し、その後200℃以
下の温度、約2ミリトル(milliTorr)以下の圧力で半導
体基板上にPVD金属層を堆積するステップを含む半導
体基板上に金属層を形成する方法を開示する。この堆積
された金属層は、その後金属層をリフローするために、
0.6Tm−1.0Tm間の温度で熱処理される(ここ
でTmは金属層の融点である)。バリア/ウエッテリン
グ層は熱処理され、金属層は金属層の表面上の窪みの形
成を減少するように注意深く冷却される。
【0010】開口、特に、高いアスペクト比の1/2ミ
クロン以下のコンタクト及び孔をアルミニウムのような
金属で充填するためのメタライゼーションプロセスに対
する必要性がある。特に、これらのコンタクト及びなを
充填するためのPVDプロセスを有することが望まし
い。
【0011】
【発明の概要】本発明は、基板上の開口を充填するため
のメタライゼーションプロセスを提供する。先ず、薄い
溶けにくい層が堆積され、続いて約1ミリトル以下の圧
力でPVD金属層を堆積し、コンフォーマルな層を形成
する。コンフォーマルなPVDの金属層は開口を満たさ
ない。その後、バルクPVD金属が基板上に堆積され、
金属をリフローするために加熱され、開口を満たす。
【0012】本発明の1つの特徴は、バリア層が基板に
形成された高アスペクト比のコンタクト又は孔を有する
基板上に堆積される。チタン又はチタン/チタンナイト
ライドのバリア層がアルミニウムの堆積に好適である。
その後、コンフォーマルなアルミニウム層が1ミリトル
以下、好適には約0.35ミリトル以下の圧力で物理気
相堆積によってバリア層上に堆積される。コンフォーマ
ルなアルミニウムの相が基板から少なくとも約100m
mのところに配置されたターゲットを有するスパッタリ
ングチャンバ内で堆積されるのが好ましい。次に、アル
ミニウムがコンコンフォーマルなアルミニウム層上に物
理気相堆積によって堆積され、孔が堆積されたアルミニ
ウムのリフロー又はアニーリングによって満たされる。
【0013】本発明の他の特徴は、アルミニウム層上に
酸化層を形成することなく、アルミニウムのような金属
を堆積するため、メタライゼーションプロセスがPVD
チャンバを含む一体化処理装置において達成される。こ
の処理システムは、金属層の堆積と関連して、リフロー
チャンバ、事前洗浄チャンバ、及びバリア層チャンバも
有する。
【0014】
【発明の実施の形態】本発明は、金属で半導体基板上の
高アスペクト比の開口、特にコンタクト、穴、ライン又
は他の形状を有する1/2ミクロン以下の開口を充填す
るための方法を提供する。特に、本発明は、約1ミリト
ル以下、好ましくは0.35ミリトル以下の圧力でPV
Dによって堆積された金属のコンフォーマルな層、及び
開口を充填するために加熱されるPVDによって堆積さ
れる金属で高アスペクト比の開口を充填するための優れ
たスッテップカバレージを提供する。コンフォーマルな
PVD金属は、溶けにくい金属及び/又はコンフォーマ
ルなPVD金属の融点より高い融点を有する導電性金属
からなる薄いバリア/上ってリング層上に堆積されるの
が好ましい。バリア層、例えばチタン(Ti)又はタン
タル(Ta)は電気的短絡を生じる、隣接する誘電体材
料ヘアルミニウム又は銅の拡散を阻止するのが望まし
い。もし、バリア材料自体が十分なウエッテリングを与
えないならば、個別のウエッテリング層、例えばチタン
ナイトライド(TiN)又はタンタルナイトライド(T
aN)がPVDの金属堆積前にバリア層上に堆積される
ことができる。このプロセスは全ての金属処理チャンバ
を含む一体化された処理チャンバ内で行なうのが好まし
い。
【0015】例えばアルミニウム(Al)や銅(Cu)
のような幾つかの金属は、表面張力の効果によりそれぞ
れの融点以下の温度で流れることが実証されている。し
かし、これらの金属は高い温度で、下にある誘電体層か
らディウエト(dewet)する傾向を有している。したがっ
て、本発明は、金属のウエッテリングを改善するために
金属層及び誘電体間にバリア/ウエッテリング層を設け
る。適当なバリア/ウエッテリング層は誘電体材料より
よく金属をウエットするものである。バリア/ウエッテ
リング層は、単に薄いバリア/ウエッティング層が堆積
された場合でも、改善されたウエッティングを与えるこ
とが望まれる。それは、好適なバリア/ウエッティング
層が開口の壁やフロアを含む誘電体の表面上に実質的に
一様に形成されるようにする。
【0016】本発明によると、好適なバリア/ウエッテ
ィング層は、溶けにくい(タングステン(W)、ニオビ
ウム(Nb)、アルミニウムシリケートなど)、タンタ
ル(Ta)、タンタルナイドライド(TaN)、チタン
ナイドライド(TiN)、PVD Ti/N2された、三成分
混合物(例えば、TiSiN, WsiN等)、或いはこれらの層
の組合せ層を含む。最も好適なバリア/ウエッティング
材料は、一般に約800Åと約1000Åの間の厚さを
有するPVD層として与えられるTa及びTaNであ
り、または一般に約100Åと約400Åの間の厚さを
有するPVDかCVDのいずれかの層として与えられる
Ti及びTiNである。バリア/ウエッティング層は、
誘電体層上に実質的に連続したキャップを形成するよう
に堆積され、バリア特性または隣接層への接着を改善す
るために窒素で処理されることができる。代わりに、銅
に対するバリア層として効果的であるSixNyを形成する
ために、シリコンの露出された層は窒素で処理されるこ
とができる。
【0017】バリア/ウエッテリング層の堆積に続い
て、基板が1ミリトル以下の圧力で、少なくとも100
mmの基板とターゲットとの間隔をあけて、コンフォー
マルな金属層を堆積するために、基板がPVD Cu又
はPVD Alチャンバ内に配置される。このチャンバ
は約0.35ミリトル以下の圧力で動作するPVDチャ
ンバであるのが好ましい。コンフォーマルなPVD金属
層は約200Åから約1ミクロン、好ましくは約400
0Åから6000Åのブランケット厚を有する。側壁の
厚さは一般にはブランケット厚の10%から25%であ
る。その後、追加の金属が同じチャンバか、異なるチャ
ンバ内でPVDによって堆積され、堆積された金属をリ
フローするために加熱され、且つ窪みを持たない平坦な
表面を残して開口を充填する。
【0018】スタックの上面は、表面の反射率を減少
し、層のホトリソグラフの性能を改善するためにPVD
TiNの反射防止膜(anti-reflection coating:AR
C)を受ける。
【0019】基板の開口のメタライゼーションするため
の本発明の1つの方法は、基板表面を予め洗浄し、イオ
ン化されたPVDプロセス、すなわちスパッタされた原
子がイオン化された高密度プラズマ、またはコリメート
されたPVDプロセスにおいてチタンを堆積し、少なく
とも100mmの基板−ターゲット間隔を有するスパッ
タリングチャンバにおいてPVDAlを堆積し、PVD
チャンバにおいてバルクアルミニウムを堆積し、且つP
VDチャンバにおいてアルミニウムをリフローする一連
のステップを有する。
【0020】図1を参照すると、基板上に形成され、パ
ターン化された誘電体層12を有する基板の概略図が示
されている。この誘電体層12は、約3の高アスペクト
比、すなわち孔の深さと孔の幅の高い比率を有する孔1
4を有するが、しかし本発明は如何なるアスペクト比を
有する孔であっても有益である。薄いチタン層16が孔
14の壁18とフロア20を含む誘電体層12の実質的
に全ての表面を覆って、基板上に直接堆積される。この
薄いチタン層16は一般に約5Åと約700Åの間の厚
さ、好ましくは約100Åと約200Åの範囲の厚さを
有する。コンフォーマルなPVD Al層22がコンタ
クトや孔の上部をふさぐ厚さを越えないように所望の厚
さでチタン層16上に堆積される。このコンフォーマル
なPVDAl層22は、基板をAlターゲットから少な
くとも約100mmの距離に保持するスパッタリングチ
ャンバで堆積される。
【0021】図2を参照すると、孔14はコンフォーマ
ルなPVD Al層(図1の層22)上に堆積されたバ
ルクPVD Al層23をリフローすることによってA
lで満たされる。一体化されたPVD Al層24は、
コンフォーマルなPVD Al層22上に堆積されたバ
ルクPVD Al層23を一体化することから生ずる。K
このバルクPVD Alは一定のドーパントを含み、堆
積上でバルクPVD Al層23は、ドーパントが一体
されたPVD Al層24の殆どを通過して分散するよ
うに、コンフォーマルなPVD Al層22と共に一体
化する。一般に、一体化されたPVD Al層24はド
ープされる必要はない。一体化されたPVDAl層24
の上面26は実質的の平坦にされている。チタン層16
がコンフォーマルなPVD Al層22の良好なウエッ
テリングを与えるので、PVD Alの堆積中、誘電体
層又は基板の温度はおよそ室温から約500℃までであ
る。本発明の装置 本発明の金属堆積プロセスは約0.35ミルトル以下の
圧力で作動することができるPVDチャンバを有するマ
ルチ処理装置又はクラスターツール内で行なわれるのが
好ましい。本発明の処理を行なうのに適したマルチ処理
装置35の概要が図3に示されている。基本的な装置
は、Applied Materials, Inc., Santa Clara, Californ
iaから商業的に利用可能な「ENDURA」である。同
様な多段真空ウエハ処理システムが1993年2月16
日に発行され、ここにレファレンスとして取込まれるTe
pman et al.による"Staged-Vacuum Wafer Processing S
ystem"の米国特許第5,186,718号に開示されている。こ
こに示される装置35の特定の実施の形態は、例えば半
導体基板のような平坦な基板を処理するのに適してお
り、本発明を示すために設けられているが、本発明の範
囲を限定するために用いられるべきでない。装置35は
一般に少なくとも1つのロングスローPVD金属チャン
バ36を含む相互接続されたプロセスチャンバのクラス
タを有する。本発明にとって、装置35は、好ましく
は、コンフォーマルなPVD Al層を堆積するための
少なくとも100mmの基板とトターゲットの間隔を有
するPVDAlチャンバ、およびPVD Al層を堆積
し、リフローするための2つのPVD Alチャンバ3
8を有する。装置35は、更にPVDTiチャンバ40
または他のバリア/ウエッティング層のチャンバ、汚染
物を除去するための2つの事前洗浄チャンバ42(例え
ば、Applied Materialsから利用可能なPreClean IIチャ
ンバ)、2つの排気ガスチャンバ44、及び2つのロー
ドロックチャンバ46を有する。装置35は、移送ロボ
ット49、51を含む2つの移送チャンバ48、50及
び移送チャンバ48、50を分離する2つの冷却チャン
バ52を有する。装置35は以下に詳細に述べられるよ
うにマイクロプロセッサ制御装置54をプログラムする
ことによって自動化される。しかし、そのプロセスは個
々のチャンバ、または上記の組合せによって作動される
こともできる。PVDチャンバ 図4を参照すると、好適なロングスローPVDチャンバ
36がより詳細に示されている。スパッタリングターゲ
ット64と半導体基板66が図示されたチャンバ壁、す
なわち接地されたシールドである接地されたエンクロジ
ャの壁60内に含まれる。ターゲット64と基板は、少
なくとも約100mm、好ましくは約150mmから約
190mmのロングスローの距離だけ離されている。こ
のロングスローチャンバは、もし、基板上66の上の各
々の位置に堆積材料の均一で、対称なフラックスを与え
るために必要とされるなら、ターゲット64と基板66
間にコリメータ(図示せず)を有してもよい。PVDチ
ャンバに用いることができるコリメータは1997年1
月31日に出願され、ここにレファレンスとして取込ま
れる米国特許出願08/792,292に記載されている。
【0022】図4を参照すると、チャンバ36は、一般
にガス源(図示せず)に接続された少なくとも1つのガ
スの入り口、及び排気ポンプ(図示せず)に接続された
排気口70を有している。基板支持ペデスタル72はエ
ンクロジャの壁60の一方の端に配置され、スパッタリ
ングターゲット64はエンクロージャの壁60の他方の
端に取付けられる。ターゲット64は、接地されたエン
クロージャの壁60に対して負の電圧がターゲット上に
印加され、維持されるように絶縁体74によってエンク
ロージャ60から電気的に絶縁される。基板支持ペデス
タル72も絶縁体76によってエンクロージャの壁60
から電気的に絶縁され、その結果接地されたエンクロー
ジャの壁60に対して正の電圧が、基板および/または
支持ペデスタル72上に印加され、維持される。動作状
態では、基板66は支持ペデスタル72上に配置され、
プラズマがチャンバ36内に発生される。
【0023】本発明によるコンフォーマルなPVD金属
層の堆積プロセス中、例えばArのような非反応種を有
するプロセスガスが、マスフロー制御器(図示せず)に
よって調整される選択された流量でガス入り口68を通
してPVDチャンバ36に満たされる。チャンバの圧力
はプロセスガスが排気口70を通して吸い上げられる速
度を変えることによって制御され、コンフォーマルなP
VD金属層の堆積を促進するために、約1ミリトル以
下、好ましくは約0.2ミリトルから約0.5ミリトル
に維持される。
【0024】電源、例えばD.C.電源78がガスをプラ
ズマ状態に励起するようにエンクロージャの壁に関して
負の電圧をターゲット64に印加する。プラズマからの
イオンがターゲット64を打ち、ターゲット64からタ
ーゲット材料の原子および大きな粒子をスパッタする。
ターゲット64からスパッタされた粒子は、ターゲット
からのリニアな軌道に沿って移動し、粒子の一部が基板
66に衝突し、その上に堆積する。
【0025】従来のマグネトロンスパッタリングソース
は、ターゲットに隣接する電子をトラップするためにタ
ーゲット上方に回転マグネット82を用い、それにより
ターゲット66のスパッタリング表面に隣接するプラズ
マイオンの濃度を増加する。ターゲット64のスパッタ
リング中マグネトロン82の回転によって、半径方向に
対称のターゲット侵食プロフィールが生じる。
【0026】図5は、本発明のPVDプロセスを行なう
のに適したPVDチャンバ38の概略断面図である。チ
ャンバ38は、一般に、少なくとも1つのガス入り口8
6と排気ポンプ(図示せず)に接続された排気口88を
有する接地されたエンクロジャの壁84を有する。PV
Dターゲット89は、接地されたエンクロージャの壁8
4から絶縁体によって絶縁される。PVDターゲット8
9は、支持部材、例えば移動可能なペデスタル94上に
配置された基板93上に材料を堆積するためのスパッタ
リング表面92を与える。このペデスタル94は基板9
3をその上に受取るための位置決めピン96を有する一
般に平坦な面95を有する。DC電源98によって接地
されたエンクロージャの壁84に対して負の電圧がター
ゲット89上に維持される。
【0027】リフトピン機構97は、ペデスタルが引っ
込んだ位置にある間、ペデスタル94に対して基板93
を上げ下げする。ペデスタル94は、金属層、例えばア
ルミニウムの堆積中ターゲットに接近して基板93を配
置するように伸びる。ペデスタル94は基板温度を制御
するために加熱されたり、冷却されたりする。制御システム 図6を参照して、本発明の処理は、Synenergy Microsys
tems, Californiaから商用的に利用可能な、例えば68
40マイクロプロセッサのような周辺制御成分を共にメ
モリシステムに相互接続された中央処理装置(CPU)
を有する従来のコンピュータシステム上でランするコン
ピュータプログラム製品141を用いて実現される。コ
ンピュータのプログラムコードは、例えば、68000アッ
センブリ言語、C、C++、またはパスカルのような従来
のコンピュータ読取り可能なプログラム言語で書かれ
る。従来のテキストエディターを用いて、適当なプログ
ラムコードが単一ファイル、またはマルチプルファイル
に入力され、コンピュータのメモリ装置のような、コン
ピュータで利用可能な媒体にストア、またはとり込まれ
る。もし、入力されたコードテキストが高いレベルの言
語にあるならば、そのコードはコンパイルされ、結果の
コンパイラーコードは、予めコンパイルされたウインド
ーズのライブラリールーチンのオブジェクトコードとリ
ンクされる。リンク、コンパイルされたオブジェクトコ
ードを実行するために、システムユーザは、オブジェク
トコードを呼出し、コンピュータシステムがそのコード
をメモリにロードするようにし、そこからCPUが読取
り、コードを実行してプログラムに同定されたタスクを
行なう。
【0028】図6は、コンピュータプログラム141の
ハイアラキカルな制御構造の概略ブロック図を示す。ユ
ーザは、プロセス設定及びプロセスチャンバの数をプロ
セス選択ルーチン142に入力する。プロセス設定は、
特定のプロセスチャンバにおける特定のプロセスを達成
するのに必要なプロセスパラメータの予め定められた設
定であリ、予め規定された設定数によって識別される。
プロセスパラメータは、例えば、プロセスガスの組成と
流速、温度、圧力、冷却ガス圧のようなプラズマ条件、
及びチャンバ壁の温度のようなプロセス条件に関する。
【0029】プロセスシーケンサーサブルーチン143
は、識別されたプロセスチャンバやプロセスセレクター
サブルーチン143からのプロセスパラメータのセット
を受取るための、及び種々のプロセスチャンバの動作を
制御するためのプログラムコードを有する。多数のユー
ザがプロセスセット数及びプロセスチャンバ数を入力す
ることができるか、あるは一人のユーザが多数のプロセ
スセット数及びプロセスチャンバ数を入力することがで
き、その結果、シーケンサーサブルーチン143が所望
のシーケンスにおける選択されたプロセスをスケジュー
ルするために動作する。好適には、シーケンサーサブル
ーチン143は、(i)チャンバが用いられているか否
かを決定するためにプロセスチャンバの動作をモニター
し、(ii)用いられているチャンバでどんなプロセスが
実効されているかを決定し、(iii)プロセスチャンバ
の利用性、及び実効されるべきプロセスの形式に基づい
て所望のプロセスを実行するステップを行なうプログラ
ムコードを含む。プロセスチャンバをモニターする従来
の方法、例えばポーリングを用いることができる。どの
プロセスが実効されるべきであるかをスケージュールす
る場合、シーケンサーサブルーチン143は、選択され
たプロセス、または各々の特定のユーザが入力した要求
の"エージ"のための要求されるプロセス条件、またはシ
ステムプログラマーがスケジュールの優先権を決定する
ために含むように要求する他の適当なファクタト比較し
て、使用されているプロセスチャンバの現在の状態を考
慮する用に設計される。
【0030】シーケンサーサブルーチンが、どのプロセ
スチャンバとプロセス設定組合せが次に実行されるかを
決定すると、シーケンサーサブルーチン143は、シー
ケンサーサブルーチン143によって決定されるプロセ
ス設定にしたがって、異なるプロセスチャンバのマルチ
処理タスクを制御するチャンバ管理サブルーチン144
A-Cへ特定のプロセス設定パラメータを通すことによ
ってプロセス設定の実効を行なう。例えば、チャンバ管
理サブルーチン144Aは、図4のプロセスチャンバ3
6内にCVDプロセス動作を制御するためのプログラム
コードを有する。チャンバ管理サブルーチン144は、
選択されたプロセス設定を実行するのに必要なチャンバ
要素の動作を制御するいろいろなチャンバ要素サブルー
チンまたはプログラムコードモジュールの実行も制御す
る。チャンバ要素サブルーチンの例は、基板の位置決め
サブルーチン145、プロセスガス制御サブルーチン1
46、圧力制御サブルーチン147、ヒータ制御サブル
ーチン148及びプラズマ制御サブルーチンである。
【0031】動作において、チャンバ管理サブルーチン
144Aは、実効されている特性のプロセスにしたがっ
て、プロセス要素サブルーチンを選択的にスケージュー
ルするか呼び出す。チャンバ管理サブルーチン144A
は、どのようにしてシーケンサーサブルーチンがどのプ
ロセスチャンバ36とプロセス設定が次に実行されるべ
きかをスケジュールスるかと同様にプロセス要素サブル
ーチンをスケジュールする。一般に、チャンバ管理サブ
ルーチン144Aは、いろいろなチャンバ要素をモニタ
ーし、どの要素が実行されるべきプロセス設定に対する
プロセスパラメータに基づいて動作される必要があるか
を決定し、且つモニターするステップ及び決定するステ
ップに応答するチャンバ成分サブルーチンの実行を行な
うステップを有する。例1 図3の装置は半導体基板の表面上の開口を充填するため
に用いられた。この開口は、あらゆる酸化物またはたの
汚染物質を含む約400Åの物質を除去するために予め
洗浄され、その後、約400Åのコンフォーマルなチタ
ン層の堆積のためにPVDTiチャンバへ移送された。
その後、基板は、約0.35ミリトルの圧力チャンバ
で、約4000Åのコンフォーマルなアルミニウム層の
堆積のために、ターゲットと基板の距離が150mm以
上であるPVDチャンバ36へ移送された。アルミニウ
ムの堆積が室温で開始された。その後、基板は、100
mm以下のターゲットと基板の間隔で10kWを超える
電力で350℃いかで約4000Åのバルクアルミニウ
ムの堆積のために更にPVD Alチャンバ38へ移送
された。アルミニウム層(コンフォーマル及びバルク)
は開口を満たすためにアルミニウムのリフローのために
更にチャンバ38内で加熱された。リフロー中の基板の
温度は500℃以下に維持された。リフロー後のアルミ
ニウムの表面はチャネルがなく、開口は空隙がなかっ
た。アルミニウムの表面は優れた反射率と均一性を有し
ていた。例2(比較) 図3の装置は、例1と比較するために半導体基板の表面
上の開口を充填するために用いられた。この開口は、あ
らゆる酸化物またはたの汚染物質を含む約400Åの物
質を除去するために予め洗浄され、その後、約400Å
のコンフォーマルなチタン相の堆積のためにPVD T
iチャンバへ移送された。その後、基板は、8000Å
のブランケット厚を有するアルミニウム層の堆積のため
に、ターゲットと基板の距離が100mm以上であるP
VDチャンバ36へ移送された。アルミニウム層が約
0.35ミリトルのチャンバ圧力で堆積され、コンフォ
ーマルでなかった。その後、アルミニウム層は、アルミ
ニウムをリフローし、開口を充填するためにチャンバ内
で加熱された。リフロー中の基板の温度は500℃以下
に維持された。リフロー後のアルミニウムの表面は、開
口には空隙がなかったけれども小さな窪みを有してい
た。アルミニウムの表面は、例1のアルミニウム表面と
比較して、同様な反射率と減少した均一性を有してい
た。
【0032】本発明のプロセスは、約1ミリトル以下、
好適には0.35ミリトル以下の圧力でPVDによって
堆積されたコンフォーマルな金属層を有する高いアスペ
クト比の開口を充填するための優れたステップカバレー
ジ及びその開口を充填するためにPVによって堆積され
た金属層を提供する。堆積された金属層は、減少された
表面トレンチングを有する均一の表面を生成する。
【0033】上記の説明は、本発明の実施の形態に向け
られたけれども、本発明の基本的な範囲から逸脱するこ
となく本発明の他の実施形態を発明されることができ
る。本発明の範囲は、特許請求の範囲によって決められ
るべきである。
【図面の簡単な説明】
【図1】 バリア層及びコンフォーマルPVDアルミニ
ウム層を有する、本発明によるメタライズされた半導体
基板の孔の概略図である。
【図2】 バリア層及び孔を充填する混ぜられたPVD
層を有する、本発明によるメタライズされた半導体基板
の孔の概略図である。
【図3】 半導体基板の孔にバリア層及びコンフォーマ
ルなPVD層を堆積するのに適し、且つPVD金属で孔
を充填するのに適した一体化された多層チャンバ装置の
概略上面図である。
【図4】 約1ミリトル以下の圧力でコンフォーマルな
金属層を堆積するのに適したPVDチャンバの概略図で
ある。
【図5】 約2ミリトル以上の圧力でバルク金属層を堆
積するのに適したPVDチャンバの概略図である。
【図6】 本あ発明のプロセスを制御するのに適したコ
ンピュータプログラムのハイアラキカルな制御構造を示
す簡略かされたブロック図である。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 サン ホー ユー アメリカ合衆国 カリフォルニア州 94086 サニーヴェイル オールド サン フランシスコ ロード 718−200 (72)発明者 ヨングワ クリス チャー アメリカ合衆国 カリフォルニア州 95124 サン ホセ ローレン ドライヴ 5485 (72)発明者 ムラリー アーブリ アメリカ合衆国 カリフォルニア州 95051 サンタ クララ ホームスティー ド ロード 2851 アパートメント 304 (72)発明者 スリ シングヴィー アメリカ合衆国 カリフォルニア州 95035 ミルピタス ストラットフォード ドライヴ 2213 (72)発明者 フファ チェン アメリカ合衆国 カリフォルニア州 95014 クーパーティノ ミラー アベニ ュー 10420

Claims (20)

    【特許請求の範囲】
  1. 【請求項1】 基板上にフィーチャを形成する方法デあ
    って、 a)基板にける開口面上に約5Åと約700Åの間の厚
    さを有するバリア/ウエッティング層を堆積し、 b)約1ミリトル以下のチャンバ圧力で開口をキャッピ
    ングまたは充填することなく前記バリア/ウエッティン
    グ層の表面上にコンフォーマルな金属層を物理気相堆積
    し、前記物理気相体積された金属層は約200Åと約1
    ミクロンの間の厚さを有し、 c)金属で前記開口を充填するステップを有することを
    特徴とする方法。
  2. 【請求項2】 前記開口を金属で充填するステップは、
    前記開口へ第2の堆積された金属層をりフローするステ
    ップを有することを特徴とする請求項1記載の方法。
  3. 【請求項3】 前記開口を金属で充填するステップは、
    コンフォーマルなPVD金属層上にバルクPVD金属層
    を物理気相堆積し、且つ前記バルク金属層をリフローす
    るステップを有することを特徴とする請求項1記載の方
    法。
  4. 【請求項4】 前記a)からc)のステップは、チャン
    バ内で行なわれることを特徴とする請求項1記載の方
    法。
  5. 【請求項5】 前記a)からc)のステップは、分離し
    たチャンバ内で行なわれることを特徴とする請求項1記
    載の方法。
  6. 【請求項6】 前記金属は、開口を充填する前に空気に
    曝されないことを特徴とする請求項1記載の方法。
  7. 【請求項7】 前記金属は、基板から約150mmから
    約190mmまでの間に配置されたターゲットからスパ
    ッタされることを特徴とする請求項1記載の方法。
  8. 【請求項8】 前記金属層は、アルミニウムの層である
    ことを特徴とする請求項1記載の方法。
  9. 【請求項9】 基板上に孔、トレンチ、または二重のだ
    マシーン構造を充填するための方法であって、 a)基板上にコンフォーマルなバリア/ウエッティング
    層を堆積し、 b)約1ミリトル以下のチャンバ圧力で前記バリア/ウ
    エッティング層上にコンフォーマルなPVD金属層を堆
    積し、 c)前記コンフォーマルなPVD金属層上に堆積された
    バルクPVD金属層をリフローするステップを有するこ
    とを特徴とする方法。
  10. 【請求項10】 前記コンフォーマルなPVD金属層
    は、約200Åから約1ミクロンのブランケット厚を有
    することを特徴とする請求項9記載の方法。
  11. 【請求項11】 前記バリア/ウエッティング層は約5
    Åから約700Åまでの厚さを有していることを特徴と
    する請求項10記載の方法。
  12. 【請求項12】 前記バリア/ウエッティング層はチタ
    ンであることを特徴とする請求項9記載の方法。
  13. 【請求項13】 前記バリア/ウエッティング層はタン
    グステン(W)、ニオブ(Nb)、アルミニウムシリケ
    ート、タンタル(Ta)タンタルナイトライド(Ta
    N)、チタンナイトライド(TiN)、PVD Ti/N2
    タッフした、TiSiN, WSiNまたはそれらの組合せから成
    るグループから選択されることを特徴とする請求項9記
    載の方法。
  14. 【請求項14】 前記コンフォーマルなPVD金属層は
    コンフォーマルなPVDアルミニウム層であることを特
    徴とする請求項9記載の方法。
  15. 【請求項15】 前記バルクPVD金属層は、前記バル
    ク金属層をリフローする間、500℃以下の温度に維持
    されることを特徴とする請求項9記載の方法。
  16. 【請求項16】 前記バルクPVD金属層は、基板から
    約150mm〜約190mmに配置されたターゲットか
    らスパッタされることを特徴とする請求項9基差の方
    法。
  17. 【請求項17】 前記コンフォーマルなPVD金属層
    は、約0.35ミリトル以下のチャンバ圧力でスパッタさ
    れることを特徴とする請求項19記載の方法。
  18. 【請求項18】 金属層を堆積するための装置であっ
    て、 基板移送チャンバ、 前記移送チャンバに接続されたバリア/ウエッティング
    層チャンバ、 前記移送チャンバに接続されたロングスロー物理気相体
    積チャンバ、前記物理気相体積チャンバは少なくとも1
    00mmのロングスロー距離によって分離されたターゲ
    ットと基板を有しており、及び前記移送チャンバに接続
    された熱い金属物理気相体積チャンバを有することを特
    徴とする装置。
  19. 【請求項19】 前記ロングスロー距離は、約150m
    m〜約19mmであることを特徴とする請求項18記載
    の装置。
  20. 【請求項20】 前記熱い金属物理気相体積チャンバ
    は、金属リフローチャンバでもあることを特徴とする請
    求項19記載の装置。
JP2000193827A 1999-06-28 2000-06-28 孔を充填するためのアルミニウムの堆積方法及び装置 Withdrawn JP2001044204A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/340977 1999-06-28
US09/340,977 US6352620B2 (en) 1999-06-28 1999-06-28 Staged aluminum deposition process for filling vias

Publications (1)

Publication Number Publication Date
JP2001044204A true JP2001044204A (ja) 2001-02-16

Family

ID=23335731

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000193827A Withdrawn JP2001044204A (ja) 1999-06-28 2000-06-28 孔を充填するためのアルミニウムの堆積方法及び装置

Country Status (5)

Country Link
US (2) US6352620B2 (ja)
EP (1) EP1065713A3 (ja)
JP (1) JP2001044204A (ja)
KR (1) KR20010007557A (ja)
TW (1) TW451410B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013074271A (ja) * 2011-09-29 2013-04-22 Ulvac Japan Ltd デバイスの製造方法および製造装置
WO2014002577A1 (ja) * 2012-06-28 2014-01-03 株式会社豊田自動織機 半導体基板の製造方法

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6352620B2 (en) * 1999-06-28 2002-03-05 Applied Materials, Inc. Staged aluminum deposition process for filling vias
KR100434188B1 (ko) * 2001-08-28 2004-06-04 삼성전자주식회사 장벽 금속층 적층 방법
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US20060188742A1 (en) * 2005-01-18 2006-08-24 Applied Materials, Inc. Chamber component having grooved surface
US20060005767A1 (en) * 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US7399943B2 (en) * 2004-10-05 2008-07-15 Applied Materials, Inc. Apparatus for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7268076B2 (en) * 2004-10-05 2007-09-11 Applied Materials, Inc. Apparatus and method for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7214619B2 (en) * 2004-10-05 2007-05-08 Applied Materials, Inc. Method for forming a barrier layer in an integrated circuit in a plasma with source and bias power frequencies applied through the workpiece
US7670436B2 (en) 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US7244344B2 (en) * 2005-02-03 2007-07-17 Applied Materials, Inc. Physical vapor deposition plasma reactor with VHF source power applied through the workpiece
US7550055B2 (en) * 2005-05-31 2009-06-23 Applied Materials, Inc. Elastomer bonding of large area sputtering target
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7432184B2 (en) 2005-08-26 2008-10-07 Applied Materials, Inc. Integrated PVD system using designated PVD chambers
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US7389645B2 (en) * 2005-11-04 2008-06-24 Applied Materials, Inc. Radiation shield for cryogenic pump for high temperature physical vapor deposition
US8647484B2 (en) 2005-11-25 2014-02-11 Applied Materials, Inc. Target for sputtering chamber
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8673769B2 (en) * 2007-06-20 2014-03-18 Lam Research Corporation Methods and apparatuses for three dimensional integrated circuits
TW200913134A (en) * 2007-09-05 2009-03-16 Powerchip Semiconductor Corp Method of metal interconnection
KR100804520B1 (ko) * 2007-10-02 2008-02-20 엠티엔시 (주) 누설 전류를 2단계로 감지하여 오동작을 방지하는 누전차단 방법 및 그 장치
CN102965615A (zh) * 2011-08-30 2013-03-13 无锡华润上华科技有限公司 一种pvd加工中使用的腔体以及pvd加工方法
JP2013143442A (ja) * 2012-01-10 2013-07-22 Ulvac Japan Ltd デバイスの製造方法および製造装置
US8860135B2 (en) 2012-02-21 2014-10-14 United Microelectronics Corp. Semiconductor structure having aluminum layer with high reflectivity
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US11421318B2 (en) * 2018-05-04 2022-08-23 Applied Materials, Inc. Methods and apparatus for high reflectivity aluminum layers
US11101130B2 (en) 2018-12-27 2021-08-24 Raytheon Company Method of filling grooves and holes in a substrate
CN112382565A (zh) * 2020-11-12 2021-02-19 北京北方华创微电子装备有限公司 氧化物层中深孔铝的填充方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5371042A (en) 1992-06-16 1994-12-06 Applied Materials, Inc. Method of filling contacts in semiconductor devices
US5288665A (en) 1992-08-12 1994-02-22 Applied Materials, Inc. Process for forming low resistance aluminum plug in via electrically connected to overlying patterned metal layer for integrated circuit structures
KR960011865B1 (ko) 1993-06-10 1996-09-03 삼성전자 주식회사 반도체 장치의 금속층 형성방법
EP0692551A1 (en) 1994-07-15 1996-01-17 Applied Materials, Inc. Sputtering apparatus and methods
US6285082B1 (en) 1995-01-03 2001-09-04 International Business Machines Corporation Soft metal conductor
US6238533B1 (en) * 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US5641992A (en) 1995-08-10 1997-06-24 Siemens Components, Inc. Metal interconnect structure for an integrated circuit with improved electromigration reliability
US5877087A (en) * 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US5658438A (en) 1995-12-19 1997-08-19 Micron Technology, Inc. Sputter deposition method for improved bottom and side wall coverage of high aspect ratio features
US5725739A (en) * 1996-07-08 1998-03-10 Micron Technology, Inc. Low angle, low energy physical vapor deposition of alloys
US6139697A (en) * 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
JP3910752B2 (ja) 1999-03-23 2007-04-25 株式会社東芝 半導体装置の製造方法
US6352620B2 (en) * 1999-06-28 2002-03-05 Applied Materials, Inc. Staged aluminum deposition process for filling vias

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013074271A (ja) * 2011-09-29 2013-04-22 Ulvac Japan Ltd デバイスの製造方法および製造装置
WO2014002577A1 (ja) * 2012-06-28 2014-01-03 株式会社豊田自動織機 半導体基板の製造方法
JP2014011252A (ja) * 2012-06-28 2014-01-20 Toyota Industries Corp 半導体基板の製造方法

Also Published As

Publication number Publication date
TW451410B (en) 2001-08-21
US6660135B2 (en) 2003-12-09
US6352620B2 (en) 2002-03-05
US20020064952A1 (en) 2002-05-30
EP1065713A3 (en) 2001-05-23
US20010047932A1 (en) 2001-12-06
KR20010007557A (ko) 2001-01-26
EP1065713A2 (en) 2001-01-03

Similar Documents

Publication Publication Date Title
JP2001044204A (ja) 孔を充填するためのアルミニウムの堆積方法及び装置
Simon Sputter processing
US6207558B1 (en) Barrier applications for aluminum planarization
US9991157B2 (en) Method for depositing a diffusion barrier layer and a metal conductive layer
KR100442023B1 (ko) 좁은구멍의충전및결정상으로배열된라이너층을이용한금속상호연결부형성
US7700474B2 (en) Barrier deposition using ionized physical vapor deposition (iPVD)
US6607982B1 (en) High magnesium content copper magnesium alloys as diffusion barriers
US7510634B1 (en) Apparatus and methods for deposition and/or etch selectivity
US6627542B1 (en) Continuous, non-agglomerated adhesion of a seed layer to a barrier layer
JP2002511191A (ja) 銅被覆のための信頼性障壁の集積化
EP0799903A2 (en) Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
KR20070026379A (ko) 이온화된 물리적 증착(ipvd) 프로세스
JPH09172085A (ja) 低温で基板のステップカバレージを改良する方法及び装置
Licata et al. Interconnect fabrication processes and the development of low-cost wiring for CMOS products
JP2001200358A (ja) タングステン、アルミニウム、及び銅アプリケーション用ライナ、バリヤ及び/又はシード層としてのpvd−impタングステン及び窒化タングステン
KR980011939A (ko) 이온화된 금속 접착층을 사용한 알루미늄 홀 충전 방법
EP0848421A2 (en) Selective physical vapor deposition conductor fill in IC structures
KR100365061B1 (ko) 반도체소자및반도체소자제조방법
US20020192948A1 (en) Integrated barrier layer structure for copper contact level metallization
US20050189075A1 (en) Pre-clean chamber with wafer heating apparatus and method of use
JP3732010B2 (ja) 後工程で堆積する伝導体層に優れたテクスチャーを与える低抵抗率オキシ窒化チタン(TiON)膜の堆積方法
Rossnagel et al. PVD for Microelectronics: Sputter Desposition to Semiconductor Manufacturing
Rossnagel Physical vapor deposition
JPH10265949A (ja) 銅薄膜形成方法

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20070904