KR102618382B1 - 격자상의 박막들 및 격자상의 밴드갭 측정 - Google Patents

격자상의 박막들 및 격자상의 밴드갭 측정 Download PDF

Info

Publication number
KR102618382B1
KR102618382B1 KR1020207008077A KR20207008077A KR102618382B1 KR 102618382 B1 KR102618382 B1 KR 102618382B1 KR 1020207008077 A KR1020207008077 A KR 1020207008077A KR 20207008077 A KR20207008077 A KR 20207008077A KR 102618382 B1 KR102618382 B1 KR 102618382B1
Authority
KR
South Korea
Prior art keywords
layer
model
dispersion
effective medium
dispersion model
Prior art date
Application number
KR1020207008077A
Other languages
English (en)
Other versions
KR20200035164A (ko
Inventor
호우쌈 초우아입
정쿠안 탄
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20200035164A publication Critical patent/KR20200035164A/ko
Application granted granted Critical
Publication of KR102618382B1 publication Critical patent/KR102618382B1/ko

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/02Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring thickness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02535Group 14 semiconducting materials including tin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Electromagnetism (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)

Abstract

본 명세서에 개시된 방법들 및 시스템들은, 반도체들에서의 격자상의 막 및 격자상의 밴드갭과 같은, 박막 스택들을 측정할 수 있다. 예를 들어, 박막 스택은 1D 막 스택, 2D 격자상의 막, 또는 3D 격자상의 막일 수 있다. 막 스택에 대한 하나 이상의 유효 매체 분산 모델이 생성된다. 각각의 유효 매체 분산 모델은 하나 이상의 층을 대체할 수 있다. 유효 매체 분산 기반 산란측정법 모델을 사용하여 하나 이상의 층의 두께가 결정될 수 있다. 예에서, 3개의 유효 매체 분산 기반 산란측정법 모델이 개발되고 막 스택에서의 3개의 층의 두께를 결정하는 데 사용된다.

Description

격자상의 박막들 및 격자상의 밴드갭 측정
관련 출원들에 대한 상호 참조
본 출원은 2017년 8월 22일자로 출원되고 미국 출원 번호 62/548,579를 부여받은 가특허 출원에 대한 우선권을 주장하며, 이 미국 출원의 개시내용은 이로써 참고로 포함된다.
기술 분야
본 개시내용은 박막들을 측정하는 것에 관한 것이다.
반도체 제조 산업의 발전은 수율 관리, 특히 계측 및 검사 시스템들에 대해 점점 더 많은 요구를 하고 있다. 임계 치수들(critical dimensions)은 축소되고 있는 반면 웨이퍼 사이즈가 증가하고 있다. 경제성은 고수율, 고가치 생산 및 보다 나은 디바이스 성능을 달성하기 위한 시간을 단축시키도록 산업계를 몰아가고
있다. 따라서, 수율 문제를 검출하는 것부터 이를 해결하는 것까지의 총 시간을 최소화하는 것이 반도체 제조업체에 대한 투자 수익률을 결정한다.
디바이스 성능, 특히 정전기적 특성들을 제어하기 위해, 디바이스의 임계 치수들(CD) 및 박막 두께들이 모니터링된다. 게이트 유전체, 하이-k(high-k), 및 일함수 재료들 및 조성은 디바이스 성능에 영향을 미친다. 이것은, 예를 들어, 문턱 전압 및 구동 전류에 영향을 줄 수 있다. 10 nm 노드 및 그 이하에서, 일부 중요 막 두께 프로세스 윈도들(critical film thickness process windows)은 0.3 A 미만일 수 있다. 프리-층(pre-layer) 프로세스에 대한 포스트-층(post-layer) 효과는 제어될 필요가 있을 수 있는 다른 문제이다. 금속 게이트 퇴적은 계면 결함들 및 결정 결함들을 잠재적으로 야기하는 것에 부가하여 어닐링 또는 확산을 통해 재료들의 속성들 및 그들의 조성을 변경할 수 있다. 따라서, 하이-k 금속 게이트 스택들의 형성 동안 모든 프로세스 단계를 측정하는 것이 중요해지고 있다.
하이-k 금속 게이트 막 형성 프로세스는 복잡하다. 전형적으로, 이는 기술 노드, 응용, 및 디바이스 유형(예컨대, NMOS 또는 PMOS)에 따라 6개 내지 9개의 막 스택을 포함할 수 있다.
예에서, 더미 게이트 제거 및 산화물 스트리핑(oxide strip) 및 세정 이후, 금속의 퇴적 이전에 일련의 초박형 재료들이 게이트 상에 퇴적된다. 그 재료들은 전형적으로 매우 얇으며 그들의 광학 분산 속성들(optical dispersion properties)은 유사하다. 이 예에서, 프로세스는 게이트(및 핀(fin)) 상에의 8 A 내지 10 A SiO2 계면 층(interface layer; IL)의 퇴적으로 시작된다. 이것에 뒤이어서 하이-k 재료로서 14 A 하프늄 이산화물이 뒤따를 수 있다. 이것에 뒤이어서 10 A TiN 일함수 재료, 이어서 배리어 금속 TaN(5 A 내지 10 A), 이어서 다른 TiN 층, 이어서 TiAlC 층, 및 이어서 10A TiN 층이 뒤따른다. 퇴적들은 원자 층 퇴적(atomic layer deposition; ALD)을 통해 형성될 수 있다. PMOS와 NMOS는 상이한 하이-k 금속 게이트(high-k metal gate; HKMG) 프로세스 흐름들을 요구하며, 이는 중요 층들(critical layers)의 개수를 변경할 수 있다. HKMG 프로세스는 CMOS 디바이스의 FEOL(front end of the line) 루프의 끝에 있다.
전통적으로, 이 막들은 평면 막 스택들 패드(planar film stacks pad) 상에서 측정되었다. 평면 상에서 다층 스택을 측정하기 위해 x-선 계측이 사용되었지만, 요구된 정밀도 3 시그마(<0.04 A)로 인해 그리고 박막들의 산란이 거의 없는 것으로 인한 x-선의 불충분한 감도로 인해 x-선으로는 불충분하였다.
광학 계측의 경우, 복잡한 스택들은 높은 상관을 갖는 파라미터들을 유발한다. 상관을 감소시키기 위해 일부 두께들을 공칭 값으로 고정시키는 것이 필요할 수 있다. 그러나 이 방법은 적어도 세 가지 이유로 만족스러운 해결책이 아니다. 첫째, 프리-층들의 프로세스는 그 파라미터에 대해 하나의 고정된 값을 할당하기에 충분히 안정적이지 않을 수 있다. 둘째, 임의의 프로세스 단계가 프리-층의 속성들을 변경할 수 있다. 셋째, 하나의 단계에서 다수의 층들이 측정될 수 없기 때문에 상업적 제조에는 처리율이 너무 느릴 수 있다.
10 nm 기술 노드에서 시작하여, 반도체 제조업체들은 격자(2D 및 3D) 상에서 일부 중요 막 두께(critical film thickness)를 측정하려고 하였다. 1D 막 패드들 상에서 측정된 막 두께들 및/또는 속성들과 WAT(Vth와 같은 웨이퍼 인수 테스트(Wafer acceptance test))와 같은 실제 디바이스 특성들 간의 상관이 저하되고 있다. 구체적으로는, 일부 FinFET 층들의 경우, 1D 프록시 막 타깃들(proxy film targets)은 실제 FinFET 트랜지스터 상의 실제 프로세스 변동에 대해 제한된 상관을 갖는다. 퇴적 및 에칭 속도들이 토포그래피 의존적인 로딩 효과로 인해, 1D 막 데이터는 2D 또는 3D 막 데이터와 완벽한 상관에 있지 않을 것이다. 이전의 방법들이 이러한 측정들을 수행하는 데 사용되었지만, 둘 다가 정확한 측정들을 제공할 수 없고 그리고/또는 상업적 제조에 너무 느리다. 이러한 이전 방법들은 "단일 입사각(angle of incidence; AOI) 올 플로팅(single angle of incidence (AOI) all floating)" 방법 및 "데이터 피드포워드(Data Feedforward)" 방법이라고 알려져 있다.
단일 AOI 올 플로팅 방법은 단일 AOI 분광 엘립소메트리 스펙트럼 또는 단일 AOI 회전 편광기 회전 보상기(rotating polarizer rotating compensator; RPRC) 스펙트럼을 사용하고 모든 중요 파라미터들 및 자유도들을 동시에 플로팅시킨다. 이 방법은 위에서 설명된 대부분의 문제들 및 요구사항들을 해결할 수 없다. 올 플로팅 방법은 또한 저대비(low contrast) 또는 얇은 재료들을 처리할 수 없다. 한 가지 이유는 SiO2와 HfO2의 그리고 TiN과 TaNSiO의 광학 속성들의 유사성이다. 이것은 동시발생적인 파라미터들 사이의 상관, 따라서 부정확한 측정된 격자상의 막(film on grating) 두께들을 가져온다. 예를 들어, 그 전체가 참고로 포함되는, [H. Chouaib and Q. Zhao, “Nanoscale optical critical dimension measurement of a contact hole using deep ultraviolet spectroscopic ellipsometry”, J. Vac. Sci. Technol. B 31, 011803 (2013)]을 참조한다. 실험에서, 단일 AOI 올 플로팅 방법으로부터 획득된 시뮬레이션 데이터 및 실제 실험 데이터 둘 다는 정밀도, 정확도, 완건성(robustness), 또는 웨이퍼 일관성 테스트들을 통과하지 못했다. 단일 AOI 올 플로팅 방법이 처리율 및 소유 비용(cost of ownership; COO) 요구사항들을 잠재적으로 충족시킬 수 있지만, 이는 대부분의 기술적 체크들에서 실패하였다.
아래는 단일 AOI 올 플로팅 방법 결과들의 예들이다. 이 예는 예상된 파라미터들 감도, 상관, 및 정밀도의 이론적 시뮬레이션이다. 이 예에서, 14개의 HKMG 층에 대해 시뮬레이션들이 수행된다. 여기에서는 3개의 층만 제시된다. 캡(TiN)에서, 막 스택은 IL(SiO2)/하이-k(HfO2)/TiN이다. 이 구조물에서는, 총 8개의 기하학적 파라미터가 동시에 플로팅된다(도 2).
이 시뮬레이션은 3개의 막(IL, HK 및 Cap)의 예상된 정밀도 3 시그마가, 제각기, 0.13 A, 0.13 A 및 0.06 A임을 보여준다. 정밀도에 대한 반도체 제조업체 규격들은 0.03 A 정도로 작을 수 있다. 이 방법은 정밀도 면에서 규격들에 미치지 못한다. 또한, 파라미터들의 상관 지수들은, 제각기, 0.958, 0.958 및 0.846이다. 상관의 경우, 0 내지 1의 스케일에서, 1이 100% 파라미터 상관을 나타낼 때, 0.958 및 0.846 상관들은 높은 것으로 간주되며 사용되는 결합 모델 및 기술의 잠재적 위험을 나타낸다. 시뮬레이션에 부가하여, 단일 AOI 올 플로팅 방법 실험 데이터는 이 층에 대한 완건성 테스트 및 정밀도 테스트에서 실패하였다.
TaN 층에서, 막 스택은 IL(SiO2)/하이-k(HfO2)/TiN/TaN이다. 이 구조물(도 3)에서, 총 9개의 파라미터가 동시에 플로팅된다. 이 시뮬레이션은 이 층에 대해 반도체 제조업체 요구사항들을 충족시키지 못하는 열악한 정밀도 결과들 및 높은 파라미터 상관을 보여주었다. 실험 데이터는 규격을 벗어난 결과들을 또한 보여주었다.
N 금속 게이트(N Metal Gate; NMG) 퇴적 층에서, HKMG 프로세스의 후기 스테이지(late stage)에서 막 스택 복잡도가 증가한다. 이 층은 격자 상에서의 중요 파라미터들의 측정에 영향을 미치는 몇 개의 프리-층 스택들을 포함한다. 이 층에 대한 3개의 중요 파라미터: TaN(10 A), TaL(40 A), 및 TiN(8 A)가 있다. 이 3개의 층은 두 가지 이유로 동시에 측정되어야 한다. 먼저, TaN은 얼마간의 처리를 거치며(리세싱됨) 웨이퍼는 동일한 챔버 내에서 TaL 및 TiN 퇴적으로 갈 것이다. 이것은 계측 단계를 위해 웨이퍼가 챔버 밖으로 나가는 것을 방지한다. TaL 및 TiN은 인-시츄로(in-situ) 퇴적된다. 따라서 하나의 레시피를 사용한 삼중층(tri-layer) 측정들이 필요하다. 시뮬레이션 데이터는 6개의 막 스택 전부 사이의 높은 상관을 갖는 파라미터들 및 불량한 정밀도 데이터를 예상한다. 따라서, 단일 AOI 올 플로팅 방법은 이 층의 정확한 측정들을 제공할 수 없다.
데이터 피드포워드(DFF)는 상이한 프리-층들 상에서 데이터 세트들을 취하고 공통 파라미터들을 전방으로 후속 층들에 전달하는 것을 지칭한다. 예를 들어, 그 전체가 참고로 포함되는, [Mihardja et al., Proc. SPIE 8324, Metrology, Inspection, and Process Control for Microlithography XXVI, 83241H (March 29, 2012)]를 참조한다. 환언하면, 이는 각각의 단일 단계(프리-층)를 측정하고 데이터를 다음 단계로 피드포워드하는 것을 지칭한다. 이 방법은 상이한 막 스택들 사이의 상관을 깨뜨리게 되어 있다. 예를 들어, 이전 단계들에서, IL 두께를 측정하고 이어서 HK 막이 측정되어야 할 때 측정 결과들을 HK 모듈에게 피드포워드하는 것이 가능하였다. 유사하게, 측정된 HK 값을 게이트 일함수 모듈에게 피드포워드하는 것이 가능하였다. 이 방법은 프리-층들(IL 및 HK)이 속성들 면에서 변하지 않는다고 가정한다. 그렇지만, 이 가정이 현재의 진보된 기술 노드들에 대해서는 유효하지 않다. DFF는 다수의 단점들이 있다. 첫째, 반도체 제조업체들에게 DFF 처리율은 너무 느리다. 피드포워드를 사용하기 위해서는, 모든 단일 단계가 측정될 필요가 있다. 측정될 막 스택들의 총 개수는, 예를 들어, 14일 수 있다. 처리율에 대한 미리 정의된 규격은 단지 9개 이하의 레시피 내에서 14개의 막 스택 전부에 대해 강건한 측정들을 달성하는 것이다. 환언하면, 다수의 층들이 동시에 측정될 필요가 있으며, 이는 DFF로는 가능하지 않다.
둘째, DFF는 NMG(도 4) 층 및 PMG 층에 사용될 수 없다. TaL 및 TiN은, ALD를 사용하는 것과 같이, 인-시츄로 퇴적된다. 더욱이, 하층(underlayer) TaN은 뒤따르는 TaL + TiN 퇴적 단계와 동일한 챔버 내에서 얼마간의 처리를 거친다. 이 특정의 프로세스 흐름에 대해 DFF가 사용될 수 없다.
셋째, DFF는 퇴적 이전과 퇴적 이후에 재료들의 광학 분산이 변하지 않는다고 가정한다. 이 가정은 틀릴 수 있다. 포스트-층 에칭/퇴적(etch/dep) 동안의 온도 변화로 인한 어닐링 효과, 포스트-층 퇴적으로 인한 응력(stress) 및 변형(strain) 변동들, 또는 옹스트롬 스케일에서의 각각의 층의 광학 속성들에 대한 표면 및 계면 효과들의 영향과 같은 새로운 프로세스 이후의 프리-층 속성들에 영향을 미치는 다수의 현상들이 있다.
넷째, 프리-층 모델에서의 임의의 부정확성 또는 에러가 모든 포스트 층으로 옮겨간다.
다섯째, 초기 프리-층 스테이지에서의 임의의 LBH(Library Boundary Hit)는 포스트 층들에서의 모든 측정들을 중단시킬 것이다.
DFF 또는 단일 AOI 올 플로팅 방법 중 어느 것도 기준 방법에 대한 규격들의 선형성을 벗어난 부정확한 데이터, 열악한 정밀도 및 안정성 및 매칭, 높은 상관을 갖는 중요 파라미터들과 플로팅 파라미터들, 느린 측정(즉, 낮은 처리율), 높은 COO, 인-시츄 ALD 프로세스를 핸들링할 수 없음, LBH에 대한 높은 위험, 및 완건성 테스트 실패들 중 하나 이상으로 인해 격자상의 막 시장에 해결책들을 제공할 수 없다.
따라서, 박막들을 측정하기 위한 개선된 방법 및 시스템이 필요하다.
제1 실시예에서, 방법이 제공된다. 웨이퍼 상의 막 스택에 대한 제1 유효 매체 분산 모델은 제어기를 사용하여 개발(develop)된다. 막 스택은 적어도 4개의 층을 포함한다. 제1 유효 매체 분산 모델은 층들 중 제1 층을 제외한 모든 층들을 대체한다. 제1 층은 웨이퍼 반대편의 막 스택의 상부 층이다. 제1 층의 두께는 제어기 및 제1 유효 매체 분산 모델을 사용하여 결정된다. 제1 층의 두께에 기초한 막 스택에 대한 제2 유효 매체 분산 모델은 제어기를 사용하여 개발된다. 제2 유효 매체 분산 모델은 층들 중 제1 층 및 제2 층을 제외한 모든 층들을 대체한다. 제2 층은 제1 층에 인접해 있다. 제2 층의 두께는 제어기 및 제2 유효 매체 분산 모델을 사용하여 결정된다. 제1 층의 두께 및 제2 층의 두께에 기초한 막 스택에 대한 제3 유효 매체 분산 모델은 제어기를 사용하여 개발된다. 제3 유효 매체 분산 모델은 층들 중 제1 층, 제2 층, 및 제3 층을 제외한 모든 층들을 대체한다. 제3 층은 제2 층에 인접해 있다. 제3 층의 두께는 제어기 및 제3 유효 매체 분산 모델을 사용하여 결정된다.
층들은 산화물 층, 하이 k 층, TiN 층, TaN 층, TaL 층, 및 제2 TiN 층을 포함할 수 있지만, 다른 재료들 또는 재료들의 조합들이 가능하다. 예에서, 제1 층은 제2 TiN 층이고, 제2 층은 TaL 층이며, 제3 층은 TaN 층이다. 다른 예에서, 산화물 층, 하이 k 층, TiN 층, TaN 층, 및 TaL 층의 결합 두께(combined thickness)는 8 nm 이하이다.
제1 유효 매체 분산 모델은 산화물 층, 하이 k 층, TiN 층, TaN 층, TaL 층을 대체할 수 있다. 제2 유효 매체 분산 모델은 산화물 층, 하이 k 층, TiN 층, 및 TaN 층을 대체할 수 있다. 제3 유효 매체 분산 모델은 산화물 층, 하이 k 층, 및 TiN 층을 대체할 수 있다.
제1 유효 매체 분산 모델, 제2 유효 매체 분산 모델, 및 제3 유효 매체 분산 모델 각각은 상이한 광학 속성들을 가질 수 있다.
이 방법은 회전 편광기 회전 보상기 분광 엘립소메트리 데이터, 풀 뮬러 매트릭스 성분들(full Muller matrix components) 데이터, 회전 편광기 분광 엘립소메트리 데이터, 반사측정법 데이터, 레이저 구동(laser driven) 분광 반사측정법 데이터, 또는 x-선 데이터를 생성하는 것 중 하나 이상에 의해 막 스택을 측정하는 단계를 더 포함할 수 있다.
제1 유효 매체 분산 모델을 개발하는 단계는 막 스택의 광학 응답들을 수집하는 단계; 모델 구축 모듈을 사용하여, 층들 중 제1 층을 제외한 모든 층들을 제1 유효 매체로 결합시키는 것에 의해 제1 산란측정법 모델을 구축하는 단계; 분산 공식(dispersion formula)을 포함하는 제1 유효 매체에 대한 분산 모델을 생성하는 단계; 다수의 입사각들에서의 광학 응답들을 피팅 분석 모듈(fitting analysis module)에서 수신하는 단계; 피팅 분석 모듈을 사용하여, 각각의 스펙트럼 회귀(spectrum regression)에서 분산 모델을 플로팅시키면서 광학 응답들에 대해 병렬 피팅(parallel fitting)을 수행하는 단계; 유사도를 결정하기 위해 입사각들에서의 분산 모델의 하나 이상의 결과를 평가하는 단계; 분산 모델을 테이블로 변환하는 단계; 분산 모델이 테이블로서 고정되고 제1 층의 두께가 플로팅하는 제1 산란측정법 모델을 사용하여 피팅 분석을 수행하는 단계; 제1 층의 두께를 측정하는 단계; 및 제1 층의 두께를 기준과 비교하는 단계를 포함할 수 있다. 평가하는 단계 이후에 분산 모델을 최적화하도록 구성된 피드백 루프가 적용될 수 있다. 피드백 루프는 분산 모델의 파라미터들을 고정시키거나 플로팅시키도록 구성될 수 있다. 분산 모델의 적어도 하나의 파라미터는 병렬 피팅 동안 공통인 것으로 취급될 수 있다.
제2 유효 매체 분산 모델을 개발하는 단계는 모델 구축 모듈을 사용하여, 층들 중 제1 층 및 제2 층을 제외한 모든 층들을 제2 유효 매체로 결합시키는 것에 의해 제2 산란측정법 모델을 구축하는 단계; 제1 층의 두께를 제2 산란측정법 모델에게 포워딩하는 단계; 분산 공식을 포함하는 제2 유효 매체에 대한 분산 모델을 생성하는 단계; 다수의 입사각들에서의 광학 응답들을 피팅 분석 모듈에서 수신하는 단계; 피팅 분석 모듈을 사용하여, 각각의 스펙트럼 회귀에서 분산 모델을 플로팅시키면서 광학 응답들에 대해 병렬 피팅을 수행하는 단계; 유사도를 결정하기 위해 입사각들에서의 분산 모델의 하나 이상의 결과를 평가하는 단계; 분산 모델을 테이블로 변환하는 단계; 및 분산 모델이 고정되어 있는 제2 산란측정법 모델을 사용하여 피팅 분석을 수행하는 단계를 포함할 수 있다. 평가하는 단계 이후에 분산 모델을 최적화하도록 구성된 피드백 루프가 적용될 수 있다. 피드백 루프는 분산 모델의 파라미터들을 고정시키거나 플로팅시키도록 구성될 수 있다. 분산 모델의 적어도 하나의 파라미터는 병렬 피팅 동안 공통인 것으로 취급될 수 있거나 또는 제1 층의 두께는 병렬 피팅 동안 공통인 것으로 취급될 수 있다.
제3 유효 매체 분산 모델을 개발하는 단계는 모델 구축 모듈을 사용하여, 층들 중 제1 층, 제2 층, 및 제3 층을 제외한 모든 층들을 제3 유효 매체로 결합시키는 것에 의해 제3 산란측정법 모델을 구축하는 단계; 제1 층의 두께 및 제2 층의 두께를 제3 산란측정법 모델에게 포워딩하는 단계; 분산 공식을 포함하는 제3 유효 매체에 대한 분산 모델을 생성하는 단계; 다수의 입사각들에서의 광학 응답들을 피팅 분석 모듈에서 수신하는 단계; 피팅 분석 모듈을 사용하여, 각각의 스펙트럼 회귀에서 분산 모델을 플로팅시키면서 광학 응답들에 대해 병렬 피팅을 수행하는 단계; 유사도를 결정하기 위해 입사각들에서의 분산 모델의 하나 이상의 결과를 평가하는 단계; 분산 모델을 테이블로 변환하는 단계; 및 분산 모델이 고정되어 있는 제3 산란측정법 모델을 사용하여 피팅 분석을 수행하는 단계를 포함할 수 있다. 평가하는 단계 이후에 분산 모델을 최적화하도록 구성된 피드백 루프가 적용될 수 있다. 피드백 루프는 분산 모델의 파라미터들을 고정시키거나 플로팅시키도록 구성될 수 있다. 제1 층의 두께, 제2 층의 두께, 및 제3 층의 두께가 보고될 수 있다. 분산 모델의 적어도 하나의 파라미터는 병렬 피팅 동안 공통인 것으로 취급될 수 있거나 또는 제1 층의 두께는 병렬 피팅 동안 공통인 것으로 취급될 수 있다.
막 스택은 1D 막 스택, 2D 격자상의 막(2D film on grating), 또는 3D 격자상의 막(3D film on grating)일 수 있다.
제1 유효 매체 기반 산란측정법 모델, 제2 유효 매체 기반 산란측정법 모델, 및 제3 유효 매체 기반 산란측정법 모델은 가상 타깃들로 간주될 수 있다. 피팅 분석이 병렬로 실행될 수 있다.
적어도 4개의 층 각각은 SiO2, HfO2, HfSiON, 질소 농도를 갖는 HfON, TiN, TaN, TaAlC, TiAlC, W, Co, WC, 또는 TaO 중 하나일 수 있다. 예에서, 4개의 층 중 적어도 하나는 HfON, TiN, 또는 TaN 중 하나이고, 이 방법은 질소 농도를 추가로 결정한다.
제1 유효 매체 분산 모델, 제2 유효 매체 분산 모델, 또는 제3 유효 매체 분산 모델 중 하나는 광자 에너지 또는 파장의 함수로서 재료의 광학 속성들을 모델링하는 분산 공식일 수 있다.
컴퓨터 판독가능 프로그램이 구현되어 있는 비일시적 컴퓨터 판독가능 저장 매체를 포함하는 컴퓨터 프로그램 제품이 사용될 수 있다. 컴퓨터 판독가능 프로그램은 제1 실시예의 상이한 변형들 또는 예들 중 임의의 것의 방법을 수행하도록 구성될 수 있다.
제2 실시예에서, 시스템이 제공된다. 이 시스템은 웨이퍼를 측정하도록 구성된 측정 시스템 및 제어기를 포함한다. 제어기는 프로세서 및 프로세서와 전자 통신하는 전자 데이터 저장 유닛을 포함한다. 제어기는 측정 디바이스와 전자 통신한다. 프로세서는 하나 이상의 소프트웨어 모듈을 실행하도록 구성된다. 하나 이상의 소프트웨어 모듈은 웨이퍼 상의 막 스택에 대한 제1 유효 매체 분산 모델을 개발하고 제1 유효 매체 분산 모델을 사용하여 제1 층의 두께를 결정하도록 구성된다. 막 스택은 적어도 4개의 층을 포함한다. 제1 유효 매체 분산 모델은 층들 중 제1 층을 제외한 모든 층들을 대체한다. 제1 층은 웨이퍼 반대편의 막 스택의 상부 층이다.
소프트웨어 모듈들은 또한, 제1 층의 두께에 기초하여 막 스택에 대한 제2 유효 매체 분산 모델을 개발하고 제2 유효 매체 분산 모델을 사용하여 제2 층의 두께를 결정하도록 구성될 수 있다. 제2 유효 매체 분산 모델은 층들 중 제1 층 및 제2 층을 제외한 모든 층들을 대체한다. 제2 층은 제1 층에 인접해 있다.
소프트웨어 모듈들은 또한, 제1 층의 두께 및 제2 층의 두께에 기초하여 막 스택에 대한 제3 유효 매체 분산 모델을 개발하고 제3 유효 매체 분산 모델을 사용하여 제3 층의 두께를 결정하도록 구성될 수 있다. 제3 유효 매체 분산 모델은 층들 중 제1 층, 제2 층, 및 제3 층을 제외한 모든 층들을 대체한다. 제3 층은 제2 층에 인접해 있다.
측정 시스템은 회전 편광기 회전 보상기 분광 엘립소메트리 데이터, 풀 뮬러 매트릭스 성분들 데이터, 회전 편광기 분광 엘립소메트리 데이터, 반사측정법 데이터, 레이저 구동 분광 반사측정법 데이터, 또는 x-선 데이터 중 하나를 제공할 수 있다.
본 개시내용의 본질 및 목적들의 보다 완전한 이해를 위해, 첨부 도면과 관련하여 취해진 이하의 상세한 설명이 참조되어야 한다.
도 1은 (a) 등각도, (b) 정면도, 및 (c) 측면도에서 재료 분산들을 포함한 9 자유도를 갖는 2D 격자상의 막 구조물(film on 2D grating structure)이다;
도 2는 (a) 캡 층 막 스택 및 (b) 격자상의 막(FOG) 캡 모델을 예시하며, 여기서 단일 AOI 올 플로팅 방법 시뮬레이션 결과들은 열악한 정밀도(nm) 결과들 및 높은 파라미터 상관을 보여준다;
도 3은 단일 AOI 올 플로팅 방법 시뮬레이션을 거친, (a) TaN 층 막 스택 및 (b) FOG TaN 모델을 예시한다;
도 4는 단일 AOI 올 플로팅 방법 시뮬레이션을 거친, (a) NMG 퇴적(dep) 막 스택 및 (b) FOG NMG 모델을 예시한다;
도 5는 이전의 방법(old method)을 사용한 시뮬레이션된 정밀도와 반도체 제조업체 규격의 비교이다;
도 6은 상관을 깨뜨리고, 데이터 정확도 및 레시피 완건성을 개선시키기 위해 사용되고, 9개의 상이한 층에서 성공적으로 사용되었던 "SSDF(Same Structure Data Feedforward)" 개념이라고 지칭되는 모델링 방법에 대한 설명이다;
도 7은 본 개시내용에 따른 방법의 플로차트이다;
도 8은 TEM 측정들과 비교한 유효 매체(EM) 기반 방법 SSDF 산란측정법(OCD) 결과들이다.
도 9는 올 플로팅 방법과 비교한 SSDF GRR 및 완건성 결과들이다;
도 10은 (a) TiN 캡 막 스택, (b) EM 층(IL+HK)의 예시, 및 (c) 캡 FOG 모델이다;
도 11은 (a) IL(SiO)2) 및 HK(HfO2) 굴절률들(N), (b) IL(SiO2) 및 HK(HfO2) 흡광 계수들(K), (c) 스캔된 AOI 스펙트럼들로 측정된 실험적 EM 굴절률(N), 및 (d) 스캔된 AOI 스펙트럼들로 측정된 실험적 EM 흡광 계수(k)이다;
도 12는 (a) TEM 측정들과 비교한 EM 기반 방법 산란측정법(OCD) 결과들 및 (b) DFF와 비교한 EM 방법을 사용한 완건성 테스트 결과들이며, 여기서 EM과 DFF 둘 다는 0.5 A TiN의 예시적인 반도체 제조업체 규격들 내에 있고, 여기서 상부 TiN이 POR 값으로 유지되는 동안 프리-층 HK 두께는 변화되고, EM 방법은 0.5 A 미만 내에서 안정된 TiN을 측정한다;
도 13은 (a) TaN 막 스택, (b) EM 모델 1 - EM1 층(IL+HK+TiN)의 예시, (c) 모델1로부터 모델 2 - EM2 층(IL+HK)으로의 SDFF, 및 (d) TaN FOG 모델이다;
도 14는 (a) IL(SiO)2), HK(HfO2), 및 TiN 굴절률들(N), (b) IL(SiO2), HK(HfO2) 및 TiN 흡광 계수들(K), (c) 스캔된 AOI 스펙트럼들로 측정된 실험적 EM1 굴절률(N), 및 (d) 스캔된 AOI 스펙트럼들로 측정된 실험적 EM1 흡광 계수(k)이다;
도 15는 도 12의 TaN 층으로부터의 (a) TaN 및 (b) TiN에 대한 TEM 측정들과 비교한 EM 기반 방법 SSDF 산란측정법(OCD) 결과들이다;
도 16는 올 플로팅 방법과 비교한 SDFF GRR 및 완건성 결과들이다;
도 17은 본 개시내용에 따른 방법의 실시예를 예시하는 플로차트이다;
도 18은 본 개시내용에 따른 시스템의 블록 다이어그램이다.
비록 청구된 주제(subject matter)가 소정 실시예들의 면에서 설명될 것이지만, 본 명세서에 기재된 이점들 및 특징들 전부를 제공하지는 않는 실시예들을 포함한, 다른 실시예들이 또한 본 개시내용의 범위 내에 있다. 본 개시내용의 범위를 벗어나지 않으면서 다양한 구조적, 논리적, 프로세스 단계, 및 전자적 변경들이 이루어질 수 있다. 그에 따라, 본 개시내용의 범위는 첨부된 청구항들을 참조하는 것에 의해서만 한정된다.
본 명세서에 개시된 실시예들은, 반도체들에서의 격자상의 막 및 격자상의 밴드갭(bandgap on grating)과 같은, 박막 스택들을 측정하는 것에 관련된 다수의 단점들을 극복한다. 이 방법의 실시예들은 광학 임계 치수들 및 막들에서의 광범위한 문제들을 해결할 수 있다. 플로팅된 파라미터들의 상관이 감소될 수 있는 다른 재료들 및 격자상의 하이 k 금속 게이트(high k metal gate on grating)의 박막 측정이 개시된다. 다수의 유효 매체의 광학 속성들을 정확하게 측정하는 것에 의해, 동일한 층 내에서 다수의 산란측정법 모델들을 사용하는 것에 의해, 다수의 모델들 사이에서 데이터를 피드포워드하는 것에 의해, 또는 모델링 이전에 광학 신호를 수집하면서 입사각을 스캔하는 장치에 의해 파라미터들 민감도들이 향상될 수 있다.
특히, 본 명세서에 개시된 기술들은 격자상의 HKMG(high-k metal gate) 박막들 및 격자상의 밴드갭을 측정할 수 있다. 치수들이 축소됨에 따라 격자상의 막 시장이 성장하고 있다. 2D 및 3D 구조물들은 1차원 막 스택보다 복잡하다. 격자상의 막은 모델에 보다 많은 자유도를 부가하며, 따라서 잠재적 불안정성, 상관, 및 파라미터들 상호작용을 부가한다. 실제 디바이스의 기하형태와 비슷한 3D 프록시 구조물들 상의 이러한 얇고 높은 상관을 갖는 재료들을 측정하는 데 가치가 있다. 본 명세서에 개시된 기술들은 측정들을 신속하게, 정확하게 그리고 정밀하게 제공할 수 있으며, 이는 반도체 제조업체들에게 매력적이다.
개시된 기술들, 시스템들, 및 알고리즘들의 실시예들은 다수의 기술적 과제들을 극복한다. 첫째 측정될 모든 재료들(SiO2, HfO2, TiN, TaN, TiAlN, TiAlC 등)은 전형적으로 저대비 재료들이고 유사한 광학 응답을 나타낸다. SiO2/HfO2 및 TiN/TaN/TiAl은 특히 유사한 광학 응답을 나타내는 경향이 있다. 이것은 막 두께들이 높은 상관을 갖게 만들 수 있다.
둘째, 모든 막들이 격자 상에서 측정되는데, 이는 적어도 5개의 부가 자유도가 모델에 추가될 필요가 있음을 의미한다(도 1 참조).
셋째, 막들은 얇고 반도체 제조업체 규격들은 좁을 수 있다. 정밀도 3 시그마에 대한 전형적인 요구사항은 0.03 A이다. 일부 사소한 파라미터들의 상관이 정밀도에 영향을 미칠 수 있다. FOG 정밀도 규격들은 OCD 정밀도에 비해 매우 작을 수 있다. 따라서, FOG 정밀도는 매우 까다롭다(challenging).
넷째, 전형적인 OCD 정확도 요구사항은 서브 나노미터(sub nanometer) 레벨이지만, 격자상의 막 정확도 레벨은 서브 옹스트롬(sub Angstrom)이다. FOG 정확도 규격들은 엄격한 경향이 있다. 실험 계획법(design of experiments; DOE) 범위는 몇 옹스트롬일 수 있다. 데이터는 우수한 선형성으로 DOE를 잘 트래킹할 필요가 있을 수 있다.
다섯째, 계측 결과들은, 프리-층 DOE와 같이, 특정의 완건성을 필요로 할 수 있다.
여섯째, 계측 결과들은 반도체 제조업체들에 대한 특정의 처리율 요구사항을 또한 충족시킬 필요가 있을 수 있다. 층별로 다중 막들(multi films)이 측정될 수 있다.
일곱째, 웨이퍼 범위는 적정하고 예상대로일 필요가 있을 수 있다. 웨이퍼 변동(wafer variation) 내의 계면 층(IL)은 0.7 A보다 작을 수 있다. 전형적인 HfO2 웨이퍼 범위는 1.2 A 미만이다. HfO2에 대해 1.2 A을 초과하는 웨이퍼 범위를 갖는 계측 솔루션은 의문의 여지가 있으며 정확도 결여 또는 파라미터들 상관으로 인해 거부될 수 있다.
여덟째, 모든 데이터는 웨이퍼 및 웨이퍼 대 웨이퍼(wafer-to-wafer) 기대치들 내에서 일관성이 있을 필요가 있을 수 있다.
아홉째, 프리-층 데이터 피드포워드는 이전에 논의된 이유들로 사용되지 않는다.
본 명세서에 개시된 기술들은 FOG 중요 파라미터들의 정밀도를 이전의 기술들보다 10배 더 양호하게 만들 수 있다. 모든 중요 파라미터들과 플로팅 파라미터들 사이의 상관이 깨뜨려질 수 있고, 이는 개선된 완건성을 가져올 것이다. 측정 속도(시간당 웨이퍼 개수)가 개선된다. 다수의 순차적인 막들이 단일 측정을 사용하여 측정될 수 있다. 이 기술들은 인-시츄 ALD 프로세스들을 핸들링할 수 있다. 상이한 막 스택들 사이의 대비가 개선된다. 강건한 모델들을 구축함으로써 LBH(library boundary hit) 위험이 감소될 수 있다.
상기한 기술적 과제들을 해결하기 위해, 하나의 층 내에 다수의 모델들이 있다. 각각의 모델은 2개 이상의 막 스택을 하나로 결합시키기 위해 유효 매체 접근법을 사용한다. 보다 많은 막 스택들을 측정하기 위해 하나의 모델로부터 다른 모델로 데이터가 피드포워드될 수 있다. 피드포워드는 동일한 층 내에서 수행된다. 이러한 층내 데이터 피드포워드(within layer data feedforward)는 SSDF(same structure data feedforward)라고 지칭될 수 있다.
개시된 기술은 몇 개의 FOG 층들에 대해 성공적으로 적용되었다. 개념을 명확히 하기 위해, 도 4의 NMG 퇴적 층이 성공적인 사용 사례의 예로서 취해진다. 도 6의 다이어그램은 SSDF의 개념을 묘사한다. NMG 층에 대해, 임의의 프리-층 피드포워드 전략의 사용 없이 하나의 층 내에서 3개의 중요 파라미터가 정확하게 측정되어야 한다. 3개의 중요 파라미터를 전달하기 위해, 3개의 상이한 유효 매체 분산을 사용하는 3개의 모델이 개발될 수 있다.
실시예의 방법(100)이 도 17에 예시되어 있다. 방법(100)의 단계들 중 일부 또는 전부가 제어기 상에서 수행될 수 있다. 방법(100)은 웨이퍼 상의 막 스택에 대해 사용될 수 있다. 1D 또는 2D 막 스택 또는 2D 또는 3D 격자상의 막일 수 있는 막 스택은 적어도 4개의 층을 포함할 수 있다. 격자상의 막은 임의의 재료 또는 그 재료의 합금 또는 합성물(composite)일 수 있다. 예를 들어, 층들은 산화물 층, 하이 k 층, TiN 층, TaN 층, TaL 층, 및 제2 TiN 층을 포함할 수 있다. 산화물 층, 하이 k 층, TiN 층, TaN 층, 및 TaL 층의 결합 두께는 8 nm 이하일 수 있지만, 이 기술이 보다 큰 두께들에 대해 사용될 수 있다. 예에서, 제1 층은 제2 TiN 층이고, 제2 층은 TaL 층이며, 제3 층은 TaN 층이다. 다른 예에서, 적어도 4개의 층 각각은 SiO2, HfO2, HfSiON, 질소 농도를 갖는 HfON, TiN, TaN, TaAlC, TiAlC, W, Co, WC, 또는 TaO 중 하나이다.
101에서, 막 스택에 대한 제1 유효 매체 분산 모델이 개발된다. 제1 유효 매체 분산 모델은 층들 중 제1 층을 제외한 모든 층들을 대체할 수 있다. 제1 층은 웨이퍼 반대편의 막 스택의 상부 층이다.
102에서, 제1 층의 두께가 제1 유효 매체 분산 모델을 사용하여 결정된다.
103에서, 제1 층의 두께에 기초하여 막 스택에 대한 제2 유효 매체 분산 모델이 개발된다. 제2 유효 매체 분산 모델은 층들 중 제1 층 및 제2 층을 제외한 모든 층들을 대체한다. 제2 층은 제1 층에 인접해 있다.
104에서, 제2 층의 두께가 제2 유효 매체 분산 모델을 사용하여 결정된다.
105에서, 제1 층의 두께 및 제2 층의 두께에 기초하여 막 스택에 대한 제3 유효 매체 분산 모델이 개발된다. 제3 유효 매체 분산 모델은 층들 중 제1 층, 제2 층, 및 제3 층을 제외한 모든 층들을 대체한다. 제3 층은 제2 층에 인접해 있다.
106에서, 제3 층의 두께가 제3 유효 매체 분산 모델을 사용하여 결정된다.
방법(100)에서, 제1 유효 매체 분산 모델은 산화물 층, 하이 k 층, TiN 층, TaN 층, TaL 층을 대체할 수 있다. 제2 유효 매체 분산 모델은 산화물 층, 하이 k 층, TiN 층, 및 TaN 층을 대체할 수 있다. 제3 유효 매체 분산 모델은 산화물 층, 하이 k 층, 및 TiN 층을 대체할 수 있다.
제1 유효 매체 분산 모델, 제2 유효 매체 분산 모델, 및 제3 유효 매체 분산 모델 각각은 상이한 광학 속성들을 가질 수 있다.
방법(100)은 회전 편광기 회전 보상기 분광 엘립소메트리 데이터, 풀 뮬러 매트릭스 성분들 데이터, 회전 편광기 분광 엘립소메트리 데이터, 반사측정법 데이터, 레이저 구동 분광 반사측정법 데이터, 및/또는 x-선 데이터를 생성하는 것 중 하나 이상에 의해 막 스택을 측정하는 단계를 더 포함할 수 있다.
제1 유효 매체 분산 모델, 제2 유효 매체 분산 모델, 및 제3 유효 매체 분산 모델은 가상 타깃들로 간주될 수 있다. 피팅 분석이 3개의 유효 매체 모델을 사용하여 삼중-타깃(tri-target) 측정 모드에서 병렬로 실행될 수 있다. 이 특정의 기술은 다중-타깃 측정(multi-target measurement; MTM)이라고 지칭된다. 예를 들어, 그 전체가 참고로 포함되는, [Tzai et al., Proc. SPIE 8324, Metrology, Inspection, and Process Control for Microlithography XXVI, 832420 (March 29, 2012)]를 참조한다. 이 기술은 시료를 측정함으로써 회전 편광기 회전 보상기 분광 엘립소메트리 데이터, 풀 뮬러 매트릭스 성분들 데이터, 회전 편광기 분광 엘립소메트리 데이터, 반사측정법들 데이터, 레이저 구동 분광 반사측정법 데이터, 또는 x-선 데이터를 생성하는 것을 포함할 수 있다. 미리 정의된 빌딩 블록들을 갖는 기하 모델 구축 모듈을 포함하는 모델 구축 및 분석 엔진은 시료의 구조의 모델들을 생성할 수 있다. 피팅 분석 모듈은 광학 응답들을 수신하도록 구성될 수 있다. 동작 동안, 제1 층의 두께 또는 임의의 다른 파라미터는 공통인 것으로 취급될 수 있고, 다중 타깃 모듈을 사용한 피팅 분석이 제1 유효 매체 및/또는 제2 유효 매체 및/또는 제3 유효 매체을 최적화하기 위해 수행될 수 있다.
제1 유효 매체 분산 모델, 제2 유효 매체 분산 모델, 또는 제3 유효 매체 분산 모델 중 하나는 광자 에너지 또는 파장의 함수로서 재료의 광학 속성들을 모델링하는 분산 공식이다. 예를 들어, 제1 유효 매체 분산 모델, 제2 유효 매체 분산 모델, 또는 제3 유효 매체 분산 모델 중 하나는 Tauc-Lorentz 모델, Cauchy 모델, BEMA 모델, Cody-Lorentz 모델, Cody-Lorentz Continuous 모델, 또는 NKOffset 모델일 수 있다. 이것은 고조파 발진기에 부가적인 것이거나 또는 고조파 발진기를 대신한 것일 수 있으며, 이는 Lorentz 모델이라고도 지칭될 수 있다.
4개의 층 중 적어도 하나는 HfON, TiN, 또는 TaN 중 하나일 수 있다. 방법(100)은, 유효 매체 분산으로부터와 같이, 질소 농도를 결정하는 단계를 더 포함할 수 있다.
방법(100)에서 3개의 유효 매체 분산 모델이 개시되지만, 예에서 제1 유효 매체 분산 모델만이 개발된다. 이것은 제1 층의 두께를 결정하는 데 사용될 수 있다. 다른 예에서, 제1 유효 매체 분산 모델 및 제2 유효 매체 분산 모델만이 개발된다. 이들은 제1 층 및 제2 층의 두께를 결정하는 데 사용될 수 있다. 게다가, 3개 초과의 유효 매체 분산 모델이 생성될 수 있다. 예에서, 거의 모든 층들에 대해 유효 매체 분산 모델이 개발될 수 있다. 이것은 디바이스 설계에 따라 4개, 5개, 또는 심지어 10개의 상이한 유효 매체 분산 모델일 수 있다.
예에서, 제1 유효 매체 분산 모델을 개발하는 단계는 막 스택의 광학 응답들을 수집하는 단계를 포함할 수 있다. 2D 또는 3D 격자상의 막 구조물 상에서 일련의 광학 응답들을 수집하기 위해 입사각(AOI)을 스캐닝하는 동안 광학 스폿(optical spot)이 정지된다(halted).
층들 중 제1 층을 제외한 모든 층들을 제1 유효 매체("EM1")로 결합시킴으로써, 모델 구축 모듈을 사용하여, 제1 산란측정법 모델("모델1")이 구축된다. 제1 산란측정법 모델은 2개의 매체: 제1 유효 매체 및 마지막 격자상의 막("막1")을 포함할 수 있다. 도 2(b) 및 도 3(b)에 보이는 것과 같은 산란측정법 모델은 적어도 하나의 유효 매체 분산 모델 또는 테이블을 포함할 수 있다.
분산 공식을 포함하는 제1 유효 매체에 대한 분산 모델이 생성된다. 분산 공식은 제1 산란측정법 모델에 있을 수 있다. 분산 공식은 본 명세서에 개시된 분산 모델들 중 임의의 것일 수 있지만, 이들로 제한되지 않는다. 분산 공식은 2개 이상의 재료를 함께 혼합할 수 있고 피팅 분석 모듈에서 변화될 수 있다.
다수의 입사각들에서의 광학 응답들이 피팅 분석 모듈에서 수신된다. 피팅 분석 모듈을 사용하여, 각각의 스펙트럼 회귀에서 분산 모델을 플로팅시키면서 광학 응답들에 대해 병렬 피팅이 수행된다. 유사도를 결정하기 위해 입사각들에서의 분산 모델의 하나 이상의 결과가 평가된다. 이것은 수동으로, 피팅 분석 모듈에 의해, 또는 다른 기술들을 사용하여 수행될 수 있다. 예를 들어, 다중 병렬 피팅의 결과들의 일관성이 결정된다. 제1 유효 매체 분산들이 유사하지 않으면, 평가하는 단계 이후에 분산 모델을 최적화하도록 구성된 피드백 루프가 적용될 수 있다. 피드백 루프는 분산 모델의 파라미터들을 고정시키거나 플로팅시키도록 구성될 수 있다. 제1 유효 매체 모델이 최적화된 후에, 이어서 병렬 피팅이 또다시 수행될 수 있고 결과 피팅의 일관성이 결정될 수 있다. 제1 유효 매체 분산들이 여전히 일관성이 없으면 피드백 루프가 또다시 실행될 수 있다.
상이한 AOI 측정들로부터의 플로팅된 분산 파라미터들이 매칭될 필요가 있을 수 있다. 이것은 추가의 피드백 루프가 필요하지 않음을 나타낼 수 있다. 분산 파라미터들이 동일하지 않을 수 있지만, 결과적인 분산들은 정확도 규격들 내에, 시스템의 잡음 레벨 내에, 또는 시스템 대 시스템 매칭 규격들 내에 있을 수 있다. 개시된 방법의 실시예들이 자동화되어 있으면, 사용자는 규격들 또는 피드백 루프를 언제 종료할지를 입력 및/또는 정의할 수 있다.
분산 모델은 테이블로 변환될 수 있다. 이 변환은 제1 유효 매체 분산이 유사한 이후에 수행될 수 있다. 결합된 막들(제1 층을 포함하지 않음)의 제1 유효 매체 분산은 그러면 정확한 것으로 간주될 수 있다.
분산 모델이 테이블로서 고정되고 제1 층의 두께가 플로팅하는 제1 산란측정법 모델을 사용하여 피팅 분석이 수행될 수 있다. 제1 층의 두께가 측정된다. 제1 산란측정법 모델을 검증하기 위해 제1 층의 두께가 기준과 비교될 수 있다.
분산 모델의 적어도 하나의 파라미터는 병렬 피팅 동안 공통인 것으로 취급될 수 있다.
제2 유효 매체 분산 모델을 개발하는 단계는, 모델 구축 모듈을 사용하여, 층들 중 제1 층 및 제2 층을 제외한 모든 층들을 제2 유효 매체(“EM2”)로 결합시키는 것에 의해 제2 산란측정법 모델(“모델2”)을 구축하는 단계를 포함할 수 있다. 제2 산란측정법 모델은 3개의 매체: 제2 유효 매체 및 마지막 2개의 격자상의 막(막1 및 "막2")을 포함할 수 있다.
제1 층의 두께는 제2 산란측정법 모델에게 포워딩될 수 있다. 양쪽 모델들이 동일한 구조물의 상이한 표현들이기 때문에, 이것은 SSDF라고 지칭될 수 있다.
분산 공식을 포함하는 제2 유효 매체에 대한 분산 모델이 생성된다. 분산 공식은 제2 산란측정법 모델에 있을 수 있다.
다수의 입사각들에서의 광학 응답들이 피팅 분석 모듈에서 수신된다. 피팅 분석 모듈을 사용하여, 각각의 스펙트럼 회귀에서 분산 모델을 플로팅시키면서 광학 응답들에 대해 병렬 피팅이 수행된다. 유사도를 결정하기 위해 입사각들에서의 분산 모델의 하나 이상의 결과가 평가된다. 이것은 수동으로, 피팅 분석 모듈에 의해, 또는 다른 기술들을 사용하여 수행될 수 있다. 예를 들어, 다중 병렬 피팅의 결과들의 일관성이 결정된다. 제2 유효 매체 분산들이 유사하지 않으면, 평가하는 단계 이후에 분산 모델을 최적화하도록 구성된 피드백 루프가 적용될 수 있다. 피드백 루프는 분산 모델의 파라미터들을 고정시키거나 플로팅시키도록 구성될 수 있다. 제2 유효 매체 모델이 최적화된 후에, 이어서 병렬 피팅이 또다시 수행될 수 있고 결과 피팅의 일관성이 결정될 수 있다. 제2 유효 매체 분산들이 여전히 일관성이 없으면 피드백 루프가 또다시 실행될 수 있다.
분산 모델은 테이블로 변환될 수 있다. 이 변환은 제2 유효 매체 분산이 유사한 이후에 수행될 수 있다. 결합된 막들(제1 또는 제2 층들을 포함하지 않음)의 제2 유효 매체 분산은 그러면 정확한 것으로 간주될 수 있다.
분산 모델이 테이블로서 고정되어 있는 제2 산란측정법 모델을 사용하여 피팅 분석이 수행될 수 있다. 제2 층의 두께가 측정된다.
분산 모델의 적어도 하나의 파라미터 또는 제1 층의 두께는 병렬 피팅 동안 공통인 것으로 취급될 수 있다.
제3 유효 매체 분산 모델을 개발하는 단계는, 모델 구축 모듈을 사용하여, 층들 중 제1 층, 제2 층, 및 제3 층을 제외한 모든 층들을 제3 유효 매체(“EM3”)로 결합시키는 것에 의해 제3 산란측정법 모델(“모델3”)을 구축하는 단계를 포함할 수 있다. 제3 산란측정법 모델은 4개의 매체: 제3 유효 매체 및 마지막 3개의 격자상의 막(막1, 막2, 및 "막3")을 포함할 수 있다.
제1 층 및 제2 층의 두께들은 제3 산란측정법 모델에게 포워딩될 수 있다. 예를 들어, 제1 층의 두께는 제1 산란측정법 모델로부터 제2 산란측정법 모델에게 포워딩될 수 있고, 제2 층의 두께는 제3 산란측정법 모델에게 포워딩될 수 있다. 3개의 모델이 동일한 구조물의 상이한 표현들이기 때문에, 이것은 SSDF라고 지칭될 수 있다.
분산 공식을 포함하는 제3 유효 매체에 대한 분산 모델이 생성된다. 분산 공식은 제3 산란측정법 모델에 있을 수 있다.
다수의 입사각들에서의 광학 응답들이 피팅 분석 모듈에서 수신된다. 피팅 분석 모듈을 사용하여, 각각의 스펙트럼 회귀에서 분산 모델을 플로팅시키면서 광학 응답들에 대해 병렬 피팅이 수행된다. 유사도를 결정하기 위해 입사각들에서의 분산 모델의 하나 이상의 결과가 평가된다. 이것은 수동으로, 피팅 분석 모듈에 의해, 또는 다른 기술들을 사용하여 수행될 수 있다. 예를 들어, 다중 병렬 피팅의 결과들의 일관성이 결정된다. 제3 유효 매체 분산들이 유사하지 않으면, 평가하는 단계 이후에 분산 모델을 최적화하도록 구성된 피드백 루프가 적용될 수 있다. 피드백 루프는 분산 모델의 파라미터들을 고정시키거나 플로팅시키도록 구성될 수 있다. 제3 유효 매체 모델이 최적화된 후에, 이어서 병렬 피팅이 또다시 수행될 수 있고 결과 피팅의 일관성이 결정될 수 있다. 제3 유효 매체 분산들이 여전히 일관성이 없으면 피드백 루프가 또다시 실행될 수 있다.
분산 모델은 테이블로 변환될 수 있다. 이 변환은 제3 유효 매체 분산이 유사한 이후에 수행될 수 있다. 결합된 막들(제1, 제2, 또는 제3 층들을 포함하지 않음)의 제3 유효 매체 분산은 그러면 정확한 것으로 간주될 수 있다.
분산 모델이 테이블로서 고정되어 있는 제2 산란측정법 모델을 사용하여 피팅 분석이 수행될 수 있다. 제3 층의 두께가 측정된다. 제1 층, 제2 층, 및 제3 층의 두께들이 보고될 수 있다.
분산 모델의 적어도 하나의 파라미터 또는 제1 층의 두께는 병렬 피팅 동안 공통인 것으로 취급될 수 있다.
피팅 분석 모듈의 일부로서 본 명세서에 개시된 피팅 분석(예컨대, 역문제 회귀(inverse problem regression))은 신경 네트워크 기반 라이브러리를 사용하여 또는 다른 기술들을 사용하여 수행될 수 있다.
유효 매체 분산들 중 임의의 것이 격자상의 막의 격자상의 밴드갭을 측정하는 데 사용될 수 있다.
서브파장 레짐(subwavelength regime)에서의 임의의 광/물질 상호작용에 대한 유효 매체 이론이 사용될 수 있다. IL, HK, TiN, TaN, 및 TaL의 총 두께가 약 8 nm일 수 있고 전형적인 산란측정법 스펙트럼 파장들 범위는 150 nm 내지 2000 nm일 수 있기 때문에, 딥 서브파장 레짐 근사치(deep subwavelength regime approximation)가 유효할 수 있다. Maxwell 방정식이 그러면 작은 깊이 한계(small-depth limit)에서 풀어질 수 있다. 제1 유효 매체(및 제2 및 제3 유효 매체들)의 유효 속성들은 광학 속성들 [n,k]은 물론 유효 매체를 형성하는 모든 재료들: IL, HK, TiN, TaN, TaL 등의 두께들에 의존한다. 도 6의 모델들에 의해 생성되는 데이터는 유효 매체 광학 굴절률들(effective medium optical indices)의 정확도에 의존할 수 있다. 도 6에 설명된 혁신적인 방법에 부가하여, 유효 매체 광학 굴절률들을 결정하는 데 사용되는 방법의 일부가 아래에서 설명되고 장치에 의존할 수 있다.
도 7의 플로차트는 본 개시내용의 다른 실시예를 요약한 것이다. 첫 번째 단계는 입사각들(AOI)을 스캔함으로써 일련의 RPRC 스펙트럼들을 취득하는 것이다(1). AOI는 웨이퍼 평면 표면에 수직인 z-축에 대한 광 빔의 방향이다. 이어서, 도 6에 묘사된 초기 제1 유효 매체 분산 모델이 구축될 수 있다(2). 초기 모델은 아직 최적화되지 않은 모델을 지칭한다. 이 경우에, 초기 제1 유효 매체 분산 모델은 부정확한 것으로 간주되고 아직 최적화되지 않은 제1 유효 매체 분산을 포함한다. 제1 유효 매체 분산을 최적화하기 위해, 분산 모델이 생성되고 그의 파라미터들이 변화된다(3). 여기서, 유효 매체 분산을 개발하는 데 사용되는 분산 모델은 Lorentz 모델일 수 있거나 또는 조화 진동자(harmonic oscillator; HO) 모델이라고도 불릴 수 있다. 이 모델은 입사광(예컨대, 전자기파) 하에서의 재료 내의 원자들에 구속된 전자들의 진동들을 조화 진동자들의 앙상블(ensemble)인 것으로 간주한다. 재료의 유전 상수의 표현은 다음과 같을 수 있다.
상기 방정식에서,n b 는 균일 배경 굴절률(uniform background index)(디폴트 값 = 1)이고, EE = 1,240/에 의해 파장()(nm 단위)의 함수로서 표현되는 전기장 에너지(eV 단위)이며, H s는 (아래에 설명되는 바와 같은) 제s 진동자의 기여도이고, 는 제s 진동자에 대한 국소장 보정 인자(local field correction factor)이다.
는 금속들의 경우 0과 동일하고, 대부분의 반도체들의 경우 0에 가까우며, 이상적인 유전체들의 경우 0.333과 동일하다.
H s는 이하의 방정식에 의해 주어진다.
상기 방정식에서,Ry는 뤼드베리 상수(Rydberg constant)(Ry = 13.6058 eV)이고, r 0는 보어 반경(Bohr radius)(r 0 = 0.0529177 nm)이며, N s(또는 Nosc)는, nm-3 단위인, 제s 진동자의 개수 밀도(number density) - 이 진동자의 상대 중요도(relative importance)를 표현함 - 이고, E ns(또는 En)는, eV 단위인, 그의 공명 에너지(resonance energy) 또는 임계점(critical point)이며(가장 낮은 En은 종종 밴드갭 에너지라고 불림), E gs(또는 Eg)는 eV 단위인 그의 감쇠 상수 에너지(damping constant energy)이고, (또는 Phi)는 그의 (상대) 위상(라디안 단위)이다.
Lorentz HO 모델은, 몇 개의 피크들을 갖는 것들을 포함하여, 재료 광학 속성들을 설명하는 데 사용된다. 이 재료들은 Si, Ge, SiGe와 같은 반도체들의 재료들, 또는 심지어 W, Cu, Co, Ti, TiN, TaN 등과 같은 금속들을 포함할 수 있다. 알 수 있는 바와 같이, Lorentz 모델에서의 각각의 진동자는 5개의 미지의 파라미터: Nosc, En, Eg, 를 포함한다. 반도체들 재료들 및 금속들에 대한 전형적인 분산 모델은 190 nm 내지 850 nm의 파장 범위에서 4 내지 8개의 진동자를 필요로 한다. 따라서 그러한 HO 기반 분산 모델에서의 가능한 변수들의 개수는 20(5x4) 내지 40(5x8)이다. 높은 자유도수(number of degree of freedom)(예컨대, 20 내지 40) 및 기준 결여가 유효 매체의 측정에서 가장 어려운 부분일 수 있다. 이는 재료 파라미터의 상관을 결과하고 다수의 솔루션들을 가져올 수 있다. 상관을 감소시키고 유효 매체 분산 정확도를 개선시키기 위해 일부 저 민감성(low sensitive) 파라미터들이 고정될 수 있다. 이러한 플로팅(float) 또는 고정(fix) 방법은 HO 모델 최적화라고 지칭될 수 있다. 제대로 수행하지 않으면, 잘못된 유효 매체 분산이 추출될 수 있다. 정확한 유효 매체 분산의 측정은 단일 재료 분산의 측정보다 복잡할 수 있다. 유효 매체 분산은 2개 이상의 재료의 분산 및 그들의 두께들에 의존할 수 있으며, 따라서 유효 매체 분산 속성들이 광학 응답으로부터 추출될 때 주의를 기울일 수 있다. AOI를 스캔하는 것은 정확한 유효 매체 분산들을 개발하는 데 도움을 준다. 정확하고 신뢰할 수 있는 유효 매체 분산을 제공하는 데 도움을 줄 수 있는 하나의 양태는 AOI 독립적인 것이다. AOI를 스캔하는 것은 등방성 유효 매체(isotropic effective medium)를 보장할 수 있다. 등방성 유효 매체 분산이 유리할 수 있다. 이 조건을 사용하여, 유효 매체는 각각의 AOI 스펙트럼으로부터의 각각의 측정된 유효 매체 분산을 비교함으로써 정확도에 대해 체크될 수 있다. AOI1(4), 및 AOI2(5) ... 및 AOIn(5)에 대해 회귀가 실행된다. N번의 회귀들로부터 n개의 유효 매체 분산들이 나올 수 있다. n개의 유효 매체 분산들이 이어서 비교된다(6). 유효 매체 분산들이 일관성이 없으면(7), 이는 HO 모델이 충분히 최적화되어 있지 않고 파라미터들의 상관을 감소시키기 위해 추가적인 최적화를 필요할 수 있음을 의미한다(8). 피드백 루프가 생성될 수 있다. 여러 번의 반복들이 수행될 수 있다. 일단 상이한 AOI로부터의 제1 유효 매체 분산들이 일관성이 있으면, 제1 유효 매체 분산은 정확한 것으로 간주된다. 그러면, 제1 유효 매체 분산 모델이 이어서 준비될 수 있고 상부 막(이 특정의 예에서 TiN) 두께가 측정될 수 있다(9).
초기 제2 유효 매체 분산 모델이 구축된다(10). 제2 유효 매체 분산을 최적화하기 위해, 분산 모델이 생성되고 그의 파라미터들이 변화된다(11). 제1 유효 매체 분산 모델로부터의 TiN 두께가 이어서 제2 유효 매체 분산 모델에게 피드포워드된다(12). 제1 유효 매체 분산 모델로부터의 TiN 두께를 사용하는 것은 제2 유효 매체를 최적화하고 제1 유효 매체 분산 모델과의 일관성을 보장하기 위해 제2 유효 매체 분산 모델에서의 상관을 감소시키는 데 도움을 줄 수 있다. 제1 유효 매체를 추출하는 데 사용된 절차가 제2 유효 매체에 대해 반복된다. AOI1(13), 및 AOI2(14) ... 및 AOIn(15)에 대해 회귀가 실행된다. N번의 회귀들로부터 n개의 유효 매체 분산들이 나온다. n개의 제2 유효 매체 분산들이 이어서 비교된다(16). 제2 유효 매체 분산들이 일관성이 없으면(17), HO 모델은 충분히 최적화되어 있지 않고 파라미터들의 상관을 감소시키기 위해 추가적인 최적화를 필요할 수 있다(18). 피드백 루프가 생성될 수 있다. 여러 번의 반복들이 수행될 수 있다. 일단 상이한 AOI로부터의 제2 유효 매체 분산들이 일관성이 있으면, 제2 유효 매체 분산은 정확한 것으로 간주된다. 그러면, 제2 유효 매체 분산 모델이 준비되고 제2 막(이 특정의 예에서 TaL) 두께가 회귀를 통해 측정된다.
초기 제3 유효 매체 분산 모델이 구축된다(19). 제3 유효 매체 분산을 최적화하기 위해, 분산 모델이 생성되고 그의 파라미터들이 변화된다(20). 제1 유효 매체 분산 모델로부터의 TiN 두께 및 제2 유효 매체 분산 모델로부터의 TaL 두께가 이어서 제3 유효 매체 분산 모델에게 피드포워드된다(21). 제1 및 제2 유효 매체 분산 모델들로부터의 TiN 및 TaL 두께를 사용하는 것은 제3 유효 매체를 최적화하고 제1 및 제2 유효 매체 분산 모델들과의 일관성을 보장하기 위해 제3 유효 매체 분산 모델에서의 상관을 감소시키는 데 도움을 줄 수 있다. 제1 유효 매체 및 제2 유효 매체를 추출하는 데 사용된 동일한 절차가 제3 유효 매체에 대해 반복된다. AOI1(22), 및 AOI2(23) ... 및 AOIn(24)에 대해 회귀가 실행된다. N번의 회귀들로부터 N개의 제3 유효 매체 분산들이 나온다. N개의 제3 유효 매체 분산들이 이어서 비교된다(25). 제3 유효 매체 분산들이 일관성이 없으면(17), HO 모델은 충분히 최적화되어 있지 않고 파라미터들의 상관을 감소시키기 위해 추가로 최적화될 필요가 있을 수 있다(18). 피드백 루프가 생성될 수 있다. 여러 번의 반복들이 수행될 수 있다. 일단 상이한 AOI로부터의 제3 유효 매체 분산들이 일관성이 있으면, 제3 유효 매체 분산은 정확한 것으로 간주된다. 그러면 제3 유효 매체 분산 모델이 준비되고 상부 TaN 두께가 회귀를 통해 측정된다.
3개의 모델이 개시되어 있지만, 제1 유효 매체 분산 모델만을 결정하는 것이 가능하다. 다른 예에서, 제1 유효 매체 분산 모델 및 제3 유효 매체 분산 모델만이 개발된다. 따라서, 유효 매체 분산 모델들 중 하나 이상을 결정하는 단계가 스킵될 수 있다. 게다가, 2개 또는 3개 초과의 유효 매체 분산 모델이 생성될 수 있다. 게다가, 다양한 층들에 대해 특정의 재료들이 개시되어 있지만, 이 기술은 다른 재료들에 적용될 수 있다.
도 5는 시스템(300)의 실시예의 블록 다이어그램이다. 시스템(300)은 웨이퍼(307) 또는 다른 워크피스(workpiece)를 유지하도록 구성된 척(chuck)(306)을 포함한다. 척(306)은 1개, 2개, 또는 3개의 축에서 이동 또는 회전하도록 구성될 수 있다. 척(306)은 또한, Z-축을 중심으로와 같이, 스핀(spin)하도록 구성될 수 있다.
시스템(300)은 웨이퍼(307) 상의 표면, 디바이스, 피처, 또는 층의 일부를 측정하도록 구성된 측정 시스템(301)을 또한 포함한다. 측정 시스템(301)은 광 빔, 전자 빔, 광대역 플라스마를 생성할 수 있거나, 또는 웨이퍼(307)의 표면을 측정하기 위해 다른 기술들을 사용할 수 있다. 일 예에서, 측정 시스템(301)은 레이저를 포함한다. 다른 예에서, 시스템(300)은 광대역 플라스마 검사 툴이다. 측정 시스템(301)은 웨이퍼(307) 상의 다이들의 이미지들을 제공할 수 있거나 또는 웨이퍼(307) 상의 다이들의 이미지들을 형성하는 데 사용되는 정보를 제공할 수 있다.
특히, 시스템(300) 또는 측정 시스템(301)은 회전 편광기 회전 보상기 분광 엘립소메트리 데이터, 풀 뮬러 매트릭스 성분들 데이터, 회전 편광기 분광 엘립소메트리 데이터, 반사측정법 데이터, 레이저 구동 분광 반사측정법 데이터, 또는 x-선 데이터 중 하나 이상을 제공하도록 구성될 수 있다.
시스템(300)은 제어기(302)와 통신한다. 예를 들어, 제어기(302)는 측정 시스템(301) 또는 시스템(300)의 다른 컴포넌트들과 통신할 수 있다. 제어기(302)는 프로세서(303), 프로세서(303)와 전자 통신하는 전자 데이터 저장 유닛(304), 및 프로세서(303)와 전자 통신하는 통신 포트(305)를 포함할 수 있다. 제어기(302)가 하드웨어, 소프트웨어, 및 펌웨어의 임의의 조합에 의해 실제로 구현될 수 있다는 점이 이해되어야 한다. 또한, 본 명세서에 설명된 바와 같은 그의 기능들은 하나의 유닛에 의해 수행되거나, 또는 상이한 컴포넌트들 간에 분할될 수 있으며, 컴포넌트들 각각은 차례로 하드웨어, 소프트웨어 및 펌웨어의 임의의 조합에 의해 구현될 수 있다. 제어기(302)가 다양한 방법들 및 기능들을 구현하기 위한 프로그램 코드 또는 명령어들은 제어기(302) 내에 있는, 제어기(302) 외부에 있는, 또는 이들의 조합인, 전자 데이터 저장 유닛(304) 내의 메모리와 같은, 제어기 판독가능 저장 매체들에 저장될 수 있다.
제어기(302)는 하나 이상의 프로세서(303) 및 하나 이상의 전자 데이터 저장 유닛(304)을 포함할 수 있다. 각각의 프로세서(303)는 전자 데이터 저장 유닛들(304) 중 하나 이상과 전자 통신할 수 있다. 실시예에서, 하나 이상의 프로세서(303)는 통신가능하게 커플링된다. 이 점에서, 하나 이상의 프로세서(303)는 측정 시스템(301)에 수신되는 판독치들(readings)을 수신하고 판독치를 제어기(302)의 전자 데이터 저장 유닛(304)에 저장할 수 있다. 제어기(302)는 시스템 자체의 일부일 수 있거나 또는 시스템과 분리될 수 있다(예컨대, 독립형 제어 유닛이거나 중앙집중식 품질 제어 유닛에 있음).
제어기(302)가, 측정 시스템(301)으로부터의 출력과 같은, 시스템(300)에 의해 생성된 출력을 수신할 수 있도록, 제어기(302)는 임의의 적합한 방식으로(예컨대, 유선 및/또는 무선 전송 매체들을 포함할 수 있는 하나 이상의 전송 매체를 통해) 시스템(300)의 컴포넌트들에 커플링될 수 있다. 제어기(302)는 출력을 사용하여 다수의 기능들을 수행하도록 구성될 수 있다. 예를 들어, 제어기(302)는 웨이퍼(307) 상의 층들을 측정하도록 구성될 수 있다. 다른 예에서, 제어기(302)는 출력을 검토함이 없이 출력을 전자 데이터 저장 유닛(304) 또는 다른 저장 매체에게 송신하도록 구성될 수 있다. 제어기(302)는 본 명세서에 설명된 바와 같이 또한 구성될 수 있다.
본 명세서에서 설명된 제어기(302), 다른 시스템(들), 또는 다른 서브시스템(들)은, 개인 컴퓨터 시스템, 이미지 컴퓨터, 메인프레임 컴퓨터 시스템, 워크스테이션, 네트워크 어플라이언스(network appliance), 인터넷 어플라이언스(internet appliance), 또는 다른 디바이스를 포함한, 다양한 형태들을 취할 수 있다. 일반적으로, 용어 "제어기"는 메모리 매체로부터의 명령어들을 실행하는 하나 이상의 프로세서를 가지는 임의의 디바이스를 포괄하도록 넓게 정의될 수 있다. 서브시스템(들) 또는 시스템(들)은, 병렬 프로세서와 같은, 본 기술분야에 공지된 임의의 적합한 프로세서를 또한 포함할 수 있다. 그에 부가하여, 서브시스템(들) 또는 시스템(들)은 고속 프로세싱 및 소프트웨어를 갖는 플랫폼을, 독립형(standalone) 또는 네트워크화된(networked) 툴 중 어느 하나로서 포함할 수 있다.
이 시스템이 하나 초과의 서브시스템을 포함하면, 이미지들, 데이터, 정보, 명령어들 등이 서브시스템들 간에 송신될 수 있도록 상이한 서브시스템들이 서로 커플링될 수 있다. 예를 들어, 하나의 서브시스템은 본 기술분야에 공지된 임의의 적합한 유선 및/또는 무선 전송 매체들을 포함할 수 있는, 임의의 적합한 전송 매체들에 의해 부가의 서브시스템(들)에 커플링될 수 있다. 그러한 서브시스템들 중 2개 이상의 서브시스템은 또한 공유된 컴퓨터 판독가능 저장 매체(도시되지 않음)에 의해 효과적으로 커플링될 수 있다.
시스템(300)은 결함 검토 시스템, 검사 시스템, 계측 시스템, 또는 어떤 다른 유형의 시스템의 일부일 수 있다. 따라서, 본 명세서에 개시된 실시예들은 상이한 응용들에 대해 보다 적합하거나 덜 적합한 상이한 능력들을 갖는 시스템들에 대해 다수의 방식들로 맞춤화될 수 있는 일부 구성들을 설명한다.
제어기(302)는 측정 시스템(301) 또는 시스템(300)의 다른 컴포넌트들과 전자 통신할 수 있다. 제어기(302)는 본 명세서에 설명된 실시예들 중 임의의 것에 따라 구성될 수 있다. 제어기(302)는 또한 측정 시스템(301)의 출력을 사용하여 또는 다른 소스들로부터의 이미지들, 측정치들, 또는 데이터를 사용하여 다른 기능들 또는 부가의 단계들을 수행하도록 구성될 수 있다.
부가의 실시예는, 본 명세서에 개시된 바와 같이, 컴퓨터-구현 방법을 수행하기 위해 제어기 상에서 실행가능한 프로그램 명령어들을 저장하는 비일시적 컴퓨터 판독가능 매체에 관한 것이다. 특히, 도 18에 도시된 바와 같이, 제어기(302)는 전자 데이터 저장 유닛(304) 내의 메모리 또는 제어기(302) 상에서 실행가능한 프로그램 명령어들을 포함하는 비일시적 컴퓨터 판독가능 매체를 갖는 다른 전자 데이터 저장 매체를 포함할 수 있다. 컴퓨터-구현 방법은 본 명세서에 설명된 임의의 방법(들)의 임의의 단계(들)를 포함할 수 있다. 예를 들어, 제어기(302)는 도 17 또는 도 7의 단계들 중 일부 또는 전부를 수행하도록 프로그래밍될 수 있다. 전자 데이터 저장 유닛(304) 내의 메모리 또는 다른 전자 데이터 저장 매체는 자기 또는 광학 디스크, 자기 테이프, 또는 본 기술분야에 공지된 임의의 다른 적합한 비일시적 컴퓨터 판독가능 매체와 같은 저장 매체일 수 있다.
예에서, 프로세서(303)는 하나 이상의 소프트웨어 모듈을 실행하도록 구성될 수 있고, 여기서 하나 이상의 소프트웨어 모듈은 웨이퍼 상의 막 스택에 대한 제1 유효 매체 분산 모델을 개발하고 제1 유효 매체 분산 모델을 사용하여 제1 층의 두께를 결정하도록 구성된다. 막 스택은 적어도 4개의 층을 포함할 수 있다. 제1 유효 매체 분산 모델은 층들 중 제1 층을 제외한 모든 층들을 대체한다. 제1 층은 웨이퍼 반대편의 막 스택의 상부 층이다.
임의로, 소프트웨어 모듈들은 또한, 제1 층의 두께에 기초하여 막 스택에 대한 제2 유효 매체 분산 모델을 개발하고 제2 유효 매체 분산 모델을 사용하여 제2 층의 두께를 결정하도록 구성될 수 있다. 제2 유효 매체 분산 모델은 층들 중 제1 층 및 제2 층을 제외한 모든 층들을 대체한다. 제2 층은 제1 층에 인접해 있다.
임의로, 소프트웨어 모듈들은 또한, 제1 층의 두께 및 제2 층의 두께에 기초하여 막 스택에 대한 제3 유효 매체 분산 모델을 개발하고 제3 유효 매체 분산 모델을 사용하여 제3 층의 두께를 결정하도록 구성될 수 있다. 제3 유효 매체 분산 모델은 층들 중 제1 층, 제2 층, 및 제3 층을 제외한 모든 층들을 대체한다. 제3 층은 제2 층에 인접해 있다.
소프트웨어 모듈들은 막 스택에서의 다른 층들의 두께를 결정하기 위해 제4, 제5, 또는 훨씬 더 많은 유효 매체 분산 모델들을 임의로 개발하도록 구성될 수 있다.
프로그램 명령어들은, 그 중에서도, 프로시저 기반 기술들, 컴포넌트 기반 기술들, 및/또는 객체 지향 기술들을 포함하는, 다양한 방식들 중 임의의 것으로 구현될 수 있다. 예를 들어, 프로그램 명령어들은, 원하는 바에 따라, ActiveX 컨트롤들, C++ 객체들, JavaBeans, MFC(Microsoft Foundation Classes), SSE(Streaming SIMD Extension), 또는 다른 기술들 또는 방법론들을 사용하여 구현될 수 있다.
다른 실시예에서, 제어기(302)는 본 기술분야에 공지된 임의의 방식으로 시스템(300)의 다양한 컴포넌트들 또는 서브시스템들 중 임의의 것에 통신가능하게 커플링될 수 있다. 더욱이, 제어기(302)는 유선 및/또는 무선 부분들을 포함할 수 있는 전송 매체에 의해 다른 시스템들로부터 데이터 또는 정보(예컨대, 검토 툴과 같은 검사 시스템으로부터의 검사 결과들, 설계 데이터 등을 포함하는 원격 데이터베이스)를 수신 및/또는 취득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 제어기(302)와 시스템(300)의 다른 서브시스템들 또는 시스템(300) 외부의 시스템들 사이의 데이터 링크로서 역할할 수 있다.
일부 실시예들에서, 본 명세서에 개시된 시스템(300) 및 방법들의 다양한 단계들, 기능들, 및/또는 동작들은 다음과 같은 것: 전자 회로들, 로직 게이트들, 멀티플렉서들, 프로그래머블 로직 디바이스들, ASIC들, 아날로그 또는 디지털 컨트롤들/스위치들, 마이크로컨트롤러들, 또는 컴퓨팅 시스템들 중 하나 이상에 의해 수행된다. 본 명세서에서 설명된 것들과 같은 방법들을 구현하는 프로그램 명령어들은 캐리어 매체를 통해 전송되거나 또는 캐리어 매체 상에 저장될 수 있다. 캐리어 매체는 판독 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 비휘발성 메모리, 솔리드 스테이트 메모리, 자기 테이프 등과 같은 저장 매체를 포함할 수 있다. 캐리어 매체는 와이어, 케이블, 또는 무선 전송 링크와 같은 전송 매체를 포함할 수 있다. 예를 들어, 본 개시내용 전반에 걸쳐 설명된 다양한 단계들은 단일 제어기(302)(또는 컴퓨터 시스템) 또는, 대안적으로, 다수의 제어기들(302)(또는 다수의 컴퓨터 시스템들)에 의해 수행될 수 있다. 더욱이, 시스템(300)의 상이한 서브시스템들은 하나 이상의 컴퓨팅 또는 로직 시스템을 포함할 수 있다. 따라서, 상기 설명은 본 개시내용에 대한 제한으로서 해석되어서는 안되며 단지 예시로서 해석되어야 한다.
본 명세서에 개시된 예들은 예시적인 것으로 여겨지고 제한적인 것으로 의도되지 않는다.
예 1
예에서, 제1 유효 매체 분산 모델은 스택에서의 5개의 재료: IL, HK, TiN, TaN 및 TaL을 대체한다. 제1 유효 매체 두께 및 궁극적으로 제1 유효 매체 브루그만 유효 매체 근사치(Bruggeman effective medium approximation; BEMA) 분율(fraction)을 플로팅시킴으로써, 제1 유효 매체는 5개의 층의 모든 프로세스 변동을 함께 고려한다. 따라서, 제1 산란측정법 모델 플로팅 파라미터들이 초기 12개의 파라미터로부터 단지 7개로 감소된다. 제1 산란측정법 모델을 사용하여, 상부 TiN(8 A) 격자상의 막이 정확하게 측정되었고 완건성 테스트를 포함한 모든 성공 기준들이 충족되었다. 상부 TiN(8 A) 두께가 다른 모델에게 포워딩되었다.
제2 유효 매체 분산 모델은 4개의 재료: IL, HK, TiN 및 TaN을 대체한다. 제2 유효 매체 두께 및 궁극적으로 제2 유효 매체 BEMA 분율을 플로팅시킴으로써, 제2 유효 매체는 4개의 막의 모든 프로세스 변동을 함께 고려한다. 따라서, 제2 유효 매체 분산 모델 플로팅 파라미터들은 12개의 파라미터로부터 단지 7개의 파라미터 + 제1 유효 매체 분산 모델로부터 피드포워드된 상부 TiN으로 실질적으로 감소된다. 제1 유효 매체 분산 모델로부터 피드포워드된 상부 TiN은 제2 중요 파라미터인 측정된 TaL과 어떠한 상호작용 또는 상관도 갖지 않는다. 제2 유효 매체 분산이 개발되는 동안, 상부 TiN 두께가 제1 유효 매체 분산 모델로부터 피드된다. 제1 유효 매체 분산 모델로부터의 데이터가 제2 유효 매체 분산 모델을 개발하는 데 사용된다면, 제2 유효 매체 분산 모델은 보다 정확할 수 있다. 이것은 제1 유효 매체 분산 모델과 제2 유효 매체 분산 모델 사이의 일관성을 보장해줄 수 있다.
제3 유효 매체 분산 모델은 3개의 재료: IL, HK 및 TiN을 대체한다. 제3 유효 매체 두께 및 궁극적으로 제3 유효 매체 BEMA 분율을 플로팅시킴으로써, 제3 유효 매체 분산 모델은 3개의 막의 모든 프로세스 변동을 함께 고려한다. 따라서, 제3 유효 매체 분산 모델 플로팅 파라미터들은 12개의 파라미터로부터 단지 7개의 파라미터 + 제1 유효 매체 분산 모델로부터 피드포워드된 상부 TiN 및 제2 유효 매체 분산 모델로부터 피드포워드된 TaL로 실질적으로 감소된다. 제1 유효 매체 분산 모델 및 제2 유효 매체 분산 모델로부터의 상부 TiN 및 TaL은 제3 중요 파라미터인 측정된 TaN과 어떠한 상호작용 또는 상관도 갖지 않는다. 제2 유효 매체 분산 모델과 유사하게, 제3 유효 매체 분산 모델을 개발하는 동안, TiN 두께 및 TaL 두께가, 제각기, 제1 유효 매체 분산 모델 및 제2 유효 매체 분산 모델로부터 피드포워드된다. 이것은 제1 유효 매체 분산 모델, 제2 유효 매체 분산 모델, 및 제3 유효 매체 분산 모델 사이의 일관성을 보장해줄 수 있다.
유효 매체(EM) 분산을 측정하는 것과 관련하여, 다수의 재료들을 하나로 결합시키는 것은 대비를 개선시킬 수 있고, 광학 응답에서의 고유성을 가져올 수 있으며, 파라미터들의 상호작용을 감소시킬 수 있다. 예를 들어, 도 6의 6개의 막 전부가 동시에 플로팅되면, 개별 막 서로 간의 중요 파라미터들의 상관은 모델을 불안정하게 만들고 고객 규격들을 통과하기에 충분히 강건하지 않게 만들 수 있다.
예 2
다른 예에서 층내 피드포워드(within layer feedforward) 기술을 검증하기 위해, 3개의 DOE 웨이퍼가 설계되고 스캔된 AOI RPRC로 측정되었다. 기준 측정 TEM을 위해 웨이퍼당 3개의 사이트가 선택되었다. 도 8은 도 6에 설명된 SSDF 방법을 사용하여 TiN, TaL, 및 TaN에 대한 FOG 대 TEM 선형성 결과들을 요약한 것이다. 정확도에 부가하여, 정밀한 GRR 및 완건성에 대해 SDFF 방법이 테스트되었다. R2와 기울기 둘 다는 선형성에 대한 고객 요구사항을 통과하였다.
도 9는 SSDF 방법의 결과들의 유의성을 이전의 "올 플로팅" 방법과 비교하여 도시한다. GRR 및 완건성 테스트들은 다수의 막들의 상관에 의해 영향을 받기 보다 쉽다. GRR과 완건성 둘 다는 고객 규격들을 통과하였다.
EM 분산(스캔된 AOI RPRC를 사용하여 측정되고 라이브러리들에서 사용됨) 중 일부를 포함한 성공적인 FOG 층들의 사례들이 아래에서 제시된다.
도 2(a)는 캡 층 막 스택을 도시하고, 도 2(b)는 FOG 캡 구조물을 도시한다. 높은 상관을 갖는 파라미터들로 인해 시뮬레이션된 정밀도들은 열악하다. 3개의 컨포멀 라이너(conformal liners)를 동시에 플로팅시키는 대신에, 유효 매체 접근법이 여기에서도 사용되었다. IL+HK 라이너들이 도 10에 예시된 바와 같이 하나의 유효 매체 라이너로서 혼합되었다.
EM 분산 모델을 최적화하기 위해 스캔된 AOI 스펙트럼들이 회귀되었다. 도 7에 설명된 동일한 방법이 도 10의 캡 층에 적용된다. (IL+HK)의 결과된 EM 분산이 도 11에 도시되어 있다. IL 및 HK 분산들이 참조를 위해 제시되어 있다.
도 3(a)는 캡 층 막 스택을 도시하고, 도 3(b)는 FOG 캡 구조물을 도시한다. 높은 상관을 갖는 파라미터들로 인해 시뮬레이션된 정밀도는 열악하다. 도 13(a)는 TaN 층 막 스택을 도시한다. 4개의 컨포멀 라이너를 동시에 플로팅시키는 대신에, 유효 매체 접근법이 여기에서도 사용되었다. IL+HK+Cap 라이너들이 도 13에 예시된 바와 같이 하나의 유효 매체 라이너로서 혼합되었다.
FinFET 및 나노와이어들에서 금속 게이트의 나노 제조 능력들의 끊임없는 발전에 따라, 격자상의 막 계측은 HKMG를 구축하는 데 사용되는 자연 광학 속성들 및 파장에 비해 그들의 얇은 두께들에 의해 제한되는 것으로 보인다. 상기 실험 결과들은 하나 이상의 매체가 결합되어 하나의 매체로 간주될 때 보다 정확한 결과들이 획득될 수 있음을 보여준다. 재료들 또는 박막들의 합성물에 대한 유효 굴절률 및 유효 흡광 계수들을 그들의 개별 컴포넌트들 및 그들의 기하형태 면에서 정의하고 연구하는 데 유효 매체 이론이 사용된다. 본 명세서에 개시된 바와 같이, 격자상의 박막에 대해 유효 매체 이론이 사용된다. 이 이론의 유효성은 구조물들을 구성하는 각각의 막의 사이즈에 의해 제한될 수 있다. 막들은 입사 광 파장에 균질하게 보일 정도로 충분히 작을 필요가 있을 수 있다. 다중 막들이 하나의 유효 막(effective film)으로서 모델링되는데 그 이유는 총 두께가 파장보다 훨씬 더 작기 때문이다. 유효 매체는 합성물의 거동을 통계적으로 설명할 수 있다. 예를 들어, 도 10의 간단한 사례에서, 문제는 상대 유전 함수들(relative dielectric functions)(εSiO2 및 εHfO2) 및 두께들(TIL 및 THK)을 갖는 IL(SiO2) 및 HK(HfO2)로 이루어진 교호하는 유효 막들의 유효 파라미터들을 얻는 것이다. 비자성 구조물들에 대한 유효 유전율(effective dielectric permittivity)은 이하의 방정식을 따를 수 있다.
D는 공간 평균 변위장(spatial average displacement field)이고, E는 전기장이다. E가 연속적인 경계 조건을 사용하여 그리고 체적당 변위장(D)을 평균화하는 것에 의해, 이하의 공식이 결정될 수 있다.
이 방정식은 유효 매체 광학 속성들을 설명하기위한 간단한 모델이다. T 변수들은 다양한 층들의 두께들이고 εHK는 HK 층의 유효 파라미터이다. 실제로, 가중 함수가 이 모델에 포함될 수 있다. 이 간단한 이론은 임의의 유효 유전율이 알려지지 않은 두께들의 함수라는 것을 말하고 있다. 따라서 유효 유전율의 정확한 결정(도 7)이 한 인자이다.
방법의 단계들 각각은 본 명세서에 설명된 바와 같이 수행될 수 있다. 방법들은 본 명세서에 설명된 제어기 및/또는 컴퓨터 서브시스템(들) 또는 시스템(들)에 의해 수행될 수 있는 임의의 다른 단계(들)를 또한 포함할 수 있다. 단계들은, 본 명세서에 설명된 실시예들 중 임의의 것에 따라 구성될 수 있는, 하나 이상의 컴퓨터 시스템에 의해 수행될 수 있다. 그에 부가하여, 위에서 설명된 방법들은 본 명세서에서 설명된 시스템 실시예들 중 임의의 것에 의해 수행될 수 있다.
비록 본 개시내용이 하나 이상의 특정 실시예와 관련하여 설명되었지만, 본 개시내용의 범위를 벗어나지 않으면서 본 개시내용의 다른 실시예들이 이루어질 수 있다는 점이 이해될 것이다. 따라서, 본 개시내용은 첨부된 청구항들 및 그의 타당한 해석에 의해서만 제한되는 것으로 간주된다.

Claims (26)

  1. 방법으로서,
    제어기를 사용하여, 막 스택의 전체보다 적은 광학 속성들을 모델링하는, 웨이퍼 상의 상기 막 스택에 대한 제1 유효 매체 분산 모델을 개발(develop)하는 단계 - 상기 막 스택은 적어도 4개의 층을 포함하고, 상기 제1 유효 매체 분산 모델은 상기 막 스택 내의 적어도 제2 층, 제3 층, 및 제4 층을 대체하며, 제1 층은 상기 웨이퍼 반대편의 상기 막 스택의 상부 층임 -; 및
    상기 제어기를 사용하여, 상기 제1 층의 두께를 상기 제1 유효 매체 분산 모델을 사용하여 결정하는 단계
    를 포함하고,
    상기 제1 유효 매체 분산 모델을 개발하는 단계는:
    상기 막 스택의 광학 응답들을 수집하는 단계;
    모델 구축 모듈을 사용하여, 제1 유효 매체 내에서 적어도 상기 제2 층, 상기 제3 층, 및 상기 제4 층을 결합시키는 것에 의해 제1 산란측정법 모델을 구축하는 단계;
    분산 공식(dispersion formula)을 포함하는 상기 제1 유효 매체에 대한 분산 모델을 생성하는 단계;
    다수의 입사각들에서의 상기 광학 응답들을 피팅 분석 모듈에서 수신하는 단계;
    상기 피팅 분석 모듈을 사용하여, 각각의 스펙트럼 회귀에서 상기 분산 모델을 플로팅시키면서 상기 광학 응답들에 대해 병렬 피팅을 수행하는 단계;
    유사도를 결정하기 위해 상기 입사각들에서의 상기 분산 모델의 하나 이상의 결과를 평가하는 단계;
    상기 분산 모델을 테이블로 변환하는 단계;
    상기 분산 모델이 상기 테이블로서 고정되고 상기 제1 층의 두께가 플로팅하고 있는 상태에서 상기 제1 산란측정법 모델을 사용하여 피팅 분석을 수행하는 단계;
    상기 제1 층의 두께를 측정하는 단계; 및
    상기 제1 층의 두께를 기준과 비교하는 단계를 포함하는, 방법.
  2. 제1항에 있어서,
    상기 제어기를 사용하여, 상기 제1 층의 두께에 기초하여 상기 막 스택의 전체보다 적은 광학 속성들을 모델링하는, 상기 막 스택에 대한 제2 유효 매체 분산 모델을 개발하는 단계 - 상기 제2 유효 매체 분산 모델은 적어도 상기 제3 층 및 상기 제4 층을 대체하고, 상기 제2 층은 상기 제1 층에 인접해 있음 -; 및
    상기 제어기를 사용하여, 상기 제2 층의 두께를 상기 제2 유효 매체 분산 모델을 사용하여 결정하는 단계
    를 더 포함하는, 방법.
  3. 제2항에 있어서,
    상기 제어기를 사용하여, 상기 제1 층의 두께 및 상기 제2 층의 두께에 기초하여 상기 막 스택의 전체보다 적은 광학 속성들을 모델링하는, 상기 막 스택에 대한 제3 유효 매체 분산 모델을 개발하는 단계 - 상기 제3 유효 매체 분산 모델은 적어도 상기 제4 층을 대체하고, 상기 제3 층은 상기 제2 층에 인접해 있음 -; 및
    상기 제어기를 사용하여, 상기 제3 층의 두께를 상기 제3 유효 매체 분산 모델을 사용하여 결정하는 단계
    를 더 포함하는, 방법.
  4. 제3항에 있어서, 상기 제1 유효 매체 분산 모델은 산화물 층, 하이 k 층, TiN 층, TaN 층, TaL 층을 대체하고, 상기 제2 유효 매체 분산 모델은 산화물 층, 하이 k 층, TiN 층, 및 TaN 층을 대체하며, 상기 제3 유효 매체 분산 모델은 산화물 층, 하이 k 층, 및 TiN 층을 대체하는, 방법.
  5. 제4항에 있어서, 상기 제1 유효 매체 분산 모델, 상기 제2 유효 매체 분산 모델, 및 상기 제3 유효 매체 분산 모델 각각은 상이한 광학 속성들을 가지는, 방법.
  6. 제1항에 있어서, 회전 편광기 회전 보상기 분광 엘립소메트리 데이터, 풀 뮬러 매트릭스 성분들(full Muller matrix components) 데이터, 회전 편광기 분광 엘립소메트리 데이터, 반사측정법 데이터, 레이저 구동(laser driven) 분광 반사측정법 데이터, 또는 x-선 데이터를 생성하는 것 중 하나 이상에 의해 상기 막 스택을 측정하는 단계를 더 포함하는, 방법.
  7. 삭제
  8. 제1항에 있어서, 상기 평가하는 단계 이후에 상기 분산 모델을 최적화하도록 구성된 피드백 루프를 적용하는 단계를 더 포함하고, 상기 피드백 루프는 상기 분산 모델의 파라미터들을 고정시키거나 플로팅시키도록 구성되는, 방법.
  9. 제1항에 있어서, 상기 분산 모델의 적어도 하나의 파라미터는 상기 병렬 피팅 동안 공통인 것으로 취급되는, 방법.
  10. 제2항에 있어서, 상기 제2 유효 매체 분산 모델을 개발하는 단계는:
    모델 구축 모듈을 사용하여, 적어도 상기 제3 층 및 상기 제4 층을 제2 유효 매체로 결합시키는 것에 의해 제2 산란측정법 모델을 구축하는 단계;
    상기 제1 층의 두께를 상기 제2 산란측정법 모델에게 포워딩하는 단계;
    분산 공식을 포함하는 상기 제2 유효 매체에 대한 분산 모델을 생성하는 단계;
    다수의 입사각들에서의 광학 응답들을 피팅 분석 모듈에서 수신하는 단계;
    상기 피팅 분석 모듈을 사용하여, 각각의 스펙트럼 회귀에서 상기 분산 모델을 플로팅시키면서 상기 광학 응답들에 대해 병렬 피팅을 수행하는 단계;
    유사도를 결정하기 위해 상기 입사각들에서의 상기 분산 모델의 하나 이상의 결과를 평가하는 단계;
    상기 분산 모델을 테이블로 변환하는 단계; 및
    상기 분산 모델이 고정되어 있는 상기 제2 산란측정법 모델을 사용하여 피팅 분석을 수행하는 단계를 포함하는, 방법.
  11. 제10항에 있어서, 상기 평가하는 단계 이후에 상기 분산 모델을 최적화하도록 구성된 피드백 루프를 적용하는 단계를 더 포함하고, 상기 피드백 루프는 상기 분산 모델의 파라미터들을 고정시키거나 플로팅시키도록 구성되는, 방법.
  12. 제10항에 있어서, 상기 분산 모델의 적어도 하나의 파라미터는 상기 병렬 피팅 동안 공통인 것으로 취급되거나 또는 상기 제1 층의 두께는 상기 병렬 피팅 동안 공통인 것으로 취급되는, 방법.
  13. 제3항에 있어서, 상기 제3 유효 매체 분산 모델을 개발하는 단계는:
    모델 구축 모듈을 사용하여, 적어도 상기 제4 층을 제3 유효 매체로 결합시키는 것에 의해 제3 산란측정법 모델을 구축하는 단계;
    상기 제1 층의 두께 및 상기 제2 층의 두께를 상기 제3 산란측정법 모델에게 포워딩하는 단계;
    분산 공식을 포함하는 상기 제3 유효 매체에 대한 분산 모델을 생성하는 단계;
    다수의 입사각들에서의 광학 응답들을 피팅 분석 모듈에서 수신하는 단계;
    상기 피팅 분석 모듈을 사용하여, 각각의 스펙트럼 회귀에서 상기 분산 모델을 플로팅시키면서 상기 광학 응답들에 대해 병렬 피팅을 수행하는 단계;
    유사도를 결정하기 위해 상기 입사각들에서의 상기 분산 모델의 하나 이상의 결과를 평가하는 단계;
    상기 분산 모델을 테이블로 변환하는 단계; 및
    상기 분산 모델이 고정되어 있는 상기 제3 산란측정법 모델을 사용하여 피팅 분석을 수행하는 단계를 포함하는, 방법.
  14. 제13항에 있어서, 상기 평가하는 단계 이후에 상기 분산 모델을 최적화하도록 구성된 피드백 루프를 적용하는 단계를 더 포함하고, 상기 피드백 루프는 상기 분산 모델의 파라미터들을 고정시키거나 플로팅시키도록 구성되는, 방법.
  15. 제13항에 있어서, 상기 분산 모델의 적어도 하나의 파라미터는 상기 병렬 피팅 동안 공통인 것으로 취급되거나 또는 상기 제1 층의 두께는 상기 병렬 피팅 동안 공통인 것으로 취급되는, 방법.
  16. 제1항에 있어서, 상기 막 스택은 1D 막 스택, 2D 격자상의 막(film on grating), 또는 3D 격자상의 막 중 하나인, 방법.
  17. 제1항에 있어서, 상기 적어도 4개의 층 각각은 SiO2, HfO2, HfSiON, 질소 농도를 갖는 HfON, TiN, TaN, TaAlC, TiAlC, W, Co, WC, 또는 TaO 중 하나인, 방법.
  18. 제17항에 있어서, 상기 4개의 층 중 적어도 하나는 HfON, TiN, 또는 TaN 중 하나이고, 상기 방법은 질소 농도를 결정하는 단계를 더 포함하는, 방법.
  19. 제3항에 있어서, 상기 제1 유효 매체 분산 모델, 상기 제2 유효 매체 분산 모델, 또는 상기 제3 유효 매체 분산 모델 중 하나는 광자 에너지 또는 파장의 함수로서 재료의 상기 광학 속성들을 모델링하는 분산 공식인, 방법.
  20. 컴퓨터 판독가능 프로그램이 구현되어 있는 비일시적 컴퓨터 판독가능 저장 매체로서, 상기 컴퓨터 판독가능 프로그램은 제1항의 방법을 수행하도록 구성되는, 비일시적 컴퓨터 판독가능 저장 매체.
  21. 시스템으로서,
    웨이퍼를 측정하도록 구성된 측정 시스템;
    프로세서 및 상기 프로세서와 전자 통신하는 전자 데이터 저장 유닛을 포함하는 제어기
    를 포함하고, 상기 제어기는 상기 측정 시스템과 전자 통신하고, 상기 프로세서는 하나 이상의 소프트웨어 모듈을 실행하도록 구성되며, 상기 하나 이상의 소프트웨어 모듈은:
    상기 제어기를 사용하여, 막 스택의 전체보다 적은 광학 속성들을 모델링하는, 웨이퍼 상의 상기 막 스택에 대한 제1 유효 매체 분산 모델을 개발하고 - 상기 막 스택은 적어도 4개의 층을 포함하고, 상기 제1 유효 매체 분산 모델은 상기 막 스택 내의 적어도 제2 층, 제3 층, 및 제4 층을 대체하며, 제1 층은 상기 웨이퍼 반대편의 상기 막 스택의 상부 층임 -;
    상기 제어기를 사용하여, 상기 제1 층의 두께를 상기 제1 유효 매체 분산 모델을 사용하여 결정하도록 구성되고,
    상기 제1 유효 매체 분산 모델을 개발하는 것은:
    상기 막 스택의 광학 응답들을 수집하는 것;
    모델 구축 모듈을 사용하여, 제1 유효 매체 내에서 적어도 상기 제2 층, 상기 제3 층, 및 상기 제4 층을 결합시키는 것에 의해 제1 산란측정법 모델을 구축하는 것;
    분산 공식(dispersion formula)을 포함하는 상기 제1 유효 매체에 대한 분산 모델을 생성하는 것;
    다수의 입사각들에서의 상기 광학 응답들을 피팅 분석 모듈에서 수신하는 것;
    상기 피팅 분석 모듈을 사용하여, 각각의 스펙트럼 회귀에서 상기 분산 모델을 플로팅시키면서 상기 광학 응답들에 대해 병렬 피팅을 수행하는 것;
    유사도를 결정하기 위해 상기 입사각들에서의 상기 분산 모델의 하나 이상의 결과를 평가하는 것;
    상기 분산 모델을 테이블로 변환하는 것;
    상기 분산 모델이 상기 테이블로서 고정되고 상기 제1 층의 두께가 플로팅하고 있는 상태에서 상기 제1 산란측정법 모델을 사용하여 피팅 분석을 수행하는 것;
    상기 제1 층의 두께를 측정하는 것; 및
    상기 제1 층의 두께를 기준과 비교하는 것을 포함하는, 시스템.
  22. 제21항에 있어서, 상기 소프트웨어 모듈은 또한:
    상기 제어기를 사용하여, 상기 제1 층의 두께에 기초하여 상기 막 스택의 전체 보다 적은 광학 속성들을 모델링하는, 상기 막 스택에 대한 제2 유효 매체 분산 모델을 개발하고 - 상기 제2 유효 매체 분산 모델은 적어도 상기 제3 층 및 상기 제4 층을 대체하고, 상기 제2 층은 상기 제1 층에 인접해 있음 -;
    상기 제어기를 사용하여, 상기 제2 층의 두께를 상기 제2 유효 매체 분산 모델을 사용하여 결정하도록 구성되는, 시스템.
  23. 제22항에 있어서, 상기 소프트웨어 모듈은 또한:
    상기 제어기를 사용하여, 상기 제1 층의 두께 및 상기 제2 층의 두께에 기초하여 상기 막 스택의 전체 보다 적은 광학 속성들을 모델링하는, 상기 막 스택에 대한 제3 유효 매체 분산 모델을 개발하고 - 상기 제3 유효 매체 분산 모델은 적어도 상기 제4 층을 대체하고, 상기 제3 층은 상기 제2 층에 인접해 있음 -;
    상기 제어기를 사용하여, 상기 제3 층의 두께를 상기 제3 유효 매체 분산 모델을 사용하여 결정하도록 구성되는, 시스템.
  24. 제21항에 있어서, 상기 측정 시스템은 회전 편광기 회전 보상기 분광 엘립소메트리 데이터, 풀 뮬러 매트릭스 성분들 데이터, 회전 편광기 분광 엘립소메트리 데이터, 반사측정법 데이터, 레이저 구동 분광 반사측정법 데이터, 또는 x-선 데이터 중 하나를 제공하는, 시스템.
  25. 삭제
  26. 삭제
KR1020207008077A 2017-08-22 2018-08-21 격자상의 박막들 및 격자상의 밴드갭 측정 KR102618382B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762548579P 2017-08-22 2017-08-22
US62/548,579 2017-08-22
US15/800,877 2017-11-01
US15/800,877 US10663286B2 (en) 2017-08-22 2017-11-01 Measuring thin films on grating and bandgap on grating
PCT/US2018/047363 WO2019040515A1 (en) 2017-08-22 2018-08-21 MEASUREMENT OF THIN LAYERS ON NETWORK AND BAND PROHIBITED ON NETWORK

Publications (2)

Publication Number Publication Date
KR20200035164A KR20200035164A (ko) 2020-04-01
KR102618382B1 true KR102618382B1 (ko) 2023-12-27

Family

ID=65434158

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207008077A KR102618382B1 (ko) 2017-08-22 2018-08-21 격자상의 박막들 및 격자상의 밴드갭 측정

Country Status (6)

Country Link
US (2) US10663286B2 (ko)
JP (1) JP7369116B2 (ko)
KR (1) KR102618382B1 (ko)
CN (1) CN111052327B (ko)
TW (1) TWI808984B (ko)
WO (1) WO2019040515A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114324184B (zh) * 2021-12-30 2024-05-17 粤芯半导体技术股份有限公司 椭偏仪光谱浮动模型及建立方法
KR102567843B1 (ko) * 2023-02-13 2023-08-17 (주)오로스 테크놀로지 다층 박막 구조물의 두께 분석 시스템 및 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004093436A (ja) * 2002-09-02 2004-03-25 Horiba Ltd 分光エリプソメータを用いた薄膜多層構造の解析方法
JP2004294210A (ja) * 2003-03-26 2004-10-21 Sharp Corp 微細物評価装置、微細物評価方法および微細物評価プログラム
US20100141274A1 (en) 2005-10-28 2010-06-10 Hch. Kuendig & Cie. Ag Method for Measuring the Thickness of Multi-Layer Films

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3749107B2 (ja) * 1999-11-05 2006-02-22 ファブソリューション株式会社 半導体デバイス検査装置
US7196793B2 (en) * 2001-09-06 2007-03-27 Horiba, Ltd. Method for analyzing thin-film layer structure using spectroscopic ellipsometer
JP3937149B2 (ja) * 2002-04-12 2007-06-27 株式会社堀場製作所 分光エリプソメータを用いた極薄膜2層構造の解析方法
KR100508696B1 (ko) * 2003-12-01 2005-08-17 학교법인 서강대학교 구리배선용 초저유전 절연막
US7065737B2 (en) * 2004-03-01 2006-06-20 Advanced Micro Devices, Inc Multi-layer overlay measurement and correction technique for IC manufacturing
JP4435298B2 (ja) * 2004-03-30 2010-03-17 株式会社堀場製作所 試料解析方法
US7465590B1 (en) 2005-06-30 2008-12-16 Nanometrics Incorporated Measurement of a sample using multiple models
US20090219537A1 (en) * 2008-02-28 2009-09-03 Phillip Walsh Method and apparatus for using multiple relative reflectance measurements to determine properties of a sample using vacuum ultra violet wavelengths
US8019458B2 (en) 2008-08-06 2011-09-13 Tokyo Electron Limited Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
CN101887140A (zh) * 2010-05-26 2010-11-17 中国科学院上海光学精密机械研究所 宽带全介质多层膜反射衍射光栅及其设计方法
US9442063B2 (en) * 2011-06-27 2016-09-13 Kla-Tencor Corporation Measurement of composition for thin films
US8804106B2 (en) * 2011-06-29 2014-08-12 Kla-Tencor Corporation System and method for nondestructively measuring concentration and thickness of doped semiconductor layers
JP5721586B2 (ja) * 2011-08-12 2015-05-20 大塚電子株式会社 光学特性測定装置および光学特性測定方法
WO2013049001A2 (en) 2011-09-27 2013-04-04 Kla-Tencor Corporation High throughput thin film characterization and defect detection
US8860937B1 (en) * 2012-10-24 2014-10-14 Kla-Tencor Corp. Metrology systems and methods for high aspect ratio and large lateral dimension structures
US20140118360A1 (en) * 2012-10-30 2014-05-01 Pixtronix, Inc. Thinfilm stacks for light modulating displays

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004093436A (ja) * 2002-09-02 2004-03-25 Horiba Ltd 分光エリプソメータを用いた薄膜多層構造の解析方法
JP2004294210A (ja) * 2003-03-26 2004-10-21 Sharp Corp 微細物評価装置、微細物評価方法および微細物評価プログラム
US20100141274A1 (en) 2005-10-28 2010-06-10 Hch. Kuendig & Cie. Ag Method for Measuring the Thickness of Multi-Layer Films

Also Published As

Publication number Publication date
TWI808984B (zh) 2023-07-21
WO2019040515A1 (en) 2019-02-28
JP7369116B2 (ja) 2023-10-25
US11555689B2 (en) 2023-01-17
CN111052327A (zh) 2020-04-21
US20190063900A1 (en) 2019-02-28
US20200240768A1 (en) 2020-07-30
CN111052327B (zh) 2023-12-08
US10663286B2 (en) 2020-05-26
KR20200035164A (ko) 2020-04-01
JP2020532127A (ja) 2020-11-05
TW201920899A (zh) 2019-06-01

Similar Documents

Publication Publication Date Title
TWI703653B (zh) 基於模型之單一參數量測
TWI609283B (zh) 對參數追蹤最佳化之計量系統及使用於計量系統之方法
TWI615909B (zh) 用於產生半導體量測模型之方法及系統
US9721055B2 (en) Measurement model optimization based on parameter variations across a wafer
US10769320B2 (en) Integrated use of model-based metrology and a process model
TWI631314B (zh) 利用光學臨界尺寸(ocd)計量之結構分析用於光學參數模型之最佳化方法、非暫時性之機器可存取儲存媒體及用以產生所模擬繞射信號以利用光學計量判定用以在晶圓上製造結構之晶圓塗覆的程序參數之系統
TWI603052B (zh) 產生最佳化量測配方之方法、系統及電腦可讀媒體
US10712145B2 (en) Hybrid metrology for patterned wafer characterization
KR102324045B1 (ko) 광 분산의 다중 발진기, 연속 코디 로렌츠 모델
TW201411089A (zh) 用於結合x-射線及光學計量之模型建立及分析引擎
TW201510477A (zh) 組合之x光及光學量測
JP7386884B2 (ja) 光学分散の多次元モデル
CN111433899A (zh) 先进纳米结构的测量方法
US11555689B2 (en) Measuring thin films on grating and bandgap on grating
TWI838588B (zh) 用於訓練及實施度量衡配方之系統及方法
Srivatsa et al. Metrology and Inspection: Challenges and Solutions for Emerging Technology Nodes

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant