JP2020532127A - 薄膜オン格子及びバンドギャップオン格子の計測 - Google Patents

薄膜オン格子及びバンドギャップオン格子の計測 Download PDF

Info

Publication number
JP2020532127A
JP2020532127A JP2020511294A JP2020511294A JP2020532127A JP 2020532127 A JP2020532127 A JP 2020532127A JP 2020511294 A JP2020511294 A JP 2020511294A JP 2020511294 A JP2020511294 A JP 2020511294A JP 2020532127 A JP2020532127 A JP 2020532127A
Authority
JP
Japan
Prior art keywords
layer
model
effective medium
thickness
medium dispersion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020511294A
Other languages
English (en)
Other versions
JP2020532127A5 (ja
JP7369116B2 (ja
Inventor
ホウサム シュエイブ
ホウサム シュエイブ
ゼンクアン タン
ゼンクアン タン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2020532127A publication Critical patent/JP2020532127A/ja
Publication of JP2020532127A5 publication Critical patent/JP2020532127A5/ja
Application granted granted Critical
Publication of JP7369116B2 publication Critical patent/JP7369116B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/02Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring thickness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02535Group 14 semiconducting materials including tin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Electromagnetism (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

本願開示の方法及びシステムにより薄膜スタック、例えば半導体内の膜オン格子及びバンドギャップオン格子を計測することができる。例えば、その薄膜スタックは1D膜スタック、2D膜オン格子又は3D膜オン格子たりうる。1個又は複数個の有効媒体分散モデルがその膜スタックに関し生成される。各有効媒体分散モデルで一層又は複数層を代替することができる。一層又は複数層の厚みを、その有効媒体分散依拠スキャタロメトリモデルを用い判別することができる。一例としては、3個の有効媒体分散依拠スキャタロメトリモデルが展開され、それらを用い膜スタック内の三層の厚みが判別される。

Description

本件開示は薄膜計測に関する。
(関連出願への相互参照)
本願は、2017年8月22日付米国暫定特許出願第62/548579号に基づく優先権を主張するものであり、ここに参照によってその開示内容を繰り入れるものとする。
半導体製造業界の進展により歩留まり管理に、とりわけ計量及び検査システムにかつてなく大きな期待が寄せられている。限界寸法が縮まる一方でウェハサイズが拡大している。より短時間で高歩留まり高付加価値生産、より良好なデバイス性能を達成せよと、市場が本業界を駆り立てている。従って、歩留まり問題を察知してからそれを正すまでの合計時間を縮めることが、半導体製造業者にとり投資収益率の決め手となっている。
デバイス性能、特に静電特性を制御するため、デバイスの限界寸法(CD)及び薄膜厚が監視されている。そのデバイス性能にはゲート誘電体、高k及び仕事関数素材及び組成が影響する。これは例えば閾値電圧及び駆動電流に大きく影響しうる。10nmノード以下では、一部の臨界膜厚プロセスウィンドウが、0.3A未満になることがありうる。プレ層プロセスに対するポスト層効果もまた、制御する必要がありうる事項である。金属ゲート堆積により、アニーリング又は拡散を通じて素材特性及びそれらの組成が改変されうるのに加え、界面欠陥及び結晶欠陥が生じる可能性がある。そのため、高k金属ゲートスタックを形成している間、処理工程毎に計測することが肝要になってきている。
その高k金属ゲート膜の形成プロセスは複雑である。通常、これには6〜9個の膜スタックが関わりうるのであり、それはそのテクノロジノード、用途及びデバイス種別(例.NMOSかPMOSか)に左右される。
ある例によれば、ダミーゲート除去及び酸化物剥離払拭の後、一連の極薄素材がゲート上に堆積され、その後に金属が堆積される。それらの素材は、通常は極薄であり、その光学分散特性が似通っている。この例におけるプロセスは、ゲート(及びフィン)上に8A〜10AのSiO界面層(IL)を堆積させることで開始される。それに後続しうるのは、高k素材たる14Aの二酸化ハフニウムである。それに後続するのは10AのTiN仕事関数素材、次いで障壁金属たるTaN(5A〜10A)、更にもう一つのTiN層、次いでTiAlC層、そして10AのTiN層である。これらの堆積物は原子層堆積(ALD)を通じ形成することができる。PMOS及びNMOSでは相異なる高k金属ゲート(HKMG)プロセスフローが必要になり、それによって臨界層の個数が違うことがありうる。そのHKMGプロセスが、CMOSデバイスの工程フロントエンド(FEOL)ループの終点となる。
古くは、これらの膜が平面型膜スタックパッド上で計測されていた。X線計量を用い平面上で多層スタックが計測されていたが、その所要精度3σ(<0.04A)故に、またそれら薄膜がほぼ無散乱なためその感度が不十分であるが故に、X線では不十分であった。
光学計量の場合、複雑なスタックでは強いパラメタ相関が誘起される。その相関を減らすには、一部の厚みを定格値に固定することが必要となろう。しかし、この方法は、少なくとも3個の理由で、満足のいくソリューションではない。第1に、それらプレ層のプロセスが十分に安定でなく、そのパラメタ向けに一通りの固定値を割り当てられないかもしれない。第2に、いずれかの処理工程でそのプレ層の特性が変化することがありうる。第3に、複数個の層を単一工程で計測できないため、商業製造向けとしてはスループットが低過ぎるかもしれない。
10nmテクノロジノードに達して以降、半導体製造業者等は、一部の臨界膜厚を格子(2D及び3D)上で計測する途を探求してきた。実デバイス特性例えばWAT(ウェハ合否試験例えばVth)に対する、1D膜パッド上で計測された膜厚及び/又は特性の相関が、悪くなってきているのである。具体的には、一部のFinFET層で、実際のFinFETトランジスタ上における実際のプロセスばらつきに対する、1Dプロキシ膜ターゲットの相関が限られていた。堆積及びエッチング速度がトポグラフィ従属となるローディング効果故に、その1D膜データは、2D又は3Dのものとは、完全には相関しないであろう。従来方法を用いこれらの計測を実行することができるが、そのどちらでも正確な計測を行えず、及び/又は、商業製造向けとしては低速過ぎる。ここでいう従来方法とは、「単一入射角(AOI)全浮動」法及び「データフィードフォワード」法として知られるものである。
単一AOI全浮動法では、単一AOI分光エリプソメトリ(楕円偏向計測法)スペクトル又は単一AOI回転偏光子回転補償器(RPRC)スペクトルを用いると共に、全ての臨界パラメタ及び自由度を同時に浮動させる。この方法では、上述した事項及び要件の大半を解決することができない。全浮動法では低コントラスト素材や薄手素材を扱うこともできない。その一因は、SiO及びHfOの、またTiN及びTaNの、光学特性における類似性にある。これは、同時生起パラメタ間相関、ひいては膜オン格子厚計測値の不正確さにつながる。例えば、参照によりその全容が繰り入れられる非特許文献1を参照されたい。ある実験によれば、単一AOI全浮動法で得られた実際の実験データ及びシミュレーションデータのいずれも、精度、正確性、ロバスト性又はウェハ整合性試験に合格しなかった。単一AOI全浮動法は、潜在的にはスループット要件及びコストオブオーナーシップ(COO)要件を充足しうるものであるが、大半の技術的チェックに不合格となっている。
以下は単一AOI全浮動法の結果例である。本例は、期待パラメタ感度、相関及び精度についての理論的シミュレーションである。本例に係るシミュレーションは14種類のHKMG層構造について行われた。ここでは三種類のみを示すことにする。キャップ(TiN)構造では、その膜スタックがIL(SiO)/高k(HfO)/TiNとなる。この構造では、合計8個の幾何パラメタが同時に浮動する(図2)。
シミュレーションが示すところによれば、三膜IL、HK及びキャップ(Cap)の期待精度3σは順に0.13A、0.13A及び0.06Aとなる。半導体製造業者の精度仕様は0.03A以下の小ささとなりうる。本方法では精度面で仕様に対し不足している。更に、パラメタ相関指数は順に0.958、0.958及び0.846となる。相関に係るスケールは、100%のパラメタ相関を1とし0〜1で表すスケールであるので、0.958や0.846という相関は強いと思しきものであり、使用技術及び複合モデルの潜在的リスクを表している。シミュレーションに加え、単一AOI全浮動法の実験データも、その層に関するロバスト性試験及び精度試験に不合格である。
TaN層構造では、その膜スタックがIL(SiO)/高k(HfO)/TiN/TaNとなる。この構造(図3)では、合計9個のパラメタが同時に浮動する。シミュレーションが示すところによれば、結果の精度が貧弱でパラメタ相関が強く、この層に関する半導体製造業者側要件に合格していない。実験データで示された結果も仕様外であった。
N金属ゲート(NMG)堆積層構造では、その膜スタックの複雑度がHKMGプロセスの最終段階で上昇する。この層構造では数個のプレ層スタックが構成され、それらが格子上での臨界パラメタ計測に影響を及ぼす。本層構造には、TaN(10A)、TaL(40A)及びTiN(8A)という3個の臨界パラメタがある。これら三層は、2個の理由で、同時に計測されねばならない。第1に、TaNに何らかの処置(窪み形成)が施され、ウェハがそれと同じチャンバ内でTaL及びTiNの堆積に供されることとなろう。そのため、ウェハをそのチャンバから出して計量工程に供することができない。それらTaL及びTiNはインサイチューに(その場で)堆積される。故に単一レシピを用いた三層計測が必要となる。シミュレーションデータからは、データの精度が悪くなること及び都合6個の膜スタック間でパラメタが強く相関することが予測される。従って、単一AOI全浮動法では、この層構造の正確な計測を行えない。
データフィードフォワード(DFF)とは、様々なプレ層に関するデータセットを採取し、共通するパラメタ群を後続諸層へと引き渡すことである。例えば、参照によりその全容が繰り入れられる非特許文献2を参照されたい。言い換えれば、DFFとは、単一の工程(プレ層)それぞれで計測し、そのデータを次工程へとフィードフォワードすることである。本方法であれば、相異なる膜スタック間の相関を破壊しうると思われる。例えば、先行する諸工程にてIL厚を計測すること、並びにその計測結果をHK膜計測時にHKモジュールへとフィードフォワードすることが可能であった。同様に、そのHK計測値をゲート仕事関数モジュールへとフィードフォワードすることが、可能であった。本方法では、プレ層IL及びHKが特性面で不変であることを仮定している。しかしながら、昨今の先進的テクノロジノードではこの仮定が有効でない。DFFには不都合にも複数個の難点がある。第1に、そのDFFスループットが半導体製造業者にとっては低過ぎる。フィードフォワードを用いるには、単一工程毎に計測する必要がある。計測すべき膜スタックの総数は例えば14となろう。スループットに関し仕様を予め定めると、全14個の膜スタックについてのロバストな計測を9個以内のレシピで達成せよ、というものになる。言い換えれば、複数個の層を同時に計測しなければならないということであり、DFFでは不可能なことである。
第2に、DFFはNMG(図4)層及びPMG層向けに用いることができない。それらのTaL及びTiNはALDを用いる等してインサイチュー堆積される。更に、その下層たるTaNが、後続のTaL+TiN堆積工程と同じチャンバ内である種の処置に供される。DFFは、こうした具体的プロセスフローと併用することができない。
第3に、DFFでは、諸素材の光学分散が堆積前後で不変であると仮定している。この仮定が不適当になることがある。ポスト層エッチング/堆積中の温度変化によるアニーリング効果、ポスト層堆積による応力及び歪のばらつき、或いはオングストロームスケールの層それぞれの光学特性に対する表面効果及び界面効果の影響等、新規プロセス後のプレ層特性に影響する現象は複数ある。
第4に、プレ層モデルにおける全ての不正確性及び誤差が全てのポスト層へと持ち出されることである。
第5に、早期プレ層段階におけるあらゆるライブラリ境界ヒット(LBH)が、全てのポスト層内計測を邪魔することである。
DFF及び単一AOI全浮動法のいずれでも、データが不正確であり基準方法に対する線形性の仕様を逸していること、精度及び安定性及びマッチングが貧弱であること、臨界及び浮動パラメタの相関が強いこと、計測が低速である(即ちスループットが低い)こと、COOが高いこと、インサイチューALDプロセスを扱えないこと、LBHに係るリスクが高いこと、並びにロバスト性試験に不合格であること、のうち1個又は複数個が原因で、膜オン格子市場向けのソリューションを提供することができない。
米国特許出願公開第2010/0036514号
H. Chouaib and Q. Zhao, "Nanoscale optical critical dimension measurement of a contact hole using deep ultraviolet spectroscopic ellipsometry", J. Vac. Sci. Technol. B 31, 011803 (2013) Mihardja et al., Proc. SPIE 8324, Metrology, Inspection, and Process Control for Microlithography XXVI, 83241H (March 29, 2012) Tzai et al., Proc. SPIE 8324, Metrology, Inspection, and Process Control for Microlithography XXVI, 832420 (March 29, 2012)
そのため、薄膜計測方法及びシステムの改善が必要である。
第1実施形態では方法が提供される。コントローラを用い、ウェハ上にある膜スタックに係る第1有効媒体分散モデルが展開される。その膜スタックは少なくとも四層を有するものとする。その第1有効媒体分散モデルにより、第1層を除きそれらの層全てが代替される。第1層はその膜スタックの頂部層でありそのウェハの逆側にある。その第1層の厚みが、コントローラ及び第1有効媒体分散モデルを用い判別される。コントローラを用い、第1層の厚みに基づき、同膜スタックに係る第2有効媒体分散モデルが展開される。その第2有効媒体分散モデルにより、第1層及び第2層を除きそれらの層全てが代替される。第2層は第1層の隣の層である。その第2層の厚みが、コントローラ及び第2有効媒体分散モデルを用い判別される。コントローラを用い、第1層の厚み及び第2層の厚みに基づき、同膜スタックに係る第3有効媒体分散モデルが展開される。その第3有効媒体分散モデルにより、第1層、第2層及び第3層を除きそれらの層全てが代替される。第3層は第2層の隣の層である。その第3層の厚みが、コントローラ及び第3有効媒体分散モデルを用い判別される。
前記層には、酸化物層、高k層、TiN層、TaN層、TaL層及び第2TiN層が含まれうるが、他の素材又は素材組合せでも構わない。例えば、第1層を第2TiN層、第2層をTaL層、第3層をTaN層とする。また例えば、それら酸化物層、高k層、TiN層、TaN層及びTaL層の合計厚を8nm以下とする。
第1有効媒体分散モデルで酸化物層、高k層、TiN層、TaN層、TaL層及び第2TiN層を代替することができる。第2有効媒体分散モデルで酸化物層、高k層、TiN層及びTaN層を代替することができる。第3有効媒体分散モデルで酸化物層、高k層及びTiN層を代替することができる。
第1有効媒体分散モデル、第2有効媒体分散モデル及び第3有効媒体分散モデルを、それぞれ、異なる光学特性を有するものとすることができる。
本方法は、更に、回転偏光子回転補償器分光エリプソメトリデータ、フルミュラー行列成分データ、回転偏光子分光エリプソメトリデータ、リフレクトメトリ(反射計測法)データ、レーザ駆動分光リフレクトメトリデータ及びX線データの生成のうち、一通り又は複数通りによりその膜スタックを計測するステップを、有するものとすることができる。
第1有効媒体分散モデルを展開するステップには、その膜スタックの光学応答を収集するステップと、モデル構築モジュールを用い、第1層を除く全層を第1有効媒体内で結合させることで、第1スキャタロメトリ(散乱計測法)モデルを構築するステップと、その第1有効媒体に係り分散式を含む分散モデルを生成するステップと、光学応答であり複数通りの入射角でのものを当て嵌め分析モジュールにて受け取るステップと、その当て嵌め分析モジュールを用い、各スペクトル回帰にてその分散モデルを浮動させつつそれら光学応答に対する並列当て嵌めを実行するステップと、それら入射角におけるその分散モデルの一通り又は複数通りの成果を評価することで類似性を判別するステップと、その分散モデルをテーブルに変換するステップと、その分散モデルがそのテーブルとして固定され且つ第1層の厚みが浮動している状態で、第1スキャタロメトリモデルを用い当て嵌め分析を実行するステップと、第1層の厚みを計測するステップと、第1層の厚みを基準と比較するステップと、を含めることができる。その分散モデルを最適化するよう構成されたフィードバックループを、評価後に適用することができる。そのフィードバックループを、その分散モデルのパラメタ群を固定し又は浮動させるよう構成するとよい。その分散モデルの少なくとも1個のパラメタを、その並列当て嵌め中に共通のものとして扱ってもよい。
第2有効媒体分散モデルを展開するステップには、モデル構築モジュールを用い、第1層及び第2層を除く全層を第2有効媒体内で結合させることで、第2スキャタロメトリモデルを構築するステップと、第1層の厚みをその第2スキャタロメトリモデルに送り込むステップと、その第2有効媒体に係り分散式を含む分散モデルを生成するステップと、光学応答であり複数通りの入射角でのものを当て嵌め分析モジュールにて受け取るステップと、その当て嵌め分析モジュールを用い、各スペクトル回帰にてその分散モデルを浮動させつつそれら光学応答に対する並列当て嵌めを実行するステップと、それら入射角におけるその分散モデルの一通り又は複数通りの成果を評価することで類似性を判別するステップと、その分散モデルをテーブルに変換するステップと、その分散モデルが固定されている状態で第2スキャタロメトリモデルを用い当て嵌め分析を実行するステップと、を含めることができる。その分散モデルを最適化するよう構成されたフィードバックループを、評価後に適用することができる。そのフィードバックループを、その分散モデルのパラメタ群を固定し又は浮動させるよう構成するとよい。その分散モデルの少なくとも1個のパラメタが並列当て嵌め中に共通のものとして扱われるのでもよいし、第1層の厚みが並列当て嵌め中に共通のものとして扱われるのでもよい。
第3有効媒体分散モデルを展開するステップには、モデル構築モジュールを用い、第1層、第2層及び第3層を除く全層を第3有効媒体内で結合させることで、第3スキャタロメトリモデルを構築するステップと、第1層の厚み及び第2層の厚みをその第3スキャタロメトリモデルに送り込むステップと、その第3有効媒体に係り分散式を含む分散モデルを生成するステップと、光学応答であり複数通りの入射角でのものを当て嵌め分析モジュールにて受け取るステップと、その当て嵌め分析モジュールを用い、各スペクトル回帰にてその分散モデルを浮動させつつそれら光学応答に対する並列当て嵌めを実行するステップと、それら入射角におけるその分散モデルの一通り又は複数通りの成果を評価することで類似性を判別するステップと、その分散モデルをテーブルに変換するステップと、その分散モデルが固定されている状態で第3スキャタロメトリモデルを用い当て嵌め分析を実行するステップと、を含めることができる。その分散モデルを最適化するよう構成されたフィードバックループを、評価後に適用することができる。そのフィードバックループを、その分散モデルのパラメタ群を固定し又は浮動させるよう構成するとよい。第1層の厚み、第2層の厚み及び第3層の厚みをレポートすることができる。その分散モデルの少なくとも1個のパラメタを並列当て嵌め中に共通のものとして扱うのでもよいし、第1層の厚みを並列当て嵌め中に共通のものとして扱うのでもよい。
その膜スタックは1D膜スタック、2D膜オン格子又は3D膜オン格子とされうる。
第1有効媒体依拠スキャタロメトリモデル、第2有効媒体依拠スキャタロメトリモデル及び第3有効媒体依拠スキャタロメトリモデルを仮想ターゲットと見なすことができる。当て嵌め分析を並列実行することができる。
上掲の少なくとも四層は、それぞれ、SiO、HfO、HfSiON、窒素濃縮を伴うHfON、TiN、TaN、TaAlC、TiAlC、W、Co、WC及びTaOのうち一つとされうる。例えば、それら四層のうち少なくとも一層を、HfON、TiN及びTaNのうち一つとし、本方法にて更に窒素濃度を判別する。
第1有効媒体分散モデル、第2有効媒体分散モデル及び第3有効媒体分散モデルのうち一つを、素材の光学特性を光子エネルギ又は波長の関数としてモデル化する分散式としてもよい。
それを以てコンピュータ可読プログラムが体現された非一時的コンピュータ可読格納媒体を備えるコンピュータプログラム製品を、用いることができる。そのコンピュータ可読プログラムを、第1実施形態の様々な類型又は例のうちいずれかの方法を実行するよう、構成することができる。
第2実施形態ではシステムが提供される。本システムは、ウェハを計測するよう構成された計測システムと、コントローラとを有する。そのコントローラは、プロセッサと、そのプロセッサと電子通信する電子データ格納ユニットとを有する。コントローラはその計測システムと電子通信する。そのプロセッサは、1個又は複数個のソフトウェアモジュールを実行するよう構成される。当該1個又は複数個のソフトウェアモジュールは、ウェハ上にある膜スタックに係る第1有効媒体分散モデルを展開し、その第1有効媒体分散モデルを用い第1層の厚みを判別するよう、構成される。その膜スタックは少なくとも四層を有するものとする。その第1有効媒体分散モデルにより、第1層を除く全層が代替される。第1層はその膜スタックの頂部層でありそのウェハの逆側にある。
上掲のソフトウェアモジュールは、更に、第1層の厚みに基づきその膜スタックに係る第2有効媒体分散モデルを展開し、その第2有効媒体分散モデルを用い第2層の厚みを判別するよう、構成することができる。その第2有効媒体分散モデルにより、第1層及び第2層を除く全層が代替される。第2層は第1層の隣の層である。
上掲のソフトウェアモジュールは、更に、第1層の厚み及び第2層の厚みに基づきその膜スタックに係る第3有効媒体分散モデルを展開し、その第3有効媒体分散モデルを用い第3層の厚みを判別するよう、構成することができる。その第3有効媒体分散モデルにより、第1層、第2層及び第3層を除く全層が代替される。第3層は第2層の隣の層である。
計測システムによって、回転偏光子回転補償器分光エリプソメトリデータ、フルミュラー行列成分データ、回転偏光子分光エリプソメトリデータ、リフレクトメトリデータ、レーザ駆動分光リフレクトメトリデータ及びX線データのうち一つを、提供することができる。
本件開示の性質及び目的のより遺漏なき理解のため、以下の詳細記述を以下の如き添付図面と併せ参照されたい。
素材分散を内包し9自由度を有する膜オン2D格子構造の(a)等角図、(b)前面図及び(c)側面図である。 (a)Cap層膜スタック及びその(b)膜オン格子(FOG)Capモデルであり、その単一AOI全浮動法シミュレーション結果が貧弱精度(nm)な結果及び強いパラメタ相関を示すものを描いた図である。 (a)TaN層膜スタック及びその(b)FOG TaNモデルであり、単一AOI全浮動法シミュレーションに供されたものを描いた図である。 (a)NMG堆積膜スタック及びその(b)FOG NMGモデルであり、単一AOI全浮動法シミュレーションに供されたものを描いた図である。 旧方法を用いたシミュレーション精度と半導体製造業者仕様との比較図である。 「同構造データフィードフォワード」(SSDF)概念と呼ばれ、相関の破壊、データ正確性及びレシピロバスト性の向上に用いられるモデル化方法であり、相異なる九層に成功裏に用いられたものについての説明図である。 本件開示に係る方法のフローチャートである。 TEM計測に比した有効媒体(EM)依拠法SSDFスキャタロメトリ(OCD)の結果を示す図である。 SSDFでのGRR及びロバスト性の結果を全浮動法と対比する図である。 (a)TiN Cap膜スタック、(b)EM層(IL+HK)の描像及びその(c)Cap FOGモデルを示す図である。 (a)IL(SiO)及びHK(HfO)の屈折率N、(b)IL(SiO)及びHK(HfO)の消衰係数K、(c)走査AOIスペクトルで以て計測された実験EM屈折率N、並びに(d)走査AOIスペクトルで以て計測された実験EM消衰係数kを示す図である。 (a)EM依拠法スキャタロメトリ(OCD)の結果とTEM計測の比較、並びに(b)EM法を用いたロバスト性試験の結果とDFFの比較であって、EM,DFF双方が0.5A TiNの例示的半導体製造業者仕様内にあること、そのプレ層HK厚が変化する一方で頂部TiNがPOR値に保たれること、並びに0.5A未満の範囲内で安定なTiNがEM法により計測されることを示す図である。 (a)TaN膜スタック、(b)EMモデル1の描像即ちEM1層(IL+HK+TiN)、(c)モデル1からモデル2即ちEM2層(IL+HK)へのSDFF、並びにその(d)TaN FOGモデルを示す図である。 (a)IL(SiO)、HK(HfO)及びTiNの屈折率N、(b)IL(SiO)、HK(HfO)及びTiNの消衰係数K、(c)走査AOIスペクトルで以て計測された実験EM1屈折率N、並びに(d)走査AOIスペクトルで以て計測された実験EM1消衰係数kを示す図である。 EM依拠法SSDFスキャタロメトリ(OCD)の結果とTEM計測との比較を、図13のTaN層構造のうち(a)TaN及び(b)TiNに関し示す図である。 SSDFでのGRR及びロバスト性の結果と全浮動法のそれとの比較図である。 本件開示に係る方法の実施形態を描いたフローチャートである。 本件開示に係るシステムのブロック図である。
特許請求の範囲記載の主題を特定の諸実施形態によって記述するけれども、本願中で説明される長所及び特徴を皆は提供しない諸実施形態を含め、他の諸実施形態もまた本件開示の技術的範囲内とする。様々な構造的、論理的、処理ステップ的及び電子的改変を、本件開示の技術的範囲から離隔することなく施すことができる。従って、本件開示の技術的範囲は、専ら、別項の特許請求の範囲への参照により定まるものとする。
本願開示の諸実施形態では薄膜スタックに関わる多数の難点、例えば半導体内の膜オン格子及びバンドギャップオン格子のそれが克服される。諸実施形態の方法によれば、光学的限界寸法及び膜における広範な問題を解決することができる。格子上の高k金属ゲートその他の素材の薄膜計測であり、浮動パラメタ相関を減らせるものが開示されている。それらパラメタの感度を、複数の有効媒体の光学特性を正確に計測すること、同じ層内で複数個のスキャタロメトリモデルを用いること、それら複数個のモデル間でデータをフィードフォワードすること、或いはモデリングに先立ち入射角を走査しつつ光信号を収集する装置によって、高めることができる。
とりわけ、本件開示の諸技術によれば、高k金属ゲート(HKMG)薄膜オン格子及びバンドギャップオン格子を計測することができる。膜オン格子市場が成長するにつれ寸法が小さくなっている。2D及び3D構造は一次元膜スタックよりも複雑である。膜オン格子はより多くの自由度を、従って潜在的な不安定性、相関及びパラメタ相互作用をそのモデルに付加する。こうした薄手強相関素材であり、実デバイスの幾何に似た3Dプロキシ構造上にあるものを計測することには、値打がある。本願開示の諸技術によれば、迅速、正確且つ精密であり、半導体製造業者にとり魅力的な計測を行うことができる。
諸実施形態の開示技術、システム及びアルゴリズムによって、複数の技術的難題が克服される。第1に、どの計測対象素材(SiO、HfO、TiN、TaN、TiAlN、TiAlC等々)も通常は低コントラスト素材であり、同様の光学応答を呈する。SiO/HfO及びTiN/TaN/TiAlには、特に、似通った光学応答を呈する傾向がある。これにより膜厚が強く相関することとなりうる。
第2に、どの膜も格子上で計測されるということは、少なくとも5通りの付加的な自由度をそのモデルに付加する必要があることを意味している(図1参照)。
第3に、それらの膜は薄くその半導体製造業者仕様が狭くなりうる。精度3σに関する典型的要件は0.03Aである。その精度には一部のマイナーなパラメタ相関が影響しうる。FOG精度仕様がOCD精度に比し非常に小さくなりかねない。従って、FOG精度は非常に難題である。
第4に、典型的なOCD正確性要件がサブナノメートルである一方、膜オン格子正確性のレベルはサブオングストロームである。FOG正確性仕様はタイトになる傾向がある。実験計画(DOE)範囲が数オングストロームになるかもしれない。秀逸な線形性で以てDOEを良好に追跡するのに、そのデータが必要になるかもしれない。
第5に、計量結果に格別なロバスト性、例えばプレ層DOEが必要とされるかもしれない。
第6に、計量結果が半導体製造業者向けの格別なスループット要件と合致することも、必要とされるかもしれない。複数個の膜が層毎に計測されるかもしれない。
第7に、ウェハ域が合理的且つ期待通りであることが、必要とされるかもしれない。ウェハ内界面層(IL)ばらつきは0.7A未満の小ささとなりうる。典型的なHfOウェハ域は1.2A未満である。HfOに係るウェハ域が1.2A超の計量ソリューションには疑問があり、正確性又はパラメタ相関の欠如のため拒絶されかねない。
第8に、どのデータにも、ウェハ内で且つウェハ期待値に合致していることが必要とされるかもしれない。
第9に、先に論じた理由に鑑みプレ層データフィードフォワードは用いられない。
本願開示の諸技術によれば、FOG臨界パラメタの精度を、従来技術よりも10倍良好にすることができる。あらゆる臨界及び浮動パラメタ間の相関を破壊して、ロバスト性の改善につなげることができる。計測速度(1時間当たりウェハ枚数)が改善される。複数個の相連なる膜を単一計測の使用で計測することができる。これらの技術でインサイチューALDプロセスを取り扱うことができる。相異なる膜スタック間のコントラストが改善される。ロバストなモデルを構築することで、ライブラリ境界ヒット(LBH)のリスクを減らすことができる。
上掲の技術的難題に対処すべく複数個のモデルが一層内に存している。各モデルでは有効媒体法が用いられ、それにより2個以上の膜スタックが1個に結合される。データをあるモデルから別のモデルへとフィードフォワードしてより多数の膜スタックを計測することができる。そのフィードフォワードは同層内で実行される。そうした層内データフィードフォワードのことを、同構造データフィードフォワード(SSDF)と呼ぶことができる。
本件開示の技術を数個のFOG層に対し適用するのに成功した。本概念を明瞭にするため、図4のNMG堆積層を、成功用例の一例として挙げることにする。模式図たる図6にSSDFの概念を示す。NMG層に関しては、どのようなプレ層フィードフォワード戦略も用いることなく、一層内で正確に、3個の臨界パラメタが計測されるべきである。3個の臨界パラメタを送給するには、相異なる三種類の有効媒体分散を用いる3個のモデルを展開すればよい。
図17には実施形態の方法100が描かれている。本方法100の諸ステップのうち一部又は全部をコントローラ上で実行することができる。本方法100はウェハ上の膜スタックに対して用いることができる。その膜スタック、例えば1D又は2D膜スタック或いは2D又は3D膜オン格子は、少なくとも四層を有するものとすることができる。その膜オン格子は、どのような素材とも、或いはその素材の合金又は複合材ともすることができる。例えば、それらの層に酸化物層、高k層、TiN層、TaN層、TaL層及び第2TiN層を含めることができる。それら酸化物層、高k層、TiN層、TaN層及びTaL層の合計厚は8nm以下としうるが、本技術はより大きな厚みでも用いることができる。一例に係る第1層は第2TiN層、第2層はTaL層、第3層はTaN層である。別例に係る上掲の少なくとも四層は、それぞれ、SiO、HfO、HfSiON、窒素濃縮を伴うHfON、TiN、TaN、TaAlC、TiAlC、W、Co、WC及びTaOのうち一つである。
101では、その膜スタックに関し第1有効媒体分散モデルが展開される。その第1有効媒体分散モデルにより、第1層を除く全層を代替することができる。第1層はその膜スタックの頂部層であり、ウェハとは逆側にある。
102では、その第1有効媒体分散モデルを用い第1層の厚みが判別される。
103では、第1層の厚みに基づき、その膜スタックに関し第2有効媒体分散モデルが展開される。その第2有効媒体分散モデルにより、第1層及び第2層を除く全層が代替される。第2層は第1層の隣の層である。
104では、その第2有効媒体分散モデルを用い第2層の厚みが判別される。
105では、第1層の厚み及び第2層の厚みに基づき、その膜スタックに関し第3有効媒体分散モデルが展開される。その第3有効媒体分散モデルにより、第1層、第2層及び第3層を除く全層が代替される。第3層は第2層の隣の層である。
106では、その第3有効媒体分散モデルを用い第3層の厚みが判別される。
方法100では、第1有効媒体分散モデルにより酸化物層、高k層、TiN層、TaN層、TaL層及び第2TiN層を代替することができる。第2有効媒体分散モデルにより酸化物層、高k層、TiN層及びTaN層を代替することができる。第3有効媒体分散モデルにより酸化物層、高k層及びTiN層を代替することができる。
その第1有効媒体分散モデル、第2有効媒体分散モデル及び第3有効媒体分散モデルは、それぞれ、異なる光学特性を有するものとすることができる。
本方法100には、更に、回転偏光子回転補償器分光エリプソメトリデータ、フルミュラー行列成分データ、回転偏光子分光エリプソメトリデータ、リフレクトメトリデータ、レーザ駆動分光リフレクトメトリデータ及び/又はX線データの生成のうち一通り又は複数通りによりその膜スタックを計測するステップを、含めることができる。
第1有効媒体分散モデル、第2有効媒体分散モデル及び第3有効媒体分散モデルは仮想ターゲットと見なすことができる。これら3個の有効媒体モデルを用い、3ターゲット計測モードの態で当て嵌め分析を並列実行することができる。まさにこの技術のことをマルチターゲット計測(MTM)と呼ぶ。例えば、参照によりその全容が繰り入れられる非特許文献3を参照されたい。この技術には、試料を計測することによって、回転偏光子回転補償器分光エリプソメトリデータ、フルミュラー行列成分データ、回転偏光子分光エリプソメトリデータ、リフレクトメトリデータ、レーザ駆動分光リフレクトメトリデータ及び/又はX線データの生成を、含めることができる。モデル構築兼分析エンジンであり、予め定義されている構築ブロック群と併せ幾何モデル構築モジュールを有するものによって、その試料の構造のモデルを生成することができる。当て嵌め分析モジュールは、その光学応答を受け取れるように構成すればよい。動作中には、第1層の厚みその他、何らかのパラメタを共通のものとして扱うことができ、またそのマルチターゲットモジュールを用いた当て嵌め分析を実行して第1有効媒体及び/又は第2有効媒体及び/又は第3有効媒体を最適化することができる。
第1有効媒体分散モデル、第2有効媒体分散モデル及び第3有効媒体分散モデルのうち一つは、素材の諸光学特性を光子エネルギ又は波長の関数としてモデル化する分散式とする。例えば、第1有効媒体分散モデル、第2有効媒体分散モデル及び第3有効媒体分散モデルのうち一つを、タウク・ローレンツモデル、コーシーモデル、BEMAモデル、コディ・ローレンツモデル、コディ・ローレンツ連続モデル又はNKオフセットモデルとすることができる。これを高調波発振子への付加又は代替としてもよく、そうしたものもまたローレンツモデルと呼ぶことができる。
当該四層のうち少なくとも一層を、HfON、TiN及びTaNのうち一つとすることができる。本方法100には、更に、窒素濃度を例えば有効媒体分散から判別するステップを含めることができる。
方法100では3個の有効媒体分散モデルが開示されているが、ある例によれば第1有効媒体分散モデルのみが展開される。これを用いることで第1層の厚みを判別することができる。別例によれば、第1有効媒体分散モデル及び第2有効媒体分散モデルのみが展開される。それらを用いることで、第1層及び第2層の厚みを判別することができる。更に、3個超の有効媒体分散モデルを生成することもできる。例えば、有効媒体分散モデルをほぼ全ての層に関して展開してもよい。その例は4個、5個、更には10個の相異なる有効媒体分散モデルであり、デバイスデザインによって左右されうる。
ある例によれば、第1有効媒体分散モデルを展開するステップに、膜スタックの光学応答を収集するステップを含めることができる。その光スポットを静止させつつ入射角(AOI)を走査することで、2D又は3D膜オン格子構造上における一連の光学応答を収集することができる。
第1スキャタロメトリモデル(「モデル1」)が、モデル構築モジュールを用い、第1層を除く全層を第1有効媒体(「EM1」)内で結合させることで構築される。その第1スキャタロメトリモデルには二媒体、即ち第1有効媒体及び最終の膜オン格子(「膜1」)を含めることができる。スキャタロメトリモデル、例えば図2(b)及び図3(b)に見られるそれには、少なくとも1個の有効媒体分散モデル又はテーブルを含めることができる。
分散式を含む分散モデルがその第1有効媒体に関し生成される。その分散式を、第1スキャタロメトリモデルの態にすることができる。分散式は、これに限られるものではないが、本願開示の分散モデルのいずれにもすることができる。その分散式は、二種類以上の素材を一つに混合させうるものであり、当て嵌め分析モジュール内で変動しうる。
当て嵌め分析モジュールでは、複数通りの入射角での光学応答が受け取られる。その当て嵌め分析モジュールを用い、各スペクトル回帰にて分散モデルを浮動させつつそれら光学応答に対し並列当て嵌めが実行される。その分散モデルの一通り又は複数通りの成果がそれら入射角にて評価され、それにより類似性が判別される。これは、手動的に実行すること、当て嵌め分析モジュールにより実行すること、或いはその他の技術を用い実行することができる。例えば、複数回の並列当て嵌めの成果について合致性が判別される。第1有効媒体分散が類似していない場合には、評価後にその分散モデルを最適化するよう構成されたフィードバックループを適用することができる。そのフィードバックループは、その分散モデルのパラメタ群を固定し又は浮動させるように構成することができる。第1有効媒体モデルが最適化された後は、並列当て嵌めを再実行することができ、且つ成果当て嵌めの合致性を判別することができる。第1有効媒体分散がなおも合致していない場合は、そのフィードバックループを再実行することができる。
別々のAOIでの計測に由来する浮動分散パラメタをマッチさせる必要があろう。それにより、更なるフィードバックループが必要でないことを指し示すことができる。それら分散パラメタはそっくりでなくてもよいが、もたらされる分散が正確性仕様内、システムのノイズレベル内、或いはそのシステム対システムマッチング仕様内に入っていた方がよい。本件開示の方法の諸実施形態が自動化されている場合、仕様やフィードバックループ終結時点をユーザが入力及び/又は定義することができる。
その分散モデルをテーブルに変換することができる。この変換は、その第1有効媒体分散が類似するものになった後に実行すればよい。その後は、結合された膜(第1層を含まない)の第1有効媒体分散が正確であると見なせばよい。
当て嵌め分析は、その分散モデルがそのテーブルとして固定され且つ第1層の厚みが浮動している状態で、第1スキャタロメトリモデルを用い実行することができる。その第1層の厚みが計測される。第1層の厚みを基準と比較することで、その第1スキャタロメトリモデルを検証することができる。
その分散モデルの少なくとも1個のパラメタを、並列当て嵌め中に、共通のものとして扱うことができる。
第2有効媒体分散モデルを展開するステップには、モデル構築モジュールを用い第1層及び第2層を除く全層を第2有効媒体(「EM2」)内で結合させることで、第2スキャタロメトリモデル(「モデル2」)を構築するステップを、含めることができる。その第2スキャタロメトリモデルには三媒体、即ち第2有効媒体及び最後尾2個の膜オン格子(膜1及び「膜2」)を含めることができる。
第1層の厚みをその第2スキャタロメトリモデルに送り込むことができる。両モデルは同じ構造の別表現であるので、これをSSDFと呼ぶことができる。
分散式を含む分散モデルがその第2有効媒体に関し生成される。その分散式を、第2スキャタロメトリモデルの態にすることができる。
当て嵌め分析モジュールでは、複数通りの入射角での光学応答が受け取られる。その当て嵌め分析モジュールを用い、各スペクトル回帰にて分散モデルを浮動させつつそれら光学応答に対し並列当て嵌めが実行される。その分散モデルの一通り又は複数通りの成果がそれら入射角にて評価され、それにより類似性が判別される。これは、手動的に実行すること、当て嵌め分析モジュールにより実行すること、或いはその他の技術を用い実行することができる。例えば、複数回の並列当て嵌めの成果について合致性が判別される。第2有効媒体分散が類似していない場合には、評価後にその分散モデルを最適化するよう構成されたフィードバックループを適用することができる。そのフィードバックループは、その分散モデルのパラメタ群を固定し又は浮動させるように構成することができる。第2有効媒体モデルが最適化された後は、並列当て嵌めを再実行することができ、且つ成果当て嵌めの合致性を判別することができる。第2有効媒体分散がなおも合致していない場合は、そのフィードバックループを再実行することができる。
その分散モデルをテーブルに変換することができる。この変換は、その第2有効媒体分散が類似するものになった後に実行すればよい。その後は、結合された膜(第1又は第2層を含まない)の第2有効媒体分散が正確であると見なせばよい。
当て嵌め分析は、その分散モデルがテーブルとして固定されている状態で、第2スキャタロメトリモデルを用い実行することができる。その第2層の厚みが計測される。
その分散モデルの少なくとも1個のパラメタ又は第1層の厚みを、並列当て嵌め中に、共通のものとして扱うことができる。
第3有効媒体分散モデルを展開するステップには、モデル構築モジュールを用い第1層、第2層及び第3層を除く全層を第3有効媒体(「EM3」)内で結合させることで、第3スキャタロメトリモデル(「モデル3」)を構築するステップを、含めることができる。その第3スキャタロメトリモデルには四媒体、即ち第3有効媒体及び最後尾3個の膜オン格子(膜1、膜2及び「膜3」)を含めることができる。
第1層及び第2層の厚みをその第3スキャタロメトリモデルに送り込むことができる。例えば、第1層の厚みを第1スキャタロメトリモデルから第2スキャタロメトリモデルに送り込むことができ、第2層の厚みを第3スキャタロメトリモデルに送り込むことができる。これら3個のモデルは同じ構造の別表現であるので、これをSSDFと呼ぶことができる。
分散式を含む分散モデルがその第3有効媒体に関し生成される。その分散式を、第3スキャタロメトリモデルの態にすることができる。
当て嵌め分析モジュールでは、複数通りの入射角での光学応答が受け取られる。その当て嵌め分析モジュールを用い、各スペクトル回帰にて分散モデルを浮動させつつそれら光学応答に対し並列当て嵌めが実行される。その分散モデルの一通り又は複数通りの成果がそれら入射角にて評価され、それにより類似性が判別される。これは、手動的に実行すること、当て嵌め分析モジュールにより実行すること、或いはその他の技術を用い実行することができる。例えば、複数回の並列当て嵌めの成果について合致性が判別される。第3有効媒体分散が類似していない場合には、評価後にその分散モデルを最適化するよう構成されたフィードバックループを適用することができる。そのフィードバックループは、その分散モデルのパラメタ群を固定し又は浮動させるよう構成することができる。第3有効媒体モデルが最適化された後は、並列当て嵌めを再実行することができ、且つ成果当て嵌めの合致性を判別することができる。第3有効媒体分散がなおも合致していない場合は、そのフィードバックループを再実行することができる。
その分散モデルをテーブルに変換することができる。この変換は、その第3有効媒体分散が類似するものになった後に実行すればよい。その後は、結合された膜(第1、第2又は第3層を含まない)の第3有効媒体分散が正確であると見なせばよい。
当て嵌め分析は、その分散モデルがテーブルとして固定されている状態で、第3スキャタロメトリモデルを用い実行することができる。その第3層の厚みが計測される。第1層、第2層及び第3層の厚みをレポートすることができる。
その分散モデルの少なくとも1個のパラメタ又は第1層の厚みを、並列当て嵌め中に、共通のものとして扱うことができる。
その当て嵌め分析モジュールの一部たる本願開示の嵌め分析(例.逆問題回帰)は、ニューラルネットワーク依拠ライブラリを用い、或いはその他の技術を用いて、実行することができる。
それら有効媒体分散のいずれも、その膜オン格子のバンドギャップオン格子を計測するのに用いることができる。
有効媒体理論は、サブ波長レジームでのあらゆる光/物質相互作用向けに用いることができる。IL、HK、TiN、TaN及びTaLの合計厚が約8nmでありえ、典型的なスキャタロメトリスペクトル波長域が150nm〜2000nmでありうるので、深サブ波長レジーム近似が有効となりうる。この場合、マクスウェルの方程式小深度限界内で解くことができる。第1有効媒体(並びに第2及び第3有効媒体)の実効特性は、その有効媒体を形成する素材全て、即ちIL、HK、TiN、TaN、TaL等々の光学特性[n,k]及び厚みに依存する。図6のモデルにより生成されるデータは、それら有効媒体光学指標の正確性に依存しうる。図6に記した革新的方法に加え、それら有効媒体光学指標を判別するのに用いられる方法の一部ついて、以下記述する;これはその装置に依存しうるものである。
フローチャートたる図7では本件開示のもう一つの実施形態が概括されている。その冒頭ステップは、入射角(AOI)を走査することで一連のRPRCを獲得するものである(1)。AOIとは、ウェハの平表面に対し垂直なz軸を基準とする光ビームの方向である。その上で、図6に記した初期第1有効媒体分散モデルを構築することができる(2)。初期モデルとは、まだ最適化されていないモデルのことである。この場合の初期第1有効媒体分散モデルには、不正確であると思しくまだ最適化されていない第1有効媒体分散が含まれている。その第1有効媒体分散を最適化するため、分散モデルが生成されそのパラメタ群に変化が施される(3)。ここに、有効媒体分散を展開するのに用いられる分散モデルは、ローレンツモデル又は高調波発振子(HO)モデルと呼ばれるものにするとよい。このモデルでは、入射光(例.電磁波)下にある素材内原子に束縛された電子の振動が、高調波発振子のアンサンブルであると見なされる。その素材の誘電率の表現は以下のようになりうる。
上掲の等式中、nは均一背景指数(デフォルト値=1)、EはE=1240/λにより波長λ(単位:nm)の関数として表される電界エネルギ(単位:eV)、Hは第s発振子(後述)の寄与分、νは第s発振子に係る局所電界補正因子である。
νは、金属では0に等しく、大抵の半導体では0に近く、理想的誘電体では0.333に等しい。
は次の等式によって与えられる。
上掲の等式中、Ryはリュードベリ定数(Ry=13.6058eV)、rはボーア半径(r=0.0529177nm)、N(又はNosc)は第s発振子の数密度、単位はnm−3であり、その発振子の相対的重要度を表すもの、Ens(又はE)はその共鳴エネルギ又は臨界点であり単位はeV(Eの最低値はしばしばバンドギャップエネルギと呼ばれる)、Egs(又はEg)はその減衰定数エネルギであり単位はeV、そしてΦ(即ちファイ)はその(相対)位相(単位:ラジアン)である。
このローレンツHOモデルを用いることで、数個のピークを伴うそれを初め、素材の光学特性を記述することができる。それらの素材には、Si、Ge、SiGe等といった半導体素材、更にはW、Cu、Co、Ti、TiN、TaN等々といった金属が含まれうる。理解し得るように、ローレンツモデル内の各発振子は5個の未知パラメタ、即ちNosc、En、Eg、Φ及びνを内包している。典型的な半導体素材及び金属向け分散モデルでは、190nm〜850nmの波長域に属する4〜8個の発振子が必要とされる。従って、そうしたHO依拠分散モデルにおける潜在的変数の個数は20(5×4)〜40(5×8)となる。この高数値な自由度(例.20〜40)及び基準の欠如が、有効媒体の計測における最困難部分であろう。それにより素材のパラメタ相関がもたらされ、解が複数個になることにつながりうる。その相関を減らし有効媒体分散の正確性を向上させるには、幾つかの低感度パラメタを固定すればよい。浮動か固定かというこの方法のことを、HOモデルの最適化と呼ぶことができる。うまく実行されなかった場合、誤った有効媒体分散が抽出されるかもしれない。正しい有効媒体分散の計測は、単一素材分散の計測よりも複雑となりうる。その有効媒体分散が二種類以上の素材の分散及びそれらの厚みに依存することがあるので、有効媒体分散特性が光学応答からいつ抽出されるのかに注意した方がよい。AOIを走査することは、正確な有効媒体分散を導出するのに役立つ。正確で信頼性のある有効媒体分散を提供するのに役立ちうる策の一つは、AOI独立にすることである。AOIを走査することで、等方性有効媒体であると確認することができる。等方性有効媒体分散は有益たりうる。この条件を用い、各AOIスペクトルから計測された有効媒体分散それぞれを比較することで、正確性に関しその有効媒体をチェックすることができる。回帰はそれらAOI1(4)、AOI2(5)、…AOIn(5)に対し実行される。n通りの有効媒体分散をN回の回帰でもたらすことができる。その後は、それらn通りの有効媒体分散が比較される(6)。それら有効媒体分散が合致していないのであれば(7)、それは、そのHOモデルが十分に最適化されておらず、更なる最適化によりパラメタ相関を減らす必要があることを、意味している(8)。フィードバックループを生成することができる。複数回に亘る反復を実行することができる。ひとたび、相異なるAOIに由来する第1有効媒体分散が合致したならば、その第1有効媒体分散は正確であると見なされる。こうして、第1有効媒体分散モデルを整えた上で、その頂部膜(この具体例ではTiN)の厚みを計測することができる(9)。
初期第2有効媒体分散モデルが構築される(10)。その第2有効媒体分散を最適化すべく、分散モデルが生成されそのパラメタ群に変化が施される(11)。その上で、第1有効媒体分散モデルからのTiN厚が第2有効媒体分散モデルへとフィードフォワードされる(12)。第1有効媒体分散モデルからのTiN厚を用いることは、第2有効媒体分散モデルにおける相関を減らすことでその第2有効媒体を最適化し、第1有効媒体分散モデルとの合致性を確保するのに役立ちうる。第1有効媒体を抽出するのに用いられた手順がその第2有効媒体に関し反復される。回帰がAOI1(13)、AOI2(14)、…AOIn(15)に対し実行される。n通りの第2有効媒体分散がN回の回帰でもたらされる。その上でそれらn通りの第2有効媒体分散が比較される(16)。それら第2有効媒体分散が合致していないのであれば(17)、そのHOモデルは十分に最適化されておらず、更なる最適化によりそのパラメタ相関を減らす必要があろう(18)。フィードバックループを生成することができる。複数回に亘る反復を実行することができる。ひとたび、相異なるAOIに由来する第2有効媒体分散が合致したならば、その第2有効媒体分散は正確であると見なされる。こうして第2有効媒体分散モデルが整ったら回帰を通じその第2膜(この具体例ではTaL)が計測される。
初期第3有効媒体分散モデルが構築される(19)。その第3有効媒体分散を最適化すべく、分散モデルが生成されそのパラメタ群に変化が施される(20)。その上で、第1有効媒体分散モデルからのTiN厚と、第2有効媒体分散モデルからのTaLとが、第3有効媒体分散モデルへとフィードフォワードされる(21)。第1及び第2有効媒体分散モデルからのTiN及びTaL厚を用いることは、第3有効媒体分散モデルにおける相関を減らしてその第3有効媒体を最適化し、第1及び第2有効媒体分散モデルとの合致性を確保するのに役立ちうる。第1有効媒体及び第2有効媒体を抽出するのに用いられたのと同じ手順がその第3有効媒体に関して反復される。回帰がAOI1(22)、AOI2(23)、…AOIn(24)に対し実行される。N通りの第3有効媒体分散がN回の回帰でもたらされる。その上でそれらN通りの第3有効媒体分散が比較される(25)。それら第3有効媒体分散が合致していない場合(17)、そのHOモデルは十分に最適化されておらず、更なる最適化によりそのパラメタ相関を減らす必要があろう(18)。フィードバックループを生成することができる。複数回に亘る反復を実行することができる。ひとたび、相異なるAOIに由来する第3有効媒体分散が合致したならば、その第3有効媒体分散が正確であると見なされる。こうして第3有効媒体分散モデルが整い、回帰を通じその頂部TaN厚が計測される。
3個のモデルが開示されているが、第1有効媒体分散モデルのみを決めるようにすることも可能である。また別の例によれば、第1有効媒体分散モデル及び第3有効媒体分散モデルのみが決定される。即ち、それら有効媒体分散モデルのうち1個又は複数個を決めるステップをスキップすることができる。更に、2又は3個超の有効媒体分散モデルを用いることができる。更に、様々な層に関し具体的素材が開示されているが、本技術は他素材にも適用することができる。
図18は実施形態に係るシステム300のブロック図である。本システム300は、ウェハ307その他のワークピースを保持するよう構成されたチャック306を有している。チャック306は、一軸、二軸又は三軸にて回動し又は運動するよう構成することができる。チャック306は、例えばZ軸周りで旋回するよう構成することもできる。
本システム300は、ウェハ307上にある表面、デバイス、フィーチャ又は層のうち一部を計測するよう構成された、計測システム301をも有している。計測システム301により、光ビーム、電子ビーム、広帯域プラズマを生成し又はその他の技術を用いることで、そのウェハ307の表面を計測することができる。一例に係る計測システム301はレーザを有するものである。別例に係るシステム300は広帯域プラズマ検査ツールである。計測システム301により、ウェハ307上のダイの像を提供することができ、或いはウェハ307上のダイの画像を形成するのに用いられる情報を提供することができる。
具体的には、本システム300又は計測システム301を、回転偏光子回転補償器分光エリプソメトリデータ、フルミュラー行列成分データ、回転偏光子分光エリプソメトリデータ、リフレクトメトリデータ、レーザ駆動分光リフレクトメトリデータ及びX線データのうち、一種類又は複数種類を提供するよう、構成することができる。
本システム300はコントローラ302と通信する。例えば、そのコントローラ302により、計測システム301その他、本システム300の構成部材と通信することができる。コントローラ302は、プロセッサ303と、そのプロセッサ303と電子通信する電子データ格納ユニット304と、プロセッサ303と電子通信する通信ポート305とを、有するものとすることができる。察せられる通り、コントローラ302をハードウェア、ソフトウェア及びファームウェアのどのような組合せで実現してもよい。また、本願記載のその諸機能を、単一ユニットにより実行してもよいし、相異なる構成部材間で分かち合ってもよいし、またそれら構成部材それぞれをやはりハードウェア、ソフトウェア及びファームウェアのどのような組合せで実現してもよい。様々な方法及び機能をコントローラ302に実行・実現させるためのプログラムコード又は命令はコントローラ可読格納媒体内に、例えば電子データ格納ユニット304内メモリ、コントローラ302内メモリ、コントローラ302外メモリ又はそれらの組合せに格納すればよい。
コントローラ302は、1個又は複数個のプロセッサ303と、1個又は複数個の電子データ格納ユニット304とを、有するものとすることができる。各プロセッサ303を、電子データ格納ユニット304のうち1個又は複数個と電子通信させるとよい。ある実施形態では当該1個又は複数個のプロセッサ303が可通信結合される。その場合には、当該1個又は複数個のプロセッサ303により、計測システム301が受け取った読み値を受け取り、その読み値をコントローラ302の電子データ格納ユニット304内に格納するとよい。コントローラ302を、本システムそのものの一部としてもよく、或いは本システムとは別体なものとしてもよい(例.スタンドアロン制御ユニットか集中品質制御ユニット内)。
コントローラ302は、どのような好適要領にて(例.1個又は複数個の伝送媒体、例えば有線及び/又は無線伝送媒体を含むそれを介し)本システム300の諸構成部材に結合させてもよく、それにより、本システム300により生成された出力、例えば計測システム301からの出力をコントローラ302が受け取れるようにすることができる。コントローラ302は、その出力を用い多数の機能を実行するよう構成すればよい。例えば、ウェハ307上の諸層を計測するようコントローラ302を構成するとよい。また例えば、その出力を電子データ格納ユニット304その他の格納媒体へと出力レビューなしで送るよう、コントローラ302を構成してもよい。コントローラ302を本願記載の如く更に構成してもよい。
本願記載のコントローラ302、その他のシステム(群)、或いはその他のサブシステム(群)は、パーソナルコンピュータシステム、イメージコンピュータ、メインフレームコンピュータシステム、ワークステーション、ネットワーク機器、インターネット機器その他のデバイスを初め、様々な形態を採りうる。一般に、語「コントローラ」は、記憶媒体上の命令を実行するプロセッサを1個又は複数個有するデバイス全てが包括されるよう、広く定義することができる。その又はそれらのサブシステム又はシステムが、本件技術分野にて既知で好適ないずれのプロセッサ、例えばパラレルプロセッサを有していてもよい。加えて、その又はそれらのサブシステム又はシステムが、スタンドアロンであれネットワーク接続ツールであれ、高速処理プラットフォーム及びソフトウェアを有していてもよい。
そのシステム内に複数個のサブシステムがある場合、それら相異なるサブシステムを相互結合させることで、画像、データ、情報、命令等々をそれらサブシステム間で送れるようにすることができる。例えば、あるサブシステムを他のサブシステム(群)にいずれの好適伝送媒体で結合させてもよく、本件技術分野にて既知で好適ないずれの有線及び/又は無線伝送媒体がそれに含まれていてもよい。それらサブシステムのうち2個以上を、共有されているコンピュータ可読格納媒体(図示せず)により実質的に結合させてもよい。
本システム300を、欠陥レビューシステム、検査システム、計量システムその他の種類のシステムの一部としてもよい。即ち、本願開示の諸実施形態により記述されている幾つかの構成を、相異なる能力を有し相異なる用途向けに多少の差はあれ適する諸システム向けに、多様な要領で仕立て上げることができる。
コントローラ302は、計測システム301その他、本システム300の構成部材と電子通信させるのがよい。コントローラ302は、本願記載の諸実施形態のうちいずれに構成してもよい。また、コントローラ302を、計測システム301の出力を用い、或いは他の源泉からの画像、計測結果又はデータを用い、他の諸機能又は付加的諸ステップを実行するよう、構成してもよい。
付加的実施形態は、コントローラ上で実行可能なプログラム命令であり本願開示の如くコンピュータ実施方法を実行するためのものを格納する、非一時的コンピュータ可読媒体に関する。具体的には、図18に示した通り、コントローラ302を、電子データ格納ユニット304その他の電子データ格納媒体内にあるメモリに加え、そのコントローラ302上で実行可能なプログラム命令が組み込まれた非一時的コンピュータ可読媒体を、有するものとすることができる。そのコンピュータ実施方法に、本願記載のいずれの方法(群)のいずれのステップ(群)を含めてもよい。例えば、図17又は図7の諸ステップのうち一部又は全部を実行するよう、コントローラ302にプログラミングすればよい。電子データ格納ユニット304その他の電子データ格納媒体内にあるメモリは、例えば磁気又は光ディスク、磁気テープその他、本件技術分野にて既知で好適ないずれかの非一時的コンピュータ可読媒体等、格納媒体とすればよい。
一例としては、ウェハ上にある膜スタックに係る第1有効媒体分散モデルを展開しその第1有効媒体分散モデルを用い第1層の厚みを判別するよう1個又は複数個のソフトウェアモジュールを構成し、当該1個又は複数個のソフトウェアモジュールを実行するようプロセッサ303を構成することができる。その膜スタックは、少なくとも四層を有するものとすることができる。第1有効媒体分散モデルは第1層を除く全層を代替する。第1層はその膜スタックの頂部層でありウェハとは逆側にある。
付随的には、そのソフトウェアモジュールを更に、第1層の厚みに基づきその膜スタックに係る第2有効媒体分散モデルを展開しその第2有効媒体分散モデルを用い第2層の厚みを判別するよう、構成することができる。第2有効媒体分散モデルは第1層及び第2層を除く全層を代替する。第2層は第1層の隣の層である。
付随的には、そのソフトウェアモジュールを更に、第1層の厚み及び第2層の厚みに基づきその膜スタックに係る第3有効媒体分散モデルを展開しその第3有効媒体分散モデルを用い第3層の厚みを判別するよう、構成することができる。第3有効媒体分散モデルは第1層、第2層及び第3層を除く全層を代替する。第3層は第2層の隣の層である。
付随的には、ソフトウェアモジュールを、第4、第5又はより多数の有効媒体分散モデルを展開し、その膜スタック内の他層の厚みを判別するよう、構成することができる。
プログラム命令は、就中、手続きベース技術、要素ベース技術及び/又はオブジェクト指向技術を初め、様々なやり方のいずれに従い実現してもよい。例えば、ActiveX(登録商標)コントロール、C++オブジェクト、JavaBeans(登録商標)、Microsoft(登録商標)FoundationClasses(MFC)、SSE(ストリーミングSIMDエクステンション)その他のテクノロジ又は方法論を望むところに従い用いて、プログラム命令を実現すればよい。
また、実施形態に係るコントローラ302を、本件技術分野で既知ないずれの要領に従いシステム300の諸構成部材又はサブシステムのいずれに可通信結合させてもよい。更に、伝送媒体例えば有線及び/又は無線区間を含むそれによって、他のシステムからのデータ又は情報(例.検査システム例えばレビューツールからの検査結果、リモートデータベース内のデザインデータ等)を受信及び/又は獲得するよう、コントローラ302を構成してもよい。こうした要領で、伝送媒体を、コントローラ302と、本システム300の他サブシステム又はシステム300外のシステムと、の間のデータリンクとして働かせるとよい。
幾つかの実施形態では、本願開示のシステム300及び方法の様々なステップ、機能及び/又は動作が、電子回路、論理ゲート、マルチプレクサ、プログラマブル論理デバイス、ASIC、アナログ又はディジタルコントローラ/スイッチ、マイクロコントローラ、並びに情報処理システムのうち、1個又は複数個により実行される。方法例えば本願記載のそれを実現するプログラム命令は、キャリア媒体上で伝送させ又はその上に格納すればよい。そのキャリア媒体には格納媒体、例えばリードオンリメモリ、ランダムアクセスメモリ、磁気又は光ディスク、不揮発性メモリ、固体メモリ、磁気テープ等が含まれうる。キャリア媒体には伝送媒体、例えばワイヤ、ケーブル又は無線伝送リンクが含まれうる。例えば、本件開示の随所に記載されている様々なステップを、単一のコントローラ302(又はコンピュータシステム)により実行してもよいし、それに代え複数個のコントローラ302(又は複数個のコンピュータシステム)により実行してもよい。更に、本システム300の様々なサブシステムを、1個又は複数個の情報処理又は論理システムを有するものとしてもよい。従って、上掲の記述は、本件開示に対する限定としてではなく単なる例証として解されるべきである。
本願開示の諸例は例証を意味しており、限定を意図してはいない。
例1
一例に係る第1有効媒体分散モデルはスタック内の五素材、即ちIL、HK、TiN、TaN及びTaLを代替するものである。その第1有効媒体厚、ひいてはその第1有効媒体ブラッグマン有効媒体近似(BEMA)フラクションを浮動させると、その第1有効媒体によりそれら五層のあらゆるプロセスばらつきが一緒に担保されることとなる。ひいては、その第1スキャタロメトリモデル浮動パラメタが当初の12パラメタから7だけに減る。第1スキャタロメトリモデルを用いたところ、その頂部TiN(8A)膜オン格子が正確に計測され、ロバスト性試験を含め全ての成功基準が充足された。その頂部TiN(8A)厚が別のモデルに送り込まれた。
第2有効媒体分散モデルは四素材即ちIL、HK、TiN及びTaNを代替するものである。その第2有効媒体厚、ひいてはその第2有効媒体BEMAフラクションを浮動させると、その第2有効媒体によりそれら四膜のあらゆるプロセスばらつきが一緒に担保されることとなる。ひいては、第2有効媒体分散モデル浮動パラメタが事実上12パラメタから減って、7パラメタと、第1有効媒体分散モデルからフィードフォワードされた頂部TiNだけになる。第1有効媒体分散モデルからフィードフォワードされた頂部TiNは、その第2臨界パラメタ計測TaLとは、何ら相互作用又は相関を有していない。その第2有効媒体分散が展開される間に、その頂部TiN厚が第1有効媒体分散モデルから送給される。第2有効媒体分散モデルは、その第1有効媒体分散モデルからのデータを用い第2有効媒体分散モデルが展開されるなら、より正確なものとすることができる。これにより、第1有効媒体分散モデル・第2有効媒体分散モデル間の合致性を確保することができる。
第3有効媒体分散モデルにより三素材即ちIL、HK及びTiNが代替される。その第3有効媒体厚、ひいてはその第3有効媒体BEMAフラクションを浮動させることで、その第3有効媒体分散モデルによりそれら三膜のあらゆるプロセスばらつきが一緒に担保されることとなる。ひいては、第3有効媒体分散モデル浮動パラメタが事実上12パラメタから減り、7パラメタと、第1有効媒体分散モデルからフィードフォワードされた頂部TiNと、第2有効媒体分散モデルからフィードフォワードされたTaLだけになる。第1有効媒体分散モデル及び第2有効媒体分散モデルからの頂部TiN及びTaLは、その第3臨界パラメタ計測TaNとは、何ら相互作用又は相関を有していない。第2有効媒体分散モデルと同様、その第3有効媒体分散モデルが展開される間に、第1有効媒体分散モデル,第2有効媒体分散モデルからそれぞれTiN厚,TaL厚がフィードフォワードされる。これにより、第1有効媒体分散モデル、第2有効媒体分散モデル及び第3有効媒体分散モデル間の合致性が確保される。
有効媒体(EM)分散の計測との関連では、複数素材を単一に結合させることで、コントラストを改善すること、光学応答のユニーク性につなげること、並びにパラメタ相互作用を減らすことができる。例えば、図6の六膜全てが同時に浮動していたとしたら、他の個別膜それぞれとの臨界パラメタ相関によって、そのモデルが不安定化して十分ロバストでなくなり、顧客仕様に合格できないかもしれない。
例2
別の例では、層内フィードフォワード技術を確認すべく、3枚のDOEウェハが設計され走査AOI RPRCで以て計測された。ウェハ1枚当たり3個所が基準計測TEM向けに選択された。図8では、図6記載のSSDF法を用いTiN、TaL及びTaNに関しもたらされたFOG対TEM線形性が概括されている。正確性に加えて精度GRR及びロバスト性に関し、そのSDFF法が試験された。R2,勾配の双方が線形性に係る顧客要件に合格した。
図9には、SSDF法の結果の顕著性が、旧来の「全浮動」法との対比で示されている。GRR及びロバスト性試験は多膜相関の影響をより受けやすい。GRR,ロバスト性双方が顧客仕様に合格した。
以下、上首尾なFOG層事例であり、幾ばくかのEM分散(走査AOI RPRCを用い計測、ライブラリにて使用)を有するものを、示すことにする。
図2(a)にCap層膜スタック、図2(b)にFOG Cap構造を示す。強いパラメタ相関故に、シミュレーション精度は貧弱である。3個のコンフォーマルライナを同時に浮動させるのに代え、ここでも有効媒体法が用いられた。IL+HKライナを混合させ、図10に描いた通り1個の有効媒体ライナとした。
走査AOIスペクトルを回帰させることでそのEM分散モデルが最適化された。図7記載のそれと同じ方法が、図10のCap層向けに適用された。(IL+HK)についてもたらされたEM分散を図11に示す。IL分散及びHK分散が参考のため示されている。
図3(a)にCap層膜スタック、図3(b)にFOG Cap構造を示す。強いパラメタ相関故に、シミュレーション精度は貧弱である。図13(a)にTaN層膜スタックを示す。4個のコンフォーマルライナを同時に浮動させるのに代え、ここでも有効媒体法が用いられた。IL+HK+Capライナを混合させ、図13に描いた通り1個の有効媒体ライナとした。
ナノワイヤ及びFinFET内金属ゲートのナノ製造能力は絶えず進歩しており、それに伴い、膜オン格子計量が、HKMGを構築するのに用いられる素の光学特性、並びに波長に比したそれらの肉薄さによる、制約を受ける様相を呈してきている。上掲の実験結果が示している通り、1個又は複数個の媒体が結合され単一媒体と見なされるときに、より正確な結果を得ることができる。有効媒体理論を用いることで、薄膜又は素材の複合体に関し、それらの個別成分及びそれらの幾何の見地から実効屈折率及び実効消衰係数を定義して研究することができる。本願開示の通り、その有効媒体理論が薄膜オン格子向けに用いられる。この理論の有効性は、それら構造を構成している膜それぞれのサイズにより制約されることがある。入射光波長に対しホモジニアスな態にするには、それらの膜を十分に小さくする必要があろう。その合計厚が波長よりもかなり小さいため、複数個の膜が1個の実効的な膜としてモデル化される。有効媒体によれば、その複合体の挙動を統計的に説明することができる。例えば、図10の単純事例では、その比誘電率がεSiO及びεHfO、厚みがTIL及びTHKのIL(SiO)及びHK(HfO)で作成された交番的実効膜の有効パラメタを得ることが、その問題となる。非磁性構造の場合、その実効誘電率は次の等式に従いうる。
D=εεeff
Dは空間平均変位場、Eは電界である。Eが連続であるという境界条件を用いること、並びに変位場Dを体積によって平均化することで、次の公式を定めることができる。
この等式は、有効媒体の光学特性を記述しうる単純なモデルである。T変数は諸層の厚み、εHKはHK層の有効パラメタである。実際には、このモデルに加重関数が組み込まれることとなろう。この単純な理論が規定するところによれば、どのような実効誘電率も未知な厚みの関数となる。従って、実効誘電率の正確な判別(図7)が要となる。
本方法の各ステップは本願記載の如く実行すればよい。本方法に、本願記載のコントローラ及び/又はコンピュータサブシステム(群)若しくはシステム(群)により実行可能な他のステップ(群)を含めてもよい。それらのステップを実行しうる1個又は複数個のコンピュータシステムを、本願記載の諸実施形態のうちいずれに従い構成してもよい。加えて、上述の諸方法を本願記載の諸システム実施形態のいずれにより実行してもよい。
1個又は複数個の具体的諸実施形態を基準にして本件開示を記述してきたが、ご理解頂けるように、本件開示の技術的範囲から離隔することなく本件開示の他の諸実施形態をなすことができる。即ち、本件開示は、専ら、別項の特許請求の範囲及びその合理的解釈によってのみ限定されるものと、認められる。

Claims (26)

  1. コントローラを用い、ウェハ上にあり少なくとも四層を有する膜スタックに係る第1有効媒体分散モデルであり、そのウェハの逆側にありその膜スタックの頂部層たる第1層を除き前記層の全てを代替する第1有効媒体分散モデルを、展開するステップと、
    前記コントローラを用い、その第1有効媒体分散モデルを用いて、前記第1層の厚みを判別するステップと、
    前記コントローラを用い、前記第1層の厚みに基づき、前記膜スタックに係る第2有効媒体分散モデルであり、当該第1層及びその第1層の隣にある第2層を除き前記層の全てを代替する第2有効媒体分散モデルを、展開するステップと、
    前記コントローラを用い、その第2有効媒体分散モデルを用いて、前記第2層の厚みを判別するステップと、
    前記コントローラを用い、前記第1層の厚み及び前記第2層の厚みに基づき、前記膜スタックに係る第3有効媒体分散モデルであり、当該第1層、当該第2層並びにその第2層の隣にある第3層を除き前記層の全てを代替する第3有効媒体分散モデルを、展開するステップと、
    前記コントローラを用い、その第3有効媒体分散モデルを用いて、前記第3層の厚みを判別するステップと、
    を有する方法。
  2. 請求項1に記載の方法であって、前記層に酸化物層、高k層、TiN層、TaN層、TaL層及び第2TiN層が含まれる方法。
  3. 請求項2に記載の方法であって、前記第1層が前記第2TiN層、前記第2層が前記TaL層、前記第3層が前記TaN層である方法。
  4. 請求項2に記載の方法であって、前記酸化物層、前記高k層、前記TiN層、前記TaN層及び前記TaL層の合計厚が8nm以下である方法。
  5. 請求項1に記載の方法であって、前記第1有効媒体分散モデルが酸化物層、高k層、TiN層、TaN層、TaL層を代替し、前記第2有効媒体分散モデルが酸化物層、高k層、TiN層及びTaN層を代替し、前記第3有効媒体分散モデルが酸化物層、高k層及びTiN層を代替する方法。
  6. 請求項1に記載の方法であって、前記第1有効媒体分散モデル、前記第2有効媒体分散モデル及び前記第3有効媒体分散モデルが、それぞれ、異なる光学特性を有する方法。
  7. 請求項1に記載の方法であって、更に、回転偏光子回転補償器分光エリプソメトリデータ、フルミュラー行列成分データ、回転偏光子分光エリプソメトリデータ、リフレクトメトリデータ、レーザ駆動分光リフレクトメトリデータ及びX線データの生成のうち、一通り又は複数通りにより前記膜スタックを計測するステップを有する方法。
  8. 請求項1に記載の方法であって、前記第1有効媒体分散モデルを展開するステップが、
    前記膜スタックの光学応答を収集するステップと、
    モデル構築モジュールを用い、前記第1層を除き前記層の全てを第1有効媒体内で結合させることで、第1スキャタロメトリモデルを構築するステップと、
    その第1有効媒体に係り分散式を含む分散モデルを生成するステップと、
    前記光学応答であり複数通りの入射角でのものを当て嵌め分析モジュールにて受け取るステップと、
    その当て嵌め分析モジュールを用い、各スペクトル回帰にて前記分散モデルを浮動させつつ前記光学応答に対する並列当て嵌めを実行するステップと、
    それら入射角におけるその分散モデルの一通り又は複数通りの成果を評価することで類似性を判別するステップと、
    前記分散モデルをテーブルに変換するステップと、
    その分散モデルがそのテーブルとして固定され且つ前記第1層の厚みが浮動している状態で、前記第1スキャタロメトリモデルを用い当て嵌め分析を実行するステップと、
    前記第1層の厚みを計測するステップと、
    その第1層の厚みを基準と比較するステップと、
    を含む方法。
  9. 請求項8に記載の方法であって、更に、前記分散モデルを最適化するよう構成されたフィードバックループを前記評価後に適用するステップを有し、そのフィードバックループが、その分散モデルのパラメタ群を固定し又は浮動させるよう構成されている方法。
  10. 請求項8に記載の方法であって、前記分散モデルの少なくとも1個のパラメタが前記並列当て嵌め中に共通のものとして扱われる方法。
  11. 請求項1に記載の方法であって、前記第2有効媒体分散モデルを展開するステップが、
    モデル構築モジュールを用い、前記第1層及び前記第2層を除き前記層の全てを第2有効媒体内で結合させることで、第2スキャタロメトリモデルを構築するステップと、
    前記第1層の厚みをその第2スキャタロメトリモデルに送り込むステップと、
    前記第2有効媒体に係り分散式を含む分散モデルを生成するステップと、
    前記光学応答であり複数通りの入射角でのものを当て嵌め分析モジュールにて受け取るステップと、
    その当て嵌め分析モジュールを用い、各スペクトル回帰にて前記分散モデルを浮動させつつ前記光学応答に対する並列当て嵌めを実行するステップと、
    それら入射角におけるその分散モデルの一通り又は複数通りの成果を評価することで類似性を判別するステップと、
    その分散モデルをテーブルに変換するステップと、
    その分散モデルが固定されている状態で前記第2スキャタロメトリモデルを用い当て嵌め分析を実行するステップと、
    を含む方法。
  12. 請求項11に記載の方法であって、更に、前記分散モデルを最適化するよう構成されたフィードバックループを前記評価後に適用するステップを有し、そのフィードバックループが、その分散モデルのパラメタ群を固定し又は浮動させるよう構成されている方法。
  13. 請求項11に記載の方法であって、前記分散モデルの少なくとも1個のパラメタが前記並列当て嵌め中に共通のものとして扱われ、或いは前記第1層の厚みが当該並列当て嵌め中に共通のものとして扱われる方法。
  14. 請求項1に記載の方法であって、前記第3有効媒体分散モデルを展開するステップが、
    モデル構築モジュールを用い、前記第1層、前記第2層及び前記第3層を除き前記層の全てを第3有効媒体内で結合させることで、第3スキャタロメトリモデルを構築するステップと、
    前記第1層の厚み及び前記第2層の厚みをその第3スキャタロメトリモデルに送り込むステップと、
    前記第3有効媒体に係り分散式を含む分散モデルを生成するステップと、
    前記光学応答であり複数通りの入射角でのものを当て嵌め分析モジュールにて受け取るステップと、
    その当て嵌め分析モジュールを用い、各スペクトル回帰にて前記分散モデルを浮動させつつ前記光学応答に対する並列当て嵌めを実行するステップと、
    それら入射角におけるその分散モデルの一通り又は複数通りの成果を評価することで類似性を判別するステップと、
    その分散モデルをテーブルに変換するステップと、
    その分散モデルが固定されている状態で前記第3スキャタロメトリモデルを用い当て嵌め分析を実行するステップと、
    を含む方法。
  15. 請求項14に記載の方法であって、更に、前記分散モデルを最適化するよう構成されたフィードバックループを前記評価後に適用するステップを有し、そのフィードバックループが、その分散モデルのパラメタ群を固定し又は浮動させるよう構成されている方法。
  16. 請求項14に記載の方法であって、更に、前記第1層の厚み、前記第2層の厚み及び前記第3層の厚みをレポートするステップを有する方法。
  17. 請求項14に記載の方法であって、前記分散モデルの少なくとも1個のパラメタが前記並列当て嵌め中に共通のものとして扱われ、或いは前記第1層の厚みが当該並列当て嵌め中に共通のものとして扱われる方法。
  18. 請求項1に記載の方法であって、前記膜スタックが1D膜スタック、2D膜オン格子及び3D膜オン格子のうち一つである方法。
  19. 請求項1に記載の方法であって、前記少なくとも四層が、それぞれ、SiO、HfO、HfSiON、窒素濃縮を伴うHfON、TiN、TaN、TaAlC、TiAlC、W、Co、WC及びTaOのうち一つである方法。
  20. 請求項19に記載の方法であって、前記四層のうち少なくとも一層がHfON、TiN及びTaNのうち一つであり、更に、窒素濃度を判別するステップを有する方法。
  21. 請求項1に記載の方法であって、前記第1有効媒体分散モデル、前記第2有効媒体分散モデル及び前記第3有効媒体分散モデルのうち1個が、素材の光学特性を光子エネルギ又は波長の関数としてモデル化する分散式である方法。
  22. それを以てコンピュータ可読プログラムが体現された非一時的コンピュータ可読格納媒体を備えるコンピュータプログラム製品であって、そのコンピュータ可読プログラムが、請求項1の方法を実行するよう構成されているコンピュータプログラム製品。
  23. ウェハを計測するよう構成された計測システムと、
    プロセッサ及びそのプロセッサと電子通信する電子データ格納ユニットを有し計測装置と電子通信するコントローラであり、1個又は複数個のソフトウェアモジュールを実行するようそのプロセッサが構成されたコントローラと、
    を備えるシステムであって、前記1個又は複数個のソフトウェアモジュールが、
    ウェハ上にあり少なくとも四層を有する膜スタックに係る第1有効媒体分散モデルであり、そのウェハの逆側にありその膜スタックの頂部層たる第1層を除き前記層の全てを代替する第1有効媒体分散モデルを展開するよう、且つ
    その第1有効媒体分散モデルを用い前記第1層の厚みを判別するよう、
    構成されているシステム。
  24. 請求項23に記載のシステムであって、前記ソフトウェアモジュールが、更に、
    前記第1層の厚みに基づき、前記膜スタックに係る第2有効媒体分散モデルであり、当該第1層及びその第1層の隣にある第2層を除き前記層の全てを代替する第2有効媒体分散モデルを展開するよう、且つ
    その第2有効媒体分散モデルを用い前記第2層の厚みを判別するよう、
    構成されているシステム。
  25. 請求項23に記載のシステムであって、前記ソフトウェアモジュールが、更に、
    前記第1層の厚み及び前記第2層の厚みに基づき、前記膜スタックに係る第3有効媒体分散モデルであり、当該第1層、当該第2層並びにその第2層の隣にある第3層を除き前記層の全てを代替する第3有効媒体分散モデルを展開するよう、且つ
    その第3有効媒体分散モデルを用い前記第3層の厚みを判別するよう、
    構成されているシステム。
  26. 請求項23に記載のシステムであって、前記計測システムが、回転偏光子回転補償器分光エリプソメトリデータ、フルミュラー行列成分データ、回転偏光子分光エリプソメトリデータ、リフレクトメトリデータ、レーザ駆動分光リフレクトメトリデータ及びX線データのうち一つを提供するシステム。
JP2020511294A 2017-08-22 2018-08-21 薄膜オン格子及びバンドギャップオン格子の計測 Active JP7369116B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762548579P 2017-08-22 2017-08-22
US62/548,579 2017-08-22
US15/800,877 US10663286B2 (en) 2017-08-22 2017-11-01 Measuring thin films on grating and bandgap on grating
US15/800,877 2017-11-01
PCT/US2018/047363 WO2019040515A1 (en) 2017-08-22 2018-08-21 MEASUREMENT OF THIN LAYERS ON NETWORK AND BAND PROHIBITED ON NETWORK

Publications (3)

Publication Number Publication Date
JP2020532127A true JP2020532127A (ja) 2020-11-05
JP2020532127A5 JP2020532127A5 (ja) 2021-09-30
JP7369116B2 JP7369116B2 (ja) 2023-10-25

Family

ID=65434158

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020511294A Active JP7369116B2 (ja) 2017-08-22 2018-08-21 薄膜オン格子及びバンドギャップオン格子の計測

Country Status (6)

Country Link
US (2) US10663286B2 (ja)
JP (1) JP7369116B2 (ja)
KR (1) KR102618382B1 (ja)
CN (1) CN111052327B (ja)
TW (1) TWI808984B (ja)
WO (1) WO2019040515A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114324184B (zh) * 2021-12-30 2024-05-17 粤芯半导体技术股份有限公司 椭偏仪光谱浮动模型及建立方法
KR102567843B1 (ko) * 2023-02-13 2023-08-17 (주)오로스 테크놀로지 다층 박막 구조물의 두께 분석 시스템 및 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004093436A (ja) * 2002-09-02 2004-03-25 Horiba Ltd 分光エリプソメータを用いた薄膜多層構造の解析方法
JP2004294210A (ja) * 2003-03-26 2004-10-21 Sharp Corp 微細物評価装置、微細物評価方法および微細物評価プログラム

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3749107B2 (ja) * 1999-11-05 2006-02-22 ファブソリューション株式会社 半導体デバイス検査装置
US7196793B2 (en) * 2001-09-06 2007-03-27 Horiba, Ltd. Method for analyzing thin-film layer structure using spectroscopic ellipsometer
JP3937149B2 (ja) * 2002-04-12 2007-06-27 株式会社堀場製作所 分光エリプソメータを用いた極薄膜2層構造の解析方法
KR100508696B1 (ko) * 2003-12-01 2005-08-17 학교법인 서강대학교 구리배선용 초저유전 절연막
US7065737B2 (en) * 2004-03-01 2006-06-20 Advanced Micro Devices, Inc Multi-layer overlay measurement and correction technique for IC manufacturing
JP4435298B2 (ja) * 2004-03-30 2010-03-17 株式会社堀場製作所 試料解析方法
US7465590B1 (en) 2005-06-30 2008-12-16 Nanometrics Incorporated Measurement of a sample using multiple models
EP1780499A1 (de) 2005-10-28 2007-05-02 Hch. Kündig & Cie. AG Verfahren zum Messen der Dicke von Mehrschichtfolien
US20090219537A1 (en) * 2008-02-28 2009-09-03 Phillip Walsh Method and apparatus for using multiple relative reflectance measurements to determine properties of a sample using vacuum ultra violet wavelengths
US8019458B2 (en) 2008-08-06 2011-09-13 Tokyo Electron Limited Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
CN101887140A (zh) * 2010-05-26 2010-11-17 中国科学院上海光学精密机械研究所 宽带全介质多层膜反射衍射光栅及其设计方法
US9442063B2 (en) * 2011-06-27 2016-09-13 Kla-Tencor Corporation Measurement of composition for thin films
US8804106B2 (en) * 2011-06-29 2014-08-12 Kla-Tencor Corporation System and method for nondestructively measuring concentration and thickness of doped semiconductor layers
JP5721586B2 (ja) * 2011-08-12 2015-05-20 大塚電子株式会社 光学特性測定装置および光学特性測定方法
WO2013049001A2 (en) 2011-09-27 2013-04-04 Kla-Tencor Corporation High throughput thin film characterization and defect detection
US8860937B1 (en) * 2012-10-24 2014-10-14 Kla-Tencor Corp. Metrology systems and methods for high aspect ratio and large lateral dimension structures
US20140118360A1 (en) * 2012-10-30 2014-05-01 Pixtronix, Inc. Thinfilm stacks for light modulating displays

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004093436A (ja) * 2002-09-02 2004-03-25 Horiba Ltd 分光エリプソメータを用いた薄膜多層構造の解析方法
JP2004294210A (ja) * 2003-03-26 2004-10-21 Sharp Corp 微細物評価装置、微細物評価方法および微細物評価プログラム

Also Published As

Publication number Publication date
US20200240768A1 (en) 2020-07-30
CN111052327B (zh) 2023-12-08
KR102618382B1 (ko) 2023-12-27
CN111052327A (zh) 2020-04-21
TW201920899A (zh) 2019-06-01
US20190063900A1 (en) 2019-02-28
KR20200035164A (ko) 2020-04-01
JP7369116B2 (ja) 2023-10-25
US11555689B2 (en) 2023-01-17
US10663286B2 (en) 2020-05-26
WO2019040515A1 (en) 2019-02-28
TWI808984B (zh) 2023-07-21

Similar Documents

Publication Publication Date Title
JP6821700B2 (ja) 小スポットサイズ透過型小角x線スキャタロメトリ用ビーム整形スリット
Bunday et al. Gaps analysis for CD metrology beyond the 22nm node
KR102499557B1 (ko) 멀티-앵글 x-선 반사 산란계(xrs)를 이용한 주기적 구조물 측정 방법 및 시스템
TWI731183B (zh) 用於經圖案化晶圓特性化之混合度量
JP2019505766A (ja) 高アスペクト比構造向けx線スキャタロメトリ計量
CN110383053B (zh) 用于小角度x射线散射测量的x射线变焦镜头
TW201510477A (zh) 組合之x光及光學量測
EP2979297A1 (en) Statistical model-based metrology
JP7386884B2 (ja) 光学分散の多次元モデル
US11555689B2 (en) Measuring thin films on grating and bandgap on grating
TWI744493B (zh) 控制系統
Mihardja et al. Data feed-forward for improved optical CD and film metrology
Srivatsa et al. Metrology and Inspection: Challenges and Solutions for Emerging Technology Nodes
TW202407336A (zh) 使用半導體結構的切片和影像斷層成像影像的參數化x射線散射測量
Postek Jr The challenge of nanometrology
Towidjaja et al. Back end of line metrology control applications using scatterometry

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210823

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210823

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220908

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221004

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221216

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20230328

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230725

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20230802

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230926

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231013

R150 Certificate of patent or registration of utility model

Ref document number: 7369116

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150