KR102518206B1 - 위상 공개 광학 및 x 선 반도체 계측 - Google Patents

위상 공개 광학 및 x 선 반도체 계측 Download PDF

Info

Publication number
KR102518206B1
KR102518206B1 KR1020217005462A KR20217005462A KR102518206B1 KR 102518206 B1 KR102518206 B1 KR 102518206B1 KR 1020217005462 A KR1020217005462 A KR 1020217005462A KR 20217005462 A KR20217005462 A KR 20217005462A KR 102518206 B1 KR102518206 B1 KR 102518206B1
Authority
KR
South Korea
Prior art keywords
target
processor
metrology tool
scattering
wafer
Prior art date
Application number
KR1020217005462A
Other languages
English (en)
Other versions
KR20210027497A (ko
Inventor
존 헨치
안드레이 벨드만
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20210027497A publication Critical patent/KR20210027497A/ko
Application granted granted Critical
Publication of KR102518206B1 publication Critical patent/KR102518206B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0641Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of polarization
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/04Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • G01N21/9503Wafer edge inspection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/02Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material
    • G01N23/06Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material and measuring the absorption
    • G01N23/083Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material and measuring the absorption the radiation being X-rays
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T9/00Image coding
    • G06T9/20Contour coding, e.g. using detection of edges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/10Different kinds of radiation or particles
    • G01N2223/101Different kinds of radiation or particles electromagnetic radiation
    • G01N2223/1016X-ray
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/225Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion
    • G01N23/2251Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion using incident electron beams, e.g. scanning electron microscopy [SEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/22Treatment of data
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2814Measurement of surface topography

Abstract

본원에 개시된 실시예들은 반도체 웨이퍼 상의 타겟이 재구성 및/또는 이미징될 수 있도록 할 수 있다. 웨이퍼 계측 툴을 사용하여 반도체 웨이퍼 상의 타겟의 표면을 측정한다. 표면의 복셀 맵은 예상되는 재료들의 산란 밀도를 사용하여 기하학적 구조 측정치들에 매칭되도록 정해진다. 정해진 모든 표면 복셀들의 산란 밀도에 대해 균일한 스케일링이 발생할 수 있다.

Description

위상 공개 광학 및 X 선 반도체 계측
본 개시 내용은 반도체 계측에 관한 것이다.
반도체 제조 산업의 발전으로, 수율 관리, 특히 계측 및 검사 시스템에 대한 요구들이 점점 더 높아지고 있다. 임계 치수들(critical dimensions)은 지속적으로 축소되고 있다. 경제성은 산업계가 고수율, 고부가가치 생산을 달성하는 데 걸리는 시간을 단축하도록 이끌고 있다. 수율 문제의 탐지에서 문제 해결까지의 총 시간의 최소화가 반도체 제조업체의 투자 수익률을 결정한다.
로직 및 메모리 디바이스들과 같은 반도체 디바이스들을 제조하는 것은 전형적으로 다수의 제조 공정을 사용하여 반도체 웨이퍼를 처리하여 반도체 디바이스의 다양한 피처들 및 다수의 레벨을 형성하는 것을 포함한다. 예를 들어, 리소그래피는 레티클로부터 반도체 웨이퍼 상에 배열된 포토레지스트로 패턴을 전사하는 것을 포함하는 반도체 제조 공정이다. 반도체 제조 공정의 추가적인 예는 화학 기계적 연마(chemical-mechanical polishing)(CMP), 에칭, 증착, 및 이온 주입을 포함하지만 이에 제한되는 것은 아니다. 다수의 반도체 디바이스는 단일 반도체 웨이퍼 상의 배열체로 제조된 후 개개의 반도체 디바이스들로 분리될 수 있다.
계측 공정들(metrology processes)은 반도체 제조 동안 다양한 단계에서 공정을 모니터링 및 제어하는 데 사용된다. 계측 공정들은, 웨이퍼들 상에서 결함들을 검출하는 검사 공정들과는 달리, 기존의 검사 툴들(inspection tools)을 사용해서는 결정할 수 없는 웨이퍼들의 하나 이상의 특성을 측정하는 데 사용된다는 점에서 검사 공정들과는 상이하다. 계측 공정들은 웨이퍼들의 하나 이상의 특성으로부터 공정의 성능을 결정할 수 있도록 웨이퍼들의 하나 이상의 특성을 측정하는 데 사용될 수 있다. 예를 들어, 계측 공정들은 공정 도중에 웨이퍼들 상에 형성된 피처들의 치수(예컨대, 라인 폭, 두께 등)를 측정할 수 있다. 또한, 웨이퍼들의 하나 이상의 특성들이 수용 불가능한 경우(예컨대, 특성(들)에 대해 미리 결정된 범위를 벗어난 경우), 웨이퍼들의 하나 이상의 특성들의 측정치들은 공정에 의해 제조되는 추가 웨이퍼들이 수용 가능한 특성(들)을 갖도록 공정의 하나 이상의 파라미터들을 변경하는 데 사용될 수 있다.
반도체 계측 단층 촬영에서는, 주기적인 평면 타겟의 회절된 광으로부터 자유 형태의 산란 밀도 맵(scattering density map)(SDM)이 결정된다. 경질 X 선(hard x-rays)의 경우, 이러한 산란 밀도는 단일 굴절률(unity of the index of refraction)로부터의 편차인 실수 부분과 소멸 지수(index of extinction)인 허수 부분을 나타내는 복소수가 된다. 2로 나눈 X 선 파장 제곱을 곱한 고전적인 전자 반경을 포함하는 일정한 역 스케일링(constant inverse scaling)시, SDM의 실수 부분은 재료의 전자 밀도와 동일하다. 따라서, 이러한 전자 밀도라는 용어는 산란 밀도에 대해 대용으로 사용되는 정의로 자주 사용된다. 밀도 결정은, SDM을 정규화하면서 시뮬레이션되고 측정된 회절 패턴들과 매칭되는 최적화 공정의 결과가 된다. SDM은 X 선 타겟, 전형적으로 평면 (x, y) 방향의 주기적 단위 셀과 그 평면 (x, y) 방향에 수직(z 방향)인 전형적으로 비 주기적 산란 영역에 대한 산란 볼륨을 타일링하는 볼륨 요소들(복셀들(voxels))에 할당된 산란 밀도들 세트의 형태를 취하고 있다. 이러한 산란 볼륨은 확장된 단위 셀로 표시된다.
회절된 광 강도들로부터 SDM을 유추하려는 기술들의 단점들 중 하나는 측정에 이용 가능한 절대적인 또는 상대적인 위상 정보가 없다는 것이다. 따라서, SDM을 고유하게 결정하는 메커니즘은 존재하지 않는다. 실제로, 정확히 동일한 회절 광 신호를 생성할 수 있는 SDM 사례들이 많이 존재한다. 더욱이, 경질 X 선 스펙트럼에서는 산란 볼륨의 위치에 대한 높이 의존성이 낮다. 이로 인해, 분석된 SDM에서, 변환(translational), 공간 부분(space fraction), 및 수직 반전(vertical inversion)의 모호성들을 포함한 여러 모호성들이 발생한다. 변환 모호성(translational ambiguity)의 경우, SDM은 시뮬레이션된 측정치를 변경하지 않고 어떠한 방향으로든 이동될 수 있으며, 따라서 제약 조건에 영향을 주지 못한다. 공간 부분 모호성의 경우, 단순한 구조물들에서의 두 개의 개별 기하학적 구조들은 제로 차수(zeroth order)를 제외한 모든 차수들에 대해서도 동일한 산란 프로파일들을 생성할 수 있다. 수직 반전 모호성의 경우, 단일 산란 모델은 SDM이 수평 평면에 대해 대칭 변환되는 경우에도 동일한 시뮬레이션된 스펙트럼을 생성한다.
기존 기술들은 어떤 의미에서는 SDM 초기 조건에서 위상을 차용하거나 분석된 SDM과 초기 SDM 간의 최적화 차이에 페널티를 부과하는 방식에 의해 위상 결여를 해결하려고 시도했다. 그러나, 초기 조건에서 위상을 유도하면, 추정된 SDM이 초기 SDM으로 왜곡될 수 있다. 이로 인해, 추정된 SDM에는 다른 방식에서는 존재하지 않을 피처들이 생성될 수 있거나 다른 방식에 존재해야 하는 기하학적 구조 피처들(geometric features)이 억제될 수 있다.
따라서, 계측의 개선이 필요하다.
제 1 실시예에서, 방법이 제공된다. 방법은 웨이퍼 계측 툴(wafer metrology tool)을 사용하여 반도체 웨이퍼 상의 타겟의 표면을 측정하는 것을 포함한다. 표면의 복셀 맵은, 프로세서를 사용하여, 그리고 예상되는 재료들의 산란 밀도를 사용하여, 기하학적 구조 측정치들(geometry measurements)에 매칭되도록 정해진다. 정해진 모든 표면 복셀들의 산란 밀도에 대해 균일한 스케일링이 발생한다.
일 예에서, 웨이퍼 계측 툴은 임계 치수 주사 전자 현미경(critical dimension scanning electron microscope)이다.
다른 예에서, 웨이퍼 계측 툴은 반사 소각 X 선 산란계(reflection small angle x-ray scatterometer)이다. 방법은 반사 모드로 구성된 측정 툴로 타겟의 표면을 측정하는 것을 포함할 수 있다. 측정 툴은 투과 소각 X 선 산란계(transmission small angle x-ray scatterometer)일 수 있다.
다른 예에서, 웨이퍼 계측 툴은 광학 산란계(optical scatterometer)이다. 방법은 투과 기술을 사용하도록 구성된 측정 툴로 타겟의 표면을 측정하는 것을 포함할 수 있다. 측정 툴은 투과 소각 X 선 산란계일 수 있다.
타겟의 표면을 측정하는 것은 기하학적 구조 측정치들(geometry measurements)을 제공하기 위해 타겟의 기하학적 구조(geometry)를 측정하는 것을 포함할 수 있다.
복셀들은 타겟 내의 재료들과 연관된 값들의 세트로부터 산란 값들을 취할 수 있다. 산란 값들은 지속적으로 플로팅(float)될 수 있다.
제 2 실시예에서, 시스템이 제공된다. 시스템은 반도체 웨이퍼 상의 타겟의 표면을 측정하도록 구성된 웨이퍼 계측 툴, 및 웨이퍼 계측 툴과의 전자 통신 상태에 있는 프로세서를 포함한다. 프로세서는 예상되는 재료들의 산란 밀도를 사용하여 표면의 복셀 맵을 기하학적 구조 측정치들과 매칭되게 정하도록 구성된다. 정해진 모든 표면 복셀들의 산란 밀도에 대해 균일한 스케일링이 발생한다.
일 예에서, 웨이퍼 계측 툴은 임계 치수 주사 전자 현미경이다.
다른 예에서, 웨이퍼 계측 툴은 반사 소각 X 선 산란계이다. 시스템은 프로세서와의 전자 통신 상태에서 반사 모드를 사용하도록 구성된 측정 툴을 포함할 수 있다. 측정 툴은 투과 소각 X 선 산란계일 수 있다.
다른 예에서, 웨이퍼 계측 툴은 광학 산란계이다. 시스템은 프로세서와의 전자 통신 상태에서 투과 기술을 사용하도록 구성된 측정 툴을 포함할 수 있다. 측정 툴은 투과 소각 X 선 산란계일 수 있다.
시스템은 프로세서와의 전자 통신 상태에서 투과 기술을 사용하도록 구성된 측정 툴을 포함할 수 있다. 웨이퍼 계측 툴은 타겟의 기하학적 구조를 측정하도록 추가로 구성될 수 있다. 일 예에서, 웨이퍼 계측 툴은 반사 소각 X 선 산란계이거나 또는 광학 산란계이고, 측정 툴은 투과 소각 X 선 산란계이다. 시스템은 타겟 내의 재료들과 연관된 복수의 산란 값들을 저장하도록 구성된 전자 데이터 저장 유닛을 포함할 수 있다. 전자 데이터 저장 유닛은 프로세서와의 전자 통신 상태에 있을 수 있다. 프로세서는 복셀들에 대한 값들의 세트로부터 산란 값들을 취하도록 구성될 수 있다. 산란 값들은 지속적으로 플로팅(float)될 수 있다.
본 개시 내용의 본질 및 목적에 대한 보다 완전한 이해를 위해, 첨부된 도면과 함께 취해진 아래의 상세한 설명이 참조되어야 한다.
도 1은 본 개시 내용에 따른 방법의 일 실시예의 플로우차트이다.
도 2는 주기적 단위 셀 내에서 유사한 산란을 갖지만 상이한 정의들을 갖는 예시적인 2D 구조들을 도시한 것이다.
도 3은 부가 측정(adjunct measurement)에 의한 위상 공개(phase revelation)를 도시한 것이다.
도 4는 이전 측정(previous measurement)에 의한 위상 공개를 도시한 것이다.
도 5는 본 개시 내용에 따른 시스템의 블럭 다이어그램이다.
도 6은 실리콘에서의 예시적인 2D 주기적 홀들 어레이이다.
도 7은 중첩된 복셀화(voxelization super-imposed)를 위한 격자 라인들로 측정되거나 이미징되는 예시적인 표면이다.
도 8은 2D 주기적 어레이 내의 하나의 단위 셀의 예시적인 3D 뷰이다.
도 9는 격자 라인들과 정렬된 복셀화된 에지들로 복셀화된 후에 측정되거나 이미징되는 예시적인 표면이다.
도 10은 코스 복셀화(coarse voxelization) 후 2D 주기적 어레이 내의 하나의 단위 셀의 예시적인 3D 뷰이다.
도 11은 본 개시 내용에 따른 다른 시스템의 블럭 다이어그램이다.
청구된 발명의 대상은 특정 실시예들과 관련하여 설명될 것이지만, 본원에 설명된 이점들 및 피처들만을 제공하는 실시예들을 포함하는 다른 실시예들도 또한 본 개시 내용의 범위 내에 속한다. 본 개시 내용의 범위를 벗어나지 않으면서 다양한 구조적, 논리적, 공정 단계 및 전자적 변경이 행해질 수 있다. 따라서, 본 개시 내용의 범위는 첨부된 청구항을 참조하는 것에 의해서만 정의된다.
본원에 개시된 실시예들은 2 가지 타입의 위상 검색 또는 부분 검색을 설명하고 있다. 제 1 타입은 물체의 일부를 측정할 수 있는 이미징 방법으로부터 유래된다. 이 측정은 그 후 초기 조건을 수정하거나 최적화에 추가 페널티 항을 제공하는 데 사용될 수 있다. 제 2 타입은 산란계 방법을 사용하며, 이로써 추가 측정된 스펙트럼에는 적어도 수직 모호성을 해결하는 데 도움이 되는 추가 정보가 포함된다. 이러한 두 가지 타입의 위상 검색 또는 부분 검색을 조합적으로 사용하여 특정 구조물들에 대해 개선된 결과들을 제공할 수 있다. 본원에 개시된 실시예들은 투과 소각 X 선 산란(transmission-small angle X-ray scattering)(T-SAXS) 산란계를 단독으로 사용하는 것보다 더 효과적으로 타겟이 재구성 및/또는 이미징될 수 있도록 할 수 있다. 본원에 설명된 계산 방법의 실시예들은 또한 단층 촬영 결과에 이르는 시간을 감소시킬 수 있다.
본원에 설명된 위상 검색을 사용하면, SDM의 일부가 결정된 후, SDM의 나머지 알려지지 않은 부분에 대한 고유의 솔루션이 측정된 신호로부터 획득될 수 있다. 확장된 단위 셀의 SDM 맵의 상단 층을 정교화하는 방법들이 설명된다. 다른 실시예들은 SDM의 다른 섹션들을 결정한다.
SDM의 임의의 부분을 정한다고 해서, 측정된 회절 신호만으로부터 SDM의 고유한 솔루션이 반드시 보장되는 것은 아니다. 그러나, SDM의 정해진 부분으로부터 충분한 수의 측정 구성과 충분한 양의 산란이 주어지면, 고유한 솔루션이 획득될 수 있다.
도 1은 방법(100)의 플로우차트이다. 방법(100)을 사용하면, 타겟의 상단 층의 SDM이 할당될 수 있고, 상단 층 아래의 SDM이 결정될 수 있다. 101에서, 웨이퍼 계측 툴(wafer metrology tool)을 사용하여 반도체 웨이퍼 상의 타겟의 표면을 측정한다. 예를 들어, 웨이퍼 계측 툴은 임계 치수 주사 전자 현미경(critical dimension scanning electron microscope)(CD-SEM), 반사 소각 X 선 산란계(reflection small angle x-ray scatterometer), 또는 광학 산란계(optical scatterometer)일 수 있다.
측정에 의해, 타겟의 상단 표면의 기하학적 구조가 결정된 후, 복셀들의 상단 층의 SDM은 타겟의 표면에 존재할 것으로 예상되는 재료들의 산란 밀도를 사용하여, 측정된 기하학적 구조에 기반하여 할당된다. 산란 계산에서 입사 방사선 플럭스가 독립적으로 해명되지 않는 경우, 정해진 모든 표면 복셀들의 산란 밀도에 대한 균일한 스케일링을 사용하여 방사선 플럭스의 불확실성을 해명할 수 있다. 예를 들어, 102에서, 표면의 복셀 맵을 예상되는 재료들의 산란 밀도를 사용하여 다른 측정치들에 의해 획득되는 기하학적 구조에 매칭되도록 정한다. 정해진 모든 표면 복셀들의 산란 밀도에 대해 균일한 스케일링이 발생할 수 있다. 예를 들어, 정해진 모든 표면 복셀들의 산란 밀도에 대해 균일한 스케일링만이 발생할 수 있거나 정해진 모든 표면 복셀들의 산란 밀도에 대해 적어도 일부의 균일한 스케일링이 발생할 수 있다.
일반적으로, 입사 플럭스 및 재료의 산란 밀도 모두에서의 불확실성으로 인해, 그 스케일링을 정해진 값으로 설정하는 것이 어려울 수 있다. 일 경우에, 스케일 팩터가 대신 적용된다. 표면에 다수의 재료들이 존재하는 경우, 각 재료에 대해 개별 스케일 팩터가 필요할 수 있다.
복셀은 작은 규칙적인 볼륨으로서, 종종 직사각형 프리즘이다. 복셀의 기하학적 구조는 확장된 단위 셀의 타일링, 즉 겹치지 않게 확장된 단위 셀을 완전히 덮는 이러한 볼륨의 유한 세트를 허용한다. 일 경우, 렌더링 시스템은 복셀의 포지션을 다른 복셀들에 대한 포지션(즉, 단일 볼륨 이미지를 구성하는 데이터 구조에서의 포지션)에 기반하여 유추할 수 있다. 복셀들은 규칙적으로 샘플링된 공간들을 나타낼 수 있으며, 이들 공간들은 비 균일하게 채워진다.
복셀들은 타겟 내의 재료들과 연관된 값들의 세트로부터의 산란 값들을 취할 수 있고, 이들 산란 값들은 이러한 재료들의 산란 또는 전자 밀도를 포함할 수 있다. 산란 값들은 본원에 설명된 계측 방식에서 지속적으로 변동될 수 있으며, 이는 타겟 내의 형상이 복셀의 이산화 레벨로 렌더링될 수 있게 한다. 이러한 렌더링은 X 선 이미지의 렌더링과 유사한 것으로, 여기서 각 픽처 요소(픽셀)는 포인트와 같은 소스에서 방출되는 X 선의 원뿔에 대한 타겟 재료의 투과율에 비례하는 밝기를 갖는다.
도 6 내지 도 10은 방법(100)의 일 예이다. 도 6은 실리콘에서의 예시적인 2D 주기적 홀들 어레이이다. 도 7은 중첩된 복셀화(voxelization super-imposed)를 위한 격자 라인들로 측정되거나 이미징되는 예시적인 표면이다. 도 7은 도 6에 대응할 수 있다. 도 8은 2D 주기적 어레이 내의 하나의 단위 셀의 예시적인 3D 뷰이다. 도 9는 격자 라인들과 정렬된 복셀화된 에지들로 복셀화된 후에 측정되거나 이미징되는 예시적인 표면이다. 도 9는 도 8에 대응할 수 있다. 도 10은 코스 복셀화(coarse voxelization) 후 2D 주기적 어레이 내의 하나의 단위 셀의 예시적인 3D 뷰이다. 도 10은 3x3x6 복셀들 어레이를 도시한 것이다. 9 개의 복셀들의 상단 층은 보조 측정에 의해 결정되고, 나머지는 X 선 산란 단층 촬영 방법에 의해 고유하게 결정될 수 있다.
일 실시예에서, 타겟의 표면은 반사 기술을 사용하도록 구성된 측정 툴, 특히 타겟의 비교적 작은 침투 깊이를 갖는 시스템으로 측정될 수 있다. 측정 툴은 또한 다른 웨이퍼 계측 툴일 수 있거나 또는 일부 다른 측정 시스템일 수도 있다. 일 예에서, 웨이퍼 계측 툴은 반사 X 선 산란계일 수 있고, 측정 툴은 투과 소각 X 선 산란계일 수 있다. 다른 예에서, 웨이퍼 계측 툴은 광학 산란계이고, 측정 툴은 투과 소각 X 선 산란계일 수 있다. 이러한 툴들을 단독으로 또는 탠덤 방식(in tandem)으로 사용하면, 정해진 모든 표면 복셀들의 산란 밀도에 대해 균일한 스케일링을 모듈로(modulo) 하여, 타겟의 표면을 이러한 계측 툴에 의해 표시되는 기하학적 구조로 정할 수 있다.
하이브리드 이미징 실시예에서, CD-SEM 이미징 또는 코히어런트 회절 이미징(coherent diffraction imaging)과 같은 이미징 방법은 타겟의 표면의 측정치를 제공할 수 있다. 해당 이미지를 사용하면, 재료들의 산란 밀도를 사용하여 정해진 모든 표면 복셀들의 산란 밀도에 대해 균일한 스케일링을 모듈로 하여, 표면의 복셀 맵을 예상되는 이미징의 기하학적 구조로 정할 수 있다.
하이브리드 산란계 실시예에서, 반사 소각 X 선 산란(R-SAXS) 또는 광학 산란계와 같은 방법은 투과 기술(예컨대, T-SAXS)과 함께 사용될 때 타겟의 기하학적 구조의 측정치를 제공할 수 있다. 전형적으로, 이러한 측정치들은 주어진 타겟 깊이에 대한 정확한 결과를 제공하는 데 의존할 것이다. 이는 VNAND 채널 홀 측정에 특히 유용한데, 그 이유는 채널 홀들의 기하학적 구조가 디바이스의 상단에서는 비교적 단순하지만 디바이스의 하단에서는 더 복잡한 기하학적 구조를 갖기 때문이다. 이 접근법의 이점을 얻을 수 있는 다른 예의 디바이스들/구조물들은 DRAM 디바이스들, W-리세스 구조물들, CMOS 언더 어레이(CMOS-Under-Array), 및 일반적으로 비교적 높이가 높은 임의의 반도체 디바이스들(any relatively tall semiconductor devices)이다. 디바이스의 상단만 프로빙(probe)할 수 있는 산란 측정 결과들은 T-SAXS 단독보다는 상부 기하학적 구조를 더 정확하게 측정할 수 있다.
또한, 타겟이 여러 처리 단계들에 걸쳐 구축된 경우, 이전 처리 단계에서의 측정치를 사용하여 타겟의 하부 부분의 기하학적 구조를 정할 수 있다.
상단 표면 근처의 반사 산란 측정 결과들이 사용되면, 예상되는 재료들의 산란 밀도를 사용하여 복셀 맵의 상부 부분의 복셀 맵을 개별적으로 측정된 상단 기하학적 구조로 정할 수 있으므로, 정해진 모든 표면 복셀들의 산란 밀도에 대해 균일한 스케일링만이 가능해질 수 있다.
T-SAXS를 사용하여 타겟의 단층 촬영 이미지를 계산하는 실시예에서, 최적화 기술이 사용될 수 있으며, 이에 의해, 정해지지 않은 복셀들과 연관된 산란 밀도들의 값들은 산란 밀도들의 분포와 연관된 시뮬레이션된 T-SAXS 신호가 측정된 T-SAXS 신호와 매칭되는 방식으로 변동된다. 따라서, 최적화는 적합 측정치(fit measure)를 최소화한다. T-SAXS 신호가 SDM보다 자유도가 더 적거나, 또는 SDM이 스펙트럼 맵에 대해 등급 순위(본원의 기술이 제거 또는 감소를 시도하는 속성)가 부족한 경우, 추가 정규화 항이 최적화에 추가되어 SDM의 엔트로피 측정치를 감소시킬 수 있다. 이러한 엔트로피 측정치 중 하나는 유한 차분 재료 구배의 L1 놈(norm), 즉 총 변동(total variation)이다.
혼합 정수 접근법(mixed integer approach)은 복셀들이 타겟 내에 있는 것으로 알려진 재료들과 연관된 값들의 세트로부터 산란 값들을 취하는 것을 허용할 수 있다. 혼합 정수 접근법은 재료 맵(material map)을 사용할 수 있다. 유한하고 계수가능한 세트로부터의 숫자들이 재료들에 기반하여 맵의 각 영역에 할당될 수 있다. 재료들의 산란 값들은 동일하거나 유사한 놈(norm)을 최소화하기 위해 지속적으로 플로팅하도록 허용될 수 있다. 알고리즘은 병렬화될 수 있는데, 그 이유는 여러 개의 개별 프로세서들이 고유한 정수 재료 맵에서 작동할 수 있고 표준 최적화가 비교적 적은 수의 산란 밀도 값들에 대해 수행될 수 있기 때문이다. 따라서, 처리 시간은 다른 기술들에 비해 최소화될 수 있다.
휴리스틱스(heuristics)는, 예를 들어, 초기 정수 복셀 맵과 제안된 정수 맵 사이의 불일치에 대한 이산 측정을 적용하고 조합들에 의해 근접성을 먼저 최적화함으로써 문제의 조합 복잡성을 감소시키는 데 이용될 수 있다.
혼합 정수 접근법은 타겟에 대한 추가 정보를 제공하는 이미징 방법 또는 다른 방법들과 효과적으로 작동할 수 있다.
T-SAXS 측정치들에는 파 필드 강도들(far-field intensities)의 측정에만 내재된 모호성들이 포함될 수 있다. 완전한 공간 정보는 필드 진폭의 제곱의 절대 값으로 정의되는 실수 값 강도가 아닌, 복소 값 필드 진폭으로 운반된다. 또한, 약한 X 선 산란의 결과로 수직 모호성이 발생할 수 있다. T-SAXS 단층 촬영에서, 물체는 경질 X 선의 약한 산란 특성으로 인해 거의 동일한 스펙트럼 매칭 상태로 수직으로 뒤집힐 수 있다. 공간 부분 모호성은 단순한 직사각형 격자의 경우 스펙트럼을 명확하게 할 수 있는 제로 회절 차수를 측정할 수 없는 실질적인 무능의 결과로서 발생할 수 있다. T-SAXS 단층 촬영에서, 라인/공간 부분이 40 %인 2D 격자는 라인/공간 부분이 60 %인 다른 격자로 표현될 수 있으며, 동일한 측정 신호에 매칭될 수 있다. 변환 모호성은 진폭 대신 강도 측정의 직접적인 결과이다. 도 2는 주기적 단위 셀 내에서 유사한 산란을 갖지만 상이한 정의들을 갖는 예시적인 2D 구조들을 도시한 것이다.
도 3은 부가 측정(adjunct measurement)에 의한 위상 공개(phase revelation)를 도시한 것이다. 모델 내의 알려지지 않은 위상은, 부가 측정을 통해 구조물의 한 부분을 알고, 그 부분을 주기적 단위 셀 내에 정하고, 그리고 구조물의 나머지 부분을 결정하기 위해 다양한 조명 각도들에서 충분한 수의 측정을 수행함으로써, 결정될 수 있다.
도 4는 이전 측정(previous measurement)에 의한 위상 공개를 도시한 것이다. 위상은 추가의 알려진 구조물을 타겟 구조물 아래 또는 위에 독립적으로 제조하거나 배치함으로써 결정될 수 있다. 위상은 또한 타겟 제조 공정의 상이한 단계에서 그 알려진 구조물의 일부를 측정함으로써 결정될 수 있다.
위상 공개는, 도 4에서와 같이, 구조물의 하단에서 구조물의 상단으로 이어질 수 있다.
도 5는 웨이퍼 계측 툴(200)의 일 실시예의 블럭 다이어그램이다. 웨이퍼 계측 툴(200)은 웨이퍼(205) 또는 다른 공작물을 유지하도록 구성된 척(chuck)(204)을 포함한다. 척(204)은 1 개, 2 개, 또는 3 개의 축으로 이동 또는 회전하도록 구성될 수 있다. 척(204)은 또한, 예를 들어, Z 축 주위로 회전하도록 구성될 수 있다.
웨이퍼 계측 툴(200)은 또한 웨이퍼(205)상의 표면, 디바이스, 피처, 또는 층의 일부를 측정하도록 구성된 측정 시스템(201)을 포함한다. 예를 들어, 웨이퍼 계측 툴(200)은 반도체 웨이퍼 상의 타겟의 표면을 측정하도록 구성될 수 있다. 웨이퍼 계측 툴(200)은 CD-SEM, 반사 소각 X 선 산란계, 또는 광학 산란계일 수 있다. 예를 들어, 웨이퍼 계측 툴(500)은 미국 특허 번호 제7,933,026호에 도시된 것과 같은 하드웨어 구성을 가질 수 있고, 이 미국 특허는 그 전체가 본원에 참고로 포함된다.
웨이퍼 계측 툴(200)이 반사 소각 X 선 산란계 또는 광학 산란계라고 하면, 투과 기술을 사용하도록 구성된 측정 툴(도 5에 도시되지 않음)은 프로세서(202)와 전자 통신 상태에 있을 수 있다. 측정 툴은 투과 소각 X 선 산란계일 수 있다. 웨이퍼 계측 툴(200)은 타겟의 기하학적 구조를 측정하도록 추가로 구성될 수 있다.
도 11은 시스템(300)의 블럭 다이어그램이다. 웨이퍼 계측 툴(200) 및 측정 툴(301)은 모두 웨이퍼(205)의 측면들을 이미징할 수 있거나 웨이퍼(205)의 측면들을 측정하는 데 사용될 수 있다. 웨이퍼 계측 툴(200) 및 측정 툴(301)은 모두 프로세서(202) 및 전자 데이터 저장 유닛(203)과 전자 통신 상태에 있다. 웨이퍼 계측 툴(200) 및 측정 툴(301)은 동일한 시스템의 일부일 수 있거나 웨이퍼(205)는 웨이퍼 계측 툴(200)과 측정 툴(301) 사이에서 이송될 수 있다.
다시 도 5로 돌아가면, 측정 시스템(201)은 광 빔, 전자 빔, 광대역 플라즈마를 생성할 수 있거나, 또는 다른 기술들을 사용하여 웨이퍼(205)의 표면을 측정할 수 있다. 일 예에서, 측정 시스템(201)은 레이저를 포함한다. 다른 예에서, 웨이퍼 계측 툴(200)은 광대역 플라즈마 검사 툴이다. 측정 시스템(201)은 웨이퍼(205) 상의 타겟의 이미지들을 제공할 수 있거나, 또는 웨이퍼(205) 상에 다이들의 이미지들을 형성하는 데 사용되는 정보를 제공할 수 있다.
특히, 웨이퍼 계측 툴(200) 또는 측정 시스템(201)은 하나 이상의 회전 편광기에, 회전 보상기 분광 엘립소메트리 데이터(rotating compensator spectroscopic ellipsometry data), 전체 뮬러 매트릭스 성분 데이터(full Mueller matrix components data), 회전 편광기 분광 엘립소메트리 데이터(rotating polarizer spectroscopic ellipsometry data), 반사 측정 데이터(reflectometry data), 레이저 구동 분광 반사 측정 데이터(laser driven spectroscopic reflectometry data), 또는 X 선 데이터를 제공하도록 구성될 수 있다.
일례에서, 웨이퍼 계측 툴(200)은 광대역 광 소스, 광 소스가 타겟과 상호 작용하는 방식을 측정하는 측정 시스템(201), 및 타겟의 관련 파라미터들을 추출하는 처리 알고리즘들을 사용하여, 분광 엘립소메트리(spectroscopic ellipsometry)를 제공한다. 소스는 레이저 구동 광 소스일 수 있으며, 이 광 소스는 Xe 램프와는 반대로, 높은 강도들을 제공하고 검출기에서 신호 대 잡음비를 증가시킬 수 있다. 일 예에서, 수집 시스템은 일련의 편광기들(회전 또는 고정식), 보상기들(회전 또는 고정식), 검출기들, 분광계들, 카메라들, 렌즈들, 미러들, 및/또는 시준기들을 포함한다. 타겟 시그니처들(target signatures)을 강화하기 위해, 시스템은 N2 또는 Ar 가스 퍼지(gas purge)를 사용하여 파장 범위를 170 nm 이하로 확장할 수 있다.
웨이퍼 계측 툴(200)은 프로세서(202), 및 프로세서(202)와 전자 통신 상태에 있는 전자 데이터 저장 유닛(203)과 통신한다. 예를 들어, 프로세서(202)는 측정 시스템(201)과 통신할 수 있거나 또는 웨이퍼 계측 툴(200)의 다른 컴포넌트들과 통신할 수 있다. 프로세서(202)는 실제로 하드웨어, 소프트웨어, 및 펌웨어의 임의의 조합에 의해 구현될 수 있다. 또한, 본원에 설명된 바와 같은 그의 기능은 하나의 유닛에 의해 수행되거나 상이한 컴포넌트들 간에 분할될 수 있으며, 이들 각각은 하드웨어, 소프트웨어, 및 펌웨어의 임의의 조합에 의해 차례로 구현될 수 있다. 프로세서(202)가 다양한 방법 및 기능을 구현하기 위한 프로그램 코드 또는 인스트럭션들은 컨트롤러 판독 가능한 저장 매체, 예를 들어, 전자 데이터 저장 유닛(203) 내의, 프로세서(202) 내의, 프로세서(202) 외부의, 또는 그 조합들 내의 메모리 내에 저장될 수 있다.
단 하나의 프로세서(202) 및 전자 데이터 저장 유닛(203)이 도시되어 있지만, 하나 초과의 프로세서(202) 및/또는 하나 초과의 전자 데이터 저장 유닛(203)이 포함될 수 있다. 각각의 프로세서(202)는 하나 이상의 전자 데이터 저장 유닛(203)과 전자 통신 상태에 있을 수 있다. 일 실시예에서, 하나 이상의 프로세서들(202)은 통신 가능하게 연결된다. 이와 관련하여, 하나 이상의 프로세서들(202)은 측정 시스템(201)에서 수신된 판독치들을 수신하고 판독치를 프로세서(202)의 전자 데이터 저장 유닛(203)에 저장할 수 있다. 프로세서(202) 및/또는 전자 데이터 저장 유닛(203)은 웨이퍼 계측 툴(200) 자체의 일부일 수 있거나 또는 웨이퍼 계측 툴(200)로부터 분리될 수 있다(예컨대, 독립형 제어 유닛일 수 있거나 또는 중앙 집중식 품질 제어 유닛 내에 있을 수 있다).
프로세서(202)는 (예컨대, "유선” 및/또는 무선 전송 매체를 포함할 수 있는 하나 이상의 전송 매체를 통해) 임의의 적절한 방식으로 웨이퍼 계측 툴(200)의 컴포넌트들에 연결될 수 있고, 따라서 프로세서(202)는 웨이퍼 계측 툴(200)에 의해 생성된 출력, 예를 들어, 측정 시스템(201)으로부터의 출력을 수신할 수 있다. 프로세서(202)는 출력을 사용하여 다수의 기능을 수행하도록 구성될 수 있다. 예를 들어, 프로세서(202)는 웨이퍼(205) 상의 층들을 측정하도록 구성될 수 있다. 다른 예에서, 프로세서(202)는 출력을 출력의 검토 없이 전자 데이터 저장 유닛(203) 또는 다른 저장 매체로 전송하도록 구성될 수 있다. 프로세서(202)는 본원에 기술된 바와 같이 추가로 구성될 수 있다.
본원에 기술된 프로세서(202), 다른 시스템(들), 또는 다른 서브 시스템(들)은 퍼스널 컴퓨터 시스템, 이미지 컴퓨터, 메인 프레임 컴퓨터 시스템, 워크스테이션, 네트워크 기기, 인터넷 기기, 또는 다른 디바이스를 포함하는 다양한 형태를 취할 수 있다. 서브 시스템(들) 또는 시스템(들)은 또한 병렬 프로세서와 같은 본 기술 분야에서 알려진 임의의 적합한 프로세서를 포함할 수 있다. 또한, 서브 시스템(들) 또는 시스템(들)은 독립형 또는 네트워크형 툴로서, 고속 처리 및 소프트웨어를 갖는 플랫폼을 포함할 수 있다. 예를 들어, 프로세서(202)는 마이크로프로세서, 마이크로컨트롤러, 또는 다른 디바이스들을 포함할 수 있다.
시스템이 하나 초과의 서브 시스템을 포함하는 경우, 상이한 서브 시스템들은, 서브 시스템들 간에 이미지, 데이터, 정보, 인스트럭션들 등이 전송될 수 있도록, 서로 연결될 수 있다. 예를 들어, 하나의 서브 시스템은 본 기술 분야에서 알려진 임의의 적합한 유선 및/또는 무선 전송 매체를 포함할 수 있는 임의의 적합한 전송 매체에 의해 추가적인 서브 시스템(들)에 연결될 수 있다. 이러한 서브 시스템들 중 둘 이상은 또한 공유 컴퓨터 판독 가능 저장 매체(도시되지 않음)에 의해 효과적으로 연결될 수 있다.
프로세서(202)는 또한 결함 검토 시스템, 검사 시스템, 계측 시스템, 또는 일부 다른 타입의 시스템의 일부일 수 있다. 따라서, 본원에 개시된 실시예들은 상이한 애플리케이션들에 대해 다소 적합한 상이한 능력들을 갖는 시스템들에 대해 다수의 방식으로 맞춤화될 수 있는 일부 구성들을 설명한다.
프로세서(202)는 측정 시스템(201)과 전자 통신 상태에 있을 수 있거나 또는 웨이퍼 계측 툴(200)의 다른 컴포넌트들과 전자 통신 상태에 있을 수 있다. 프로세서(202)는 본원에 기술된 임의의 실시예에 따라 구성될 수 있다. 프로세서(202)는 또한 측정 시스템(201)의 출력을 사용하여 또는 다른 소스들로부터의 이미지들, 측정치들, 또는 데이터를 사용하여, 다른 기능들 또는 추가적인 단계들을 수행하도록 구성될 수 있다.
추가적인 실시예는 본원에 개시된 컴퓨터로 구현되는 방법을 수행하기 위해 컨트롤러 상에서 실행 가능한 프로그램 인스트럭션들을 저장하는 비 일시적 컴퓨터 판독 가능 매체에 관한 것이다. 특히, 도 5에 도시된 바와 같이, 프로세서(202)는 프로세서(202) 상에서 실행 가능한 프로그램 인스트럭션들을 포함하는 비 일시적 컴퓨터 판독 가능 매체와 함께 전자 데이터 저장 유닛(203) 또는 다른 전자 데이터 저장 매체 내의 메모리를 포함할 수 있다. 컴퓨터로 구현되는 방법은 본원에 기술된 임의의 방법(들)의 임의의 단계(들)를 포함할 수 있다. 예를 들어, 프로세서(202)는 방법(100)의 일부 또는 모든 단계들을 수행하도록 프로그래밍될 수 있다. 전자 데이터 저장 유닛(203) 또는 다른 전자 데이터 저장 매체 내의 메모리는 저장 매체, 예를 들어, 자기 또는 광학 디스크, 자기 테이프, 또는 본 기술 분야에서 알려진 임의의 다른 적합한 비 일시적 컴퓨터 판독 가능 매체일 수 있다.
일례에서, 프로세서(202)는 하나 이상의 소프트웨어 모듈들을 실행하도록 구성될 수 있다. 예를 들어, 프로세서(202)는 예상되는 재료들의 산란 밀도를 사용하여 표면의 복셀 맵을 웨이퍼 계측 툴(200)로부터의 측정치들의 기하학적 구조로 정하도록 구성될 수 있다. 정해진 모든 표면 복셀들의 산란 밀도에 대해 균일한 스케일링만이 발생할 수 있다. 전자 데이터 저장 유닛(203)은 타겟 내의 재료들과 연관된 복수의 산란 값들을 저장하도록 구성될 수 있다. 프로세서(202)는 복셀들에 대한 값들의 세트로부터 산란 값들을 취하도록 구성될 수 있다. 산란 값들은 지속적으로 플로팅(float)될 수 있다.
프로그램 인스트럭션들은, 특히, 절차 기반 기술들, 컴포넌트 기반 기술들, 및/또는 객체 지향 기술들을 포함하는 다양한 방식 중 임의의 방식으로 구현될 수 있다. 예를 들어, 프로그램 인스트럭션들은 원하는 바에 따라, ActiveX 컨트롤들, C++ 객체들, JavaBeans, Microsoft Foundation Classes (MFC), SSE (Streaming SIMD Extension), 또는 다른 기술들 또는 방법론들을 사용하여 구현될 수 있다.
다른 실시예에서, 프로세서(202)는 본 기술 분야에서 알려진 임의의 방식으로, 웨이퍼 계측 툴(200)의 다양한 컴포넌트들 또는 서브 시스템들 중 임의의 것에 통신 가능하게 연결될 수 있다. 또한, 프로세서(202)는 유선 및/또는 무선 부분들을 포함할 수 있는 전송 매체에 의해 다른 시스템들로부터 데이터 또는 정보(예컨대, 검토 툴, 다른 측정 툴, 설계 데이터를 포함하는 원격 데이터베이스 등과 같은 검사 시스템으로부터의 검사 결과들)를 수신 및/또는 획득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 프로세서(202)와 웨이퍼 계측 툴(200)의 다른 서브 시스템들 또는 웨이퍼 계측 툴(200) 외부의 시스템들 간의 데이터 링크로서 기능할 수 있다.
일부 실시예에서, 본원에 개시된 웨이퍼 계측 툴(200) 및 방법들의 다양한 단계들, 기능들, 및/또는 동작들은 전자 회로들, 로직 게이트들, 멀티플렉서들, 프로그래머블 로직 디바이스들, ASICs, 아날로그 또는 디지털 컨트롤들/스위치들, 마이크로컨트롤러들, 또는 컴퓨팅 시스템들 중 하나 이상에 의해 수행된다. 본원에 기술된 것들과 같은 방법들을 구현하는 프로그램 인스트럭션들은 캐리어 매체를 통해 전송되거나 캐리어 매체 상에 저장될 수 있다. 캐리어 매체는 판독 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 비 휘발성 메모리, 솔리드 스테이트 메모리, 자기 테이프 등과 같은 저장 매체를 포함할 수 있다. 캐리어 매체는 유선, 케이블, 또는 무선 전송 링크와 같은 전송 매체를 포함할 수 있다. 예를 들어, 본 개시 내용 전체에 걸쳐 기술된 다양한 단계들은 단일 프로세서(202)(또는 컴퓨터 시스템)에 의해 수행될 수 있거나, 또는 대안적으로 다수의 프로세서들(202)(또는 다수의 컴퓨터 시스템들)에 의해 수행될 수 있다. 더욱이, 웨이퍼 계측 툴(200)의 상이한 서브 시스템들은 하나 이상의 컴퓨팅 또는 로직 시스템들을 포함할 수 있다. 그러므로, 전술한 설명은 본 개시 내용에 대한 제한이 아닌 예시로만 해석되어야 한다.
일례에서, 도 5의 웨이퍼 계측 툴(200)은 타겟에 조명하는 조명 시스템; 웨이퍼(205) 상의 타겟, 디바이스, 또는 피처와의 조명 시스템의 상호 작용(또는 그의 결여)에 의해 제공되는 관련 정보를 캡처하는 측정 시스템(201); 및 하나 이상의 알고리즘을 사용하여 수집되는 정보를 분석하는 프로세서(202)를 포함할 수 있다.
웨이퍼 계측 툴(200)은 다양한 반도체 구조 및 재료 특성들을 측정하는 데 사용될 수 있는 하나 이상의 하드웨어 구성들을 포함할 수 있다. 이러한 하드웨어 구성들의 예들은 분광 엘립소미터(spectroscopic ellipsometer)(SE); 다수의 조명 각도들을 갖는 SE; (예컨대, 회전 보상기(들)를 사용하여) 뮬러 매트릭스 요소들을 측정하는 SE; 단일 파장 엘립소미터들; 빔 프로파일 엘립소미터(각도 분해된 엘립소미터); 빔 프로파일 반사계(각도 분해된 반사계); 광대역 반사 분광계(분광 반사계); 단일 파장 반사계; 각도 분해된 반사계; 이미징 시스템; 또는 산란계(예컨대, 스펙클 분석기(speckle analyzers))를 포함하지만 이에 제한되지는 않는다. 하드웨어 구성들은 개별 운영 체제들로 분리될 수 있거나 또는 단일 툴로 결합될 수 있다.
특정 하드웨어 구성들의 조명 시스템은 하나 이상의 광 소스들을 포함할 수 있다. 광 소스는 하나의 파장만을 갖는 광(즉, 단색광), 다수의 이산 파장들을 갖는 광(즉, 다색광), 다중 파장들을 갖는 광(즉, 광대역광), 및/또는 파장들 사이에서 연속적으로 또는 호핑 방식으로 파장들을 스윕하는 광(즉, 튜닝 가능한 소스들 또는 스윕된 소스)을 생성할 수 있다. 적합한 광 소스들의 예들은 백색광 소스, 자외선(UV) 레이저, 아크 램프 또는 무전극 램프, 레이저 지속 플라즈마(laser sustained plasma)(LSP) 소스, 초 연속 소스(supercontinuum source)(예를 들어, 광대역 레이저 소스), 단파장의 소스들, 예를 들어, X 선 소스들, 극자외선 소스들, 또는 이들의 일부 조합이다. 광 소스는 또한 충분한 밝기를 갖는 광을 제공하도록 구성될 수 있으며, 이 밝기는 일부 경우에 약 1 W/(nm cm2 Sr)보다 큰 밝기일 수 있다. 웨이퍼 계측 툴(200)은 또한 전력 및 파장을 안정화시키기 위해 광 소스에 대한 고속 피드백을 포함할 수 있다. 광 소스의 출력은 자유 공간 전파를 통해 전달될 수 있거나, 또는 일부의 경우에, 임의의 타입의 광섬유 또는 광 가이드를 통해 전달될 수 있다.
웨이퍼 계측 툴(200)은 반도체 제조와 관련된 다수의 상이한 타입들의 측정들을 수행하도록 설계될 수 있다. 예를 들어, 특정 실시예들에서, 웨이퍼 계측 툴(200)은 임계 치수들, 오버레이, 측벽 각도들, 막 두께들, 공정 관련 파라미터들(예컨대, 초점 및/또는 도즈량)과 같은 하나 이상의 타겟들의 특성들을 측정할 수 있다. 타겟들은 본질적으로 주기적인 특정 관심 영역, 예를 들어, 메모리 다이 내의 격자들을 포함할 수 있다. 타겟들은 웨이퍼 계측 툴(200)에 의해 두께가 측정될 수 있는 다수의 층들(또는 막들)을 포함할 수 있다. 타겟들은, 예를 들어, 정렬(alignment) 및/또는 오버레이 레지스트레이션(overlay registration) 동작들과 함께 사용하기 위해 반도체 웨이퍼 상에 배치된(또는 이미 존재하는) 타겟 설계물들(target designs)을 포함할 수 있다. 특정 타겟들은 반도체 웨이퍼 상의 다양한 장소에 위치될 수 있다. 예를 들어, 타겟들은 스크라이브 라인 내에(예컨대, 다이들 사이에) 및/또는 다이 자체 내에 위치될 수 있다. 특정 실시예에서, 다수의 타겟들은 동일한 또는 다수의 계측 툴에 의해 (동시에 또는 상이한 시간에) 측정된다. 그러한 측정들로부터의 데이터는 결합될 수 있다. 계측 툴로부터의 데이터는 반도체 제조 공정에서, 예를 들어, 이 공정(예컨대, 리소그래피, 에칭)에 대해 피드 포워드(feed-forward), 피드 백워드(feed-backward), 및/또는 피드 사이드웨이(feed-sideways) 보정들을 행하는 데 사용될 수 있고, 따라서 완전한 공정 제어 솔루션을 생성할 수 있다.
측정 정확도와 실제 디바이스 특성들에 대한 매칭을 개선하고 인 다이(in-die) 또는 온 디바이스(on-device) 측정들을 개선하기 위해 다양한 계측 구현예들이 제안되었다. 예를 들어, 주로 반사성 광학계에 기반한 포커싱된 빔 엘립소메트리(ellipsometry)가 사용될 수 있다. 아포다이저들(apodizers)은 기하학적 광학계에 의해 정의된 사이즈를 넘어서 조명 스폿의 확산을 야기하는 광학 회절의 영향을 완화시키는 데 사용될 수 있다. 동시 다중 입사각 조명(simultaneous multiple angle-of-incidence illumination)과 함께 고개구수 툴(high-numerical-aperture tools)을 사용하는 것이 소형 타겟 능력을 달성하는 또 다른 방법이다. 다른 측정 예들은 반도체 스택의 하나 이상의 층의 조성물을 측정하는 것, 웨이퍼 상의(또는 내부의) 특정 결함들을 측정하는 것, 및 웨이퍼에 노출되는 포토리소그래피 방사선의 양을 측정하는 것을 포함할 수 있다. 일부의 경우, 비 주기적 타겟들을 측정하기 위해 계측 툴 및 알고리즘이 구성될 수 있다.
관심 파라미터들의 측정은 일반적으로 다수의 알고리즘들을 포함한다. 예를 들어, 샘플과의 입사 빔의 광학적 상호 작용은 전자기(electro-magnetic)(EM) 솔버(solver)를 사용하여 모델링될 수 있으며, 엄격한 결합파 분석(rigorous coupled-wave analysis)(RCWA), 유한 요소법(finite element method)(FEM), 모멘트 방법(method of moments), 표면 적분법(surface integral method), 볼륨 적분법(volume integral method), 유한 차분 시간 도메인(finite-difference time-domain)(FDTD) 등과 같은 알고리즘들을 사용할 수 있다. 관심 타겟은 일반적으로 기하학적 엔진을 사용하거나, 또는 일부의 경우, 공정 모델링 엔진 또는 이 둘의 조합을 사용하여 모델링(파라미터화)된다. KLA-Tencor의 AcuShape 소프트웨어 제품과 같은 기하학적 엔진이 구현될 수 있다.
수집된 데이터는, 라이브러리들(libraries); 빠른 축소 차수 모델들(fast-reduced-order models); 회귀 분석(regression); 신경 네트워크들 및 지원 벡터 머신들(support-vector machines)(SVM)과 같은 머신 학습 알고리즘들(machine-learning algorithms); 주성분 분석(principal component analysis)(PCA), 독립 성분 분석(independent component analysis)(ICA), 및 로컬 선형 임베딩(local-linear embedding)(LLE)과 같은 차원 축소 알고리즘들(dimensionality-reduction algorithms); 푸리에 또는 웨이브릿 변환(Fourier or wavelet transform)과 같은 희소 표현(sparse representation); 칼만 필터(Kalman filter); 동일하거나 상이한 툴 타입들로부터 매칭을 촉진시키는 알고리즘들 등을 포함하는 다수의 데이터 피팅 및 최적화 기술들 및 테크놀러지들(data fitting and optimization techniques and technologies)을 사용하여 분석될 수 있다. 수집된 데이터는 또한 모델링, 최적화, 및/또는 피팅을 포함하지 않는 알고리즘들에 의해 분석될 수 있다.
계산 알고리즘들은 일반적으로 계산 하드웨어의 설계 및 구현, 병렬화, 계산 분산, 로드 밸런싱, 다중 서비스 지원, 또는 동적 로드 최적화와 같은 하나 이상의 접근법들이 사용되는 계측 애플리케이션에 최적화된다. 펌웨어, 소프트웨어, 필드 프로그래머블 게이트 어레이(field programmable gate array)(FPGA), 및 프로그래머블 광학계 컴포넌트들 등으로 다양한 알고리즘 구현예들이 수행될 수 있다.
데이터 분석 및 피팅 단계들은 일반적으로 하나 이상의 목표를 추구한다. 예를 들어, 목표는 CD, 측벽 각도(sidewall angle)(SWA), 형상, 응력, 조성물, 막들, 밴드갭, 전기적 특성들, 초점/도즈량, 오버레이의 측정, 공정 파라미터들(예컨대, 레지스트 상태, 부분 압력, 온도, 및 초점 모델)의 생성, 및/또는 이들의 임의의 조합일 수 있다. 목표는 계측 시스템들의 모델링 및/또는 설계일 수 있다. 목표는 또한 계측 타겟들의 모델링, 설계, 및/또는 최적화일 수 있다.
본 개시 내용의 실시예들은 반도체 계측 분야를 다루며, 위에서 요약된 하드웨어, 알고리즘/소프트웨어 구현예들 및 아키텍처들, 및 사용 사례들로 제한되지는 않는다.
방법의 단계들 각각은 본원에 기술되는 바와 같이 수행될 수 있다. 방법들은 또한 본원에 기술된 컨트롤러 및/또는 컴퓨터 서브 시스템(들) 또는 시스템(들)에 의해 수행될 수 있는 임의의 다른 단계(들)를 포함할 수 있다. 단계들은 본원에 기술된 실시예들 중 임의의 실시예에 따라 구성될 수 있는 하나 이상의 컴퓨터 시스템들에 의해 수행될 수 있다. 게다가, 전술한 방법들은 본원에 기술된 임의의 시스템 실시예에 의해 수행될 수 있다.
본 개시 내용은 하나 이상의 특정 실시예들과 관련하여 설명되었지만, 본 개시 내용의 범위를 벗어나지 않고 본 개시 내용의 다른 실시예들이 만들어질 수 있음을 이해할 것이다. 따라서, 본 개시 내용은 첨부된 청구 범위 및 그 합리적인 해석에 의해서만 제한되는 것으로 간주된다.

Claims (20)

  1. 방법으로서,
    웨이퍼 계측 툴(wafer metrology tool)을 사용하여 반도체 웨이퍼 상의 타겟의 표면을 측정하는 단계; 및
    프로세서를 사용하여, 상기 표면의 복셀 맵(voxel map)을, 상기 표면에 존재할 것으로 예상되는 재료들의 산란 밀도를 사용하여, 기하학적 구조 측정치들과 매칭되도록 정하는(fixing) 단계 - 정해진 모든 표면 복셀들의 산란 밀도에 대해 균일한 스케일링이 발생함 - 를 포함하는 방법.
  2. 제1항에 있어서,
    상기 웨이퍼 계측 툴은 임계 치수 주사 전자 현미경인 것인 방법.
  3. 제1항에 있어서,
    상기 웨이퍼 계측 툴은 반사 소각(small angle) x 선 산란계이고, 상기 방법은 반사 모드로 구성된 측정 툴로 상기 타겟의 표면을 측정하는 단계를 더 포함하는 것인 방법.
  4. 제3항에 있어서,
    상기 측정 툴은 투과 소각 x 선 산란계인 것인 방법.
  5. 제1항에 있어서,
    상기 웨이퍼 계측 툴은 광학 산란계이고, 상기 방법은 투과 기술을 사용하도록 구성된 측정 툴로 상기 타겟의 표면을 측정하는 단계를 더 포함하는 방법.
  6. 제5항에 있어서,
    상기 측정 툴은 투과 소각 x 선 산란계인 것인 방법.
  7. 제1항에 있어서,
    상기 타겟의 표면을 측정하는 단계는, 상기 기하학적 구조 측정치들을 제공하기 위해 상기 타겟의 기하학적 구조(geometry)를 측정하는 단계를 포함하는 것인 방법.
  8. 제1항에 있어서,
    복셀들은 상기 타겟 내의 재료들과 연관된 값들의 세트로부터 산란 값들을 취하는 방법.
  9. 제8항에 있어서,
    상기 산란 값들은 지속적으로 플로팅되는 것인 방법.
  10. 시스템으로서,
    반도체 웨이퍼 상의 타겟의 표면을 측정하도록 구성되는 웨이퍼 계측 툴; 및
    상기 웨이퍼 계측 툴과 전자 통신 상태에 있는 프로세서를 포함하고, 상기 프로세서는, 상기 표면의 복셀 맵을, 상기 표면에 존재할 것으로 예상되는 재료들의 산란 밀도를 사용하여, 기하학적 구조 측정치들과 매칭되게 정하도록 구성되며, 정해진 모든 표면 복셀들의 산란 밀도에 대해 균일한 스케일링이 발생되는 시스템.
  11. 제10항에 있어서,
    상기 웨이퍼 계측 툴은 임계 치수 주사 전자 현미경인 것인 시스템.
  12. 제10항에 있어서,
    상기 웨이퍼 계측 툴은 반사 소각 x 선 산란계이고, 상기 시스템은 상기 프로세서와의 전자 통신 상태에서 반사 모드를 사용하도록 구성되는 측정 툴을 더 포함하는 것인 시스템.
  13. 제12항에 있어서,
    상기 측정 툴은 투과 소각 x 선 산란계인 것인 시스템.
  14. 제10항에 있어서,
    상기 웨이퍼 계측 툴은 광학 산란계이고, 상기 시스템은 상기 프로세서와의 전자 통신 상태에서 투과 기술을 사용하도록 구성되는 측정 툴을 더 포함하는 시스템.
  15. 제14항에 있어서,
    상기 측정 툴은 투과 소각 x 선 산란계인 것인 시스템.
  16. 제10항에 있어서,
    상기 시스템은 상기 프로세서와의 전자 통신 상태에서 투과 기술을 사용하도록 구성되는 측정 툴을 더 포함하고, 상기 웨이퍼 계측 툴은 또한, 상기 타겟의 기하학적 구조를 측정하도록 구성되는 시스템.
  17. 제16항에 있어서,
    상기 웨이퍼 계측 툴은 반사 소각 x 선 산란계이거나 또는 광학 산란계이고, 상기 측정 툴은 투과 소각 x 선 산란계인 것인 시스템.
  18. 제16항에 있어서,
    상기 시스템은 상기 타겟 내의 재료들과 연관된 복수의 산란 값들을 저장하도록 구성되는 전자 데이터 저장 유닛을 더 포함하고, 상기 전자 데이터 저장 유닛은 상기 프로세서와 전자 통신 상태에 있는 것인 시스템.
  19. 제18항에 있어서,
    상기 프로세서는 상기 복셀들에 대한 값들의 세트로부터 산란 값들을 취하도록 구성되는 것인 시스템.
  20. 제19항에 있어서,
    상기 산란 값들은 지속적으로 플로팅되는 것인 시스템.
KR1020217005462A 2018-07-27 2019-07-26 위상 공개 광학 및 x 선 반도체 계측 KR102518206B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/047,818 2018-07-27
US16/047,818 US10677586B2 (en) 2018-07-27 2018-07-27 Phase revealing optical and X-ray semiconductor metrology
PCT/US2019/043541 WO2020023810A1 (en) 2018-07-27 2019-07-26 Phase revealing optical and x-ray semiconductor metrology

Publications (2)

Publication Number Publication Date
KR20210027497A KR20210027497A (ko) 2021-03-10
KR102518206B1 true KR102518206B1 (ko) 2023-04-04

Family

ID=69182366

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217005462A KR102518206B1 (ko) 2018-07-27 2019-07-26 위상 공개 광학 및 x 선 반도체 계측

Country Status (7)

Country Link
US (1) US10677586B2 (ko)
JP (1) JP7303868B2 (ko)
KR (1) KR102518206B1 (ko)
CN (1) CN112368812B (ko)
IL (1) IL280009B2 (ko)
TW (1) TWI780347B (ko)
WO (1) WO2020023810A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
WO2021179290A1 (en) 2020-03-13 2021-09-16 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip hole geometry metrology

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130094716A1 (en) 2011-10-14 2013-04-18 Ingrain, Inc. Dual Image Method And System For Generating A Multi-Dimensional Image Of A Sample
US20150300965A1 (en) 2014-04-21 2015-10-22 Kla-Tencor Corporation Scatterometry-Based Imaging and Critical Dimension Metrology
US20170309448A1 (en) 2016-04-26 2017-10-26 Fei Company Three-dimensional imaging in charged-particle microscopy

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06160064A (ja) * 1992-11-16 1994-06-07 Mitsubishi Materials Shilicon Corp 表面の検査方法および検査装置
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
JP4733252B2 (ja) * 2000-09-05 2011-07-27 Sumco Techxiv株式会社 ウエハの表面検査装置及び検査方法
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
CN101359611B (zh) * 2007-07-30 2011-11-09 东京毅力科创株式会社 对光学计量系统的选定变量进行优化
JP2010025836A (ja) * 2008-07-23 2010-02-04 Hitachi High-Technologies Corp 外観検査方法および外観検査装置、半導体検査装置ならびに半導体ウェハの断面検査装置
CN104364605B (zh) * 2012-04-18 2017-06-06 科磊股份有限公司 针对极紫外线光罩的临界尺寸均匀性监测
US10013518B2 (en) * 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
JP5974840B2 (ja) 2012-11-07 2016-08-23 ソニー株式会社 シミュレーション方法、シミュレーションプログラム、シミュレータ、加工装置、半導体装置の製造方法
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US9874526B2 (en) 2016-03-28 2018-01-23 Kla-Tencor Corporation Methods and apparatus for polarized wafer inspection
KR102293789B1 (ko) * 2016-10-17 2021-08-24 케이엘에이 코포레이션 검사 관련 알고리즘을 설정하는데 사용되는 트레이닝 세트의 최적화
US10775323B2 (en) * 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10382699B2 (en) * 2016-12-01 2019-08-13 Varjo Technologies Oy Imaging system and method of producing images for display apparatus

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130094716A1 (en) 2011-10-14 2013-04-18 Ingrain, Inc. Dual Image Method And System For Generating A Multi-Dimensional Image Of A Sample
US20150300965A1 (en) 2014-04-21 2015-10-22 Kla-Tencor Corporation Scatterometry-Based Imaging and Critical Dimension Metrology
US20170309448A1 (en) 2016-04-26 2017-10-26 Fei Company Three-dimensional imaging in charged-particle microscopy

Also Published As

Publication number Publication date
IL280009B2 (en) 2023-07-01
CN112368812B (zh) 2022-03-25
KR20210027497A (ko) 2021-03-10
JP2021531663A (ja) 2021-11-18
WO2020023810A1 (en) 2020-01-30
TW202007958A (zh) 2020-02-16
TWI780347B (zh) 2022-10-11
US10677586B2 (en) 2020-06-09
IL280009A (en) 2021-03-01
US20200080836A1 (en) 2020-03-12
IL280009B1 (en) 2023-03-01
JP7303868B2 (ja) 2023-07-05
CN112368812A (zh) 2021-02-12

Similar Documents

Publication Publication Date Title
KR102221063B1 (ko) 스캐터로메트리 기반 오버레이 측정들을 위한 신호 응답 계측
KR102220435B1 (ko) 웨이퍼에 걸친 파라미터 변동들에 기초한 측정 모델 최적화
EP2302360B1 (en) Method and apparatus for reconstruction of microscopic structures
TWI444781B (zh) 檢驗方法與裝置,微影裝置,微影處理單元及器件製造方法
CN102918464B (zh) 衬底上结构的测量
TWI603052B (zh) 產生最佳化量測配方之方法、系統及電腦可讀媒體
TWI536010B (zh) 評估結構的注意參數值重建品質之方法,檢測裝置及電腦程式產品
KR101906289B1 (ko) 리소그래피를 수반하는 제조 공정을 위한 공정 파라미터의 측정
US10146140B2 (en) Methods and apparatus for simulating interaction of radiation with structures, metrology methods and apparatus, device manufacturing method
KR101860042B1 (ko) 메트롤로지 타겟의 디자인을 위한 장치 및 방법
US8875078B2 (en) Reference library generation method for methods of inspection, inspection apparatus and lithographic apparatus
KR20200086746A (ko) 진보된 나노 구조물들의 측정 방법론
US9347872B1 (en) Meta-model based measurement refinement
KR102518206B1 (ko) 위상 공개 광학 및 x 선 반도체 계측
JP6273367B2 (ja) 検査方法及び装置、並びにリソグラフィ装置

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant