KR102478384B1 - Substrate procesing apparatus - Google Patents

Substrate procesing apparatus Download PDF

Info

Publication number
KR102478384B1
KR102478384B1 KR1020170179867A KR20170179867A KR102478384B1 KR 102478384 B1 KR102478384 B1 KR 102478384B1 KR 1020170179867 A KR1020170179867 A KR 1020170179867A KR 20170179867 A KR20170179867 A KR 20170179867A KR 102478384 B1 KR102478384 B1 KR 102478384B1
Authority
KR
South Korea
Prior art keywords
substrate
unit
polishing
fluid
processing apparatus
Prior art date
Application number
KR1020170179867A
Other languages
Korean (ko)
Other versions
KR20190078122A (en
Inventor
이해성
Original Assignee
주식회사 케이씨텍
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 케이씨텍 filed Critical 주식회사 케이씨텍
Priority to KR1020170179867A priority Critical patent/KR102478384B1/en
Priority to CN201820659711.3U priority patent/CN208179274U/en
Publication of KR20190078122A publication Critical patent/KR20190078122A/en
Application granted granted Critical
Publication of KR102478384B1 publication Critical patent/KR102478384B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • H01L51/56
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass

Abstract

본 발명은 기판 처리 장치에 관한 것으로, 기판 처리 장치는, 기판이 거치되는 기판거치부와, 기판에 대해 이동하며 기판의 상면을 연마하는 연마 유닛과, 기판에 대한 연마 유닛의 이동 방향을 따라 연마 유닛의 후방에 마련되며 기판의 상면에 잔류하는 유체를 흡입하는 유체흡입유닛을 포함하는 것에 의하여, 연마 입자 등의 이물질이 기판에 고착되는 것을 최소화하고, 세정 효율을 향상시키는 유리한 효과를 얻을 수 있다.The present invention relates to a substrate processing apparatus, which includes: a substrate holder on which a substrate is placed; a polishing unit moving with respect to the substrate and polishing the upper surface of the substrate; and polishing along the moving direction of the polishing unit with respect to the substrate. By including a fluid suction unit provided at the rear of the unit and sucking the fluid remaining on the upper surface of the substrate, it is possible to obtain advantageous effects of minimizing adhesion of foreign substances such as abrasive particles to the substrate and improving cleaning efficiency. .

Description

기판 처리 장치{SUBSTRATE PROCESING APPARATUS}Substrate processing apparatus {SUBSTRATE PROCESING APPARATUS}

본 발명은 기판 처리 장치에 관한 것으로, 보다 구체적으로 연마 입자 등의 이물질이 기판에 고화되는 것을 최소화하고, 세정 효율을 향상시킬 수 있는 기판 처리 장치에 관한 것이다.The present invention relates to a substrate processing apparatus, and more particularly, to a substrate processing apparatus capable of minimizing the solidification of foreign substances such as abrasive particles on a substrate and improving cleaning efficiency.

최근 정보 디스플레이에 관한 관심이 고조되고 휴대가 가능한 정보매체를 이용하려는 요구가 높아지면서 기존의 표시장치인 브라운관(Cathode Ray Tube; CRT)을 대체하는 경량 박형 평판표시장치(Flat Panel Display; FPD)에 대한 연구 및 상업화가 중점적으로 이루어지고 있다.Recently, interest in information display has increased and the demand for using portable information media has increased. Research and commercialization are being focused on.

이러한 평판표시장치 분야에서, 지금까지는 가볍고 전력소모가 적은 액정표시장치(Liquid Crystal Display Device; LCD)가 가장 주목받는 디스플레이 장치였지만, 액정표시장치는 발광소자가 아니라 수광소자이며, 밝기, 명암비(contrast ratio) 및 시야각 등에 단점이 있기 때문에, 이러한 단점을 극복할 수 있는 새로운 디스플레이 장치에 대한 개발이 활발하게 전개되고 있다. 이중, 최근에 각광받고 있는 차세대 디스플레이 중 하나로서는, 유기발광 디스플레이(OLED: Organic Light Emitting Display)가 있다.In the field of such a flat panel display, until now, a liquid crystal display device (LCD), which is light and consumes less power, has been the most popular display device, but a liquid crystal display is not a light emitting device but a light receiving device, Since there are disadvantages such as ratio and viewing angle, development of a new display device capable of overcoming these disadvantages is being actively developed. Among them, as one of the next-generation displays that have recently been spotlighted, there is an organic light emitting display (OLED).

일반적으로 디스플레이 장치에서는 강도 및 투과성이 우수한 유리 기판이 사용되고 있는데, 최근 디스플레이 장치는 슬림화 및 고화소(high-pixel)를 지향하기 때문에, 이에 상응하는 유리 기판이 준비될 수 있어야 한다.In general, a glass substrate having excellent strength and transmittance is used in a display device, but since recent display devices are oriented towards slimness and high-pixel, a glass substrate corresponding to this needs to be prepared.

일 예로, OLED 공정 중 하나로서, 비정질실리콘(a-Si)에 레이저를 주사하여 폴리실리콘(poly-Si)으로 결정화하는 ELA(Eximer Laser Annealing) 공정에서는 폴리실리콘이 결정화되면서 표면에 돌기가 발생할 수 있고, 이러한 돌기는 무라 현상(mura-effects)을 발생시킬 수 있으므로, 유리 기판은 돌기가 제거되도록 연마 처리될 수 있어야 한다.For example, as one of the OLED processes, in the ELA (Eximer Laser Annealing) process in which a laser is injected into amorphous silicon (a-Si) to crystallize it into poly-Si, protrusions may occur on the surface while poly-silicon is crystallized. Since these protrusions can cause mura-effects, the glass substrate must be polished to remove the protrusions.

이를 위해, 최근에는 기판의 표면을 효율적으로 연마하기 위한 다양한 검토가 이루어지고 있으나, 아직 미흡하여 이에 대한 개발이 요구되고 있다.To this end, recently, various studies have been made to efficiently polish the surface of the substrate, but it is still insufficient, and development thereof is required.

본 발명은 연마 입자 등의 이물질이 기판에 고화되는 것을 최소화하고, 세정 효율을 향상시킬 수 있는 기판 처리 장치를 제공하는 것을 목적으로 한다.An object of the present invention is to provide a substrate processing apparatus capable of minimizing the solidification of foreign substances such as abrasive particles on a substrate and improving cleaning efficiency.

특히, 본 발명은 기판에 잔류된 이물질이 고착되기 전에 빠른 시간 내에 효과적으로 제거될 수 있도록 하는 것을 목적으로 한다.In particular, an object of the present invention is to effectively remove foreign substances remaining on a substrate within a short period of time before they are adhered.

또한, 본 발명은 연마 효율 및 연마 균일도를 향상시킬 수 있도록 하는 것을 목적으로 한다.In addition, an object of the present invention is to improve polishing efficiency and polishing uniformity.

또한, 본 발명은 기판의 처리 효율을 높이고, 처리 공정을 간소화할 수 있는 기판 처리 장치를 제공하는 것을 목적으로 한다.Another object of the present invention is to provide a substrate processing apparatus capable of increasing substrate processing efficiency and simplifying the processing process.

또한, 본 발명은 기판의 세정 효율을 향상시킬 수 있으며, 수율을 향상시킬 수 있도록 하는 것을 목적으로 한다.In addition, an object of the present invention is to improve the cleaning efficiency of the substrate and to improve the yield.

또한, 본 발명은 설계자유도를 향상시킬 수 있으며, 설비의 소형화에 기여할 수 있도록 하는 것을 목적으로 한다.In addition, an object of the present invention is to improve design freedom and contribute to miniaturization of facilities.

또한, 본 발명은 기판을 처리하는데 소요되는 시간을 단축하고, 생산성을 향상시킬 수 있도록 하는 것을 목적으로 한다.In addition, an object of the present invention is to reduce the time required to process a substrate and improve productivity.

상술한 본 발명의 목적들을 달성하기 위한 본 발명의 바람직한 실시예에 따르면, 연마 공정이 행해지는 중에 기판에 묻어있는 이물질을 고화되기 전에 곧바로 흡입하는 것에 의하여, 기판의 손상을 방지하고 세정 효율을 향상시킬 수 있다.According to a preferred embodiment of the present invention for achieving the above-described objects of the present invention, by immediately sucking foreign matter on the substrate before it is solidified during the polishing process, damage to the substrate is prevented and cleaning efficiency is improved. can make it

상술한 바와 같이 본 발명에 따르면, 연마 입자 등의 이물질이 기판에 고화되는 것을 최소화하고, 세정 효율을 향상시키는 유리한 효과를 얻을 수 있다.As described above, according to the present invention, it is possible to obtain advantageous effects of minimizing the solidification of foreign substances such as abrasive particles on the substrate and improving cleaning efficiency.

특히, 본 발명에 따르면 기판에 잔류된 이물질을 고화되기 전에 빠른 시간 내에 효과적으로 제거하는 유리한 효과를 얻을 수 있다.In particular, according to the present invention, it is possible to obtain an advantageous effect of effectively removing foreign substances remaining on the substrate within a short period of time before they are solidified.

또한, 본 발명에 따르면 연마 효율 및 연마 균일도를 향상시키는 유리한 효과를 얻을 수 있다.In addition, according to the present invention, advantageous effects of improving polishing efficiency and polishing uniformity can be obtained.

또한, 본 발명에 따르면 기판의 처리 효율을 높이고, 처리 공정을 간소화하는 유리한 효과를 얻을 수 있다.In addition, according to the present invention, advantageous effects of increasing the processing efficiency of the substrate and simplifying the processing process can be obtained.

또한, 본 발명에 따르면 기판의 세정 효율을 향상시킬 수 있으며, 수율을 향상시키는 유리한 효과를 얻을 수 있다.In addition, according to the present invention, it is possible to improve the cleaning efficiency of the substrate and obtain an advantageous effect of improving the yield.

또한, 본 발명에 따르면 설계자유도를 향상시킬 수 있으며, 설비의 소형화에 기여할 수 있다.In addition, according to the present invention, the degree of freedom in design can be improved, and it can contribute to miniaturization of equipment.

도 1은 본 발명에 따른 기판 처리 장치의 구성을 도시한 평면도,
도 2는 본 발명에 따른 기판 처리 장치로서, 연마 파트를 설명하기 위한 사시도,
도 3은 본 발명에 따른 기판 처리 장치로서, 연마 파트를 설명하기 위한 평면도,
도 4는 본 발명에 따른 기판 처리 장치로서, 유체흡입유닛을 설명하기 위한 측면도,
도 5는 본 발명에 따른 기판 처리 장치로서, 제1에어커튼 형성부를 설명하기 위한 도면,
도 6은 본 발명에 따른 기판 처리 장치로서, 슬러리 공급유닛을 설명하기 위한 측면도,
도 7는 본 발명에 따른 기판 처리 장치로서, 리테이너를 설명하기 위한 도면,
도 8은 본 발명에 따른 기판 처리 장치로서, 연마 유닛의 연마 경로를 설명하기 위한 평면도,
도 9는 발명에 따른 기판 처리 장치로서, 연마 유닛의 연마 경로의 다른 실시예를 설명하기 위한 평면도,
도 10은 발명에 따른 기판 처리 장치로서, 회전모듈을 설명하기 위한 측면도,
도 11은 도 10의 회전모듈에 의한 유체흡입유닛의 회전 상태를 설명하기 위한 평면도,
도 12는 본 발명에 따른 기판 처리 장치로서, 세정 파트를 설명하기 위한 도면,
도 13 내지 도 15는 본 발명의 다른 실시예에 따른 기판 처리 장치를 설명하기 위한 도면,
도 16은 본 발명의 또 다른 실시예에 따른 기판 처리 장치를 설명하기 위한 도면이다.
1 is a plan view showing the configuration of a substrate processing apparatus according to the present invention;
2 is a substrate processing apparatus according to the present invention, a perspective view for explaining a polishing part;
3 is a substrate processing apparatus according to the present invention, a plan view for explaining a polishing part;
4 is a substrate processing apparatus according to the present invention, a side view for explaining a fluid suction unit;
5 is a substrate processing apparatus according to the present invention, a view for explaining a first air curtain forming unit;
6 is a substrate processing apparatus according to the present invention, a side view for explaining a slurry supply unit;
7 is a substrate processing apparatus according to the present invention, a view for explaining a retainer;
8 is a substrate processing apparatus according to the present invention, a plan view for explaining a polishing path of a polishing unit;
9 is a substrate processing apparatus according to the invention, a plan view for explaining another embodiment of a polishing path of a polishing unit;
10 is a substrate processing apparatus according to the invention, a side view for explaining a rotation module;
11 is a plan view for explaining a rotational state of the fluid suction unit by the rotation module of FIG. 10;
12 is a substrate processing apparatus according to the present invention, a view for explaining a cleaning part;
13 to 15 are views for explaining a substrate processing apparatus according to another embodiment of the present invention;
16 is a diagram for explaining a substrate processing apparatus according to another embodiment of the present invention.

이하 첨부된 도면들을 참조하여 본 발명의 바람직한 실시예를 상세하게 설명하지만, 본 발명이 실시예에 의해 제한되거나 한정되는 것은 아니다. 참고로, 본 설명에서 동일한 번호는 실질적으로 동일한 요소를 지칭하며, 이러한 규칙 하에서 다른 도면에 기재된 내용을 인용하여 설명할 수 있고, 당업자에게 자명하다고 판단되거나 반복되는 내용은 생략될 수 있다.Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings, but the present invention is not limited or limited by the embodiments. For reference, in the present description, the same numbers refer to substantially the same elements, and descriptions may be made by citing contents described in other drawings under these rules, and contents determined to be obvious to those skilled in the art or repeated contents may be omitted.

도 1은 본 발명에 따른 기판 처리 장치의 구성을 도시한 평면도이고, 도 2는 본 발명에 따른 기판 처리 장치로서, 연마 파트를 설명하기 위한 사시도이며, 도 3은 본 발명에 따른 기판 처리 장치로서, 연마 파트를 설명하기 위한 평면도이다. 또한, 도 4는 본 발명에 따른 기판 처리 장치로서, 유체흡입유닛을 설명하기 위한 측면도이고, 도 5는 본 발명에 따른 기판 처리 장치로서, 제1에어커튼 형성부를 설명하기 위한 도면이며, 도 6은 본 발명에 따른 기판 처리 장치로서, 슬러리 공급유닛을 설명하기 위한 측면도이다. 그리고, 도 7는 본 발명에 따른 기판 처리 장치로서, 리테이너를 설명하기 위한 도면이고, 도 8은 본 발명에 따른 기판 처리 장치로서, 연마 유닛의 연마 경로를 설명하기 위한 평면도이며, 도 9는 발명에 따른 기판 처리 장치로서, 연마 유닛의 연마 경로의 다른 실시예를 설명하기 위한 평면도이다. 또한, 도 10은 발명에 따른 기판 처리 장치로서, 회전모듈을 설명하기 위한 측면도이고, 도 11은 도 10의 회전모듈에 의한 유체흡입유닛의 회전 상태를 설명하기 위한 평면도이며, 도 12는 본 발명에 따른 기판 처리 장치로서, 세정 파트를 설명하기 위한 도면이다.Figure 1 is a plan view showing the configuration of a substrate processing apparatus according to the present invention, Figure 2 is a substrate processing apparatus according to the present invention, a perspective view for explaining a polishing part, Figure 3 is a substrate processing apparatus according to the present invention , It is a plan view for explaining the polishing part. 4 is a substrate processing apparatus according to the present invention, which is a side view for explaining a fluid suction unit, and FIG. 5 is a substrate processing apparatus according to the present invention, which is a view for explaining a first air curtain formation part, and FIG. is a side view for explaining a slurry supply unit as a substrate processing apparatus according to the present invention. And, Figure 7 is a substrate processing apparatus according to the present invention, a view for explaining a retainer, Figure 8 is a substrate processing apparatus according to the present invention, a plan view for explaining a polishing path of the polishing unit, Figure 9 is the invention As the substrate processing apparatus according to, it is a plan view for explaining another embodiment of the polishing path of the polishing unit. 10 is a substrate processing apparatus according to the present invention, which is a side view for explaining a rotation module, FIG. 11 is a plan view for explaining a rotational state of a fluid suction unit by the rotation module of FIG. 10, and FIG. 12 is a plan view of the present invention. As a substrate processing apparatus according to, it is a drawing for explaining a cleaning part.

도 1 내지 도 16을 참조하면, 본 발명에 따른 기판 처리 장치(10)는, 기판(W)이 거치되는 기판거치부(210)와, 기판(W)에 대해 이동하며 기판(W)의 상면을 연마하는 연마 유닛(220)과, 기판(W)에 대한 연마 유닛(220)의 이동 방향을 따라 연마 유닛(220)의 후방에 마련되며 기판(W)의 상면에 잔류하는 유체를 흡입하는 유체흡입유닛(230)을 포함한다.1 to 16, the substrate processing apparatus 10 according to the present invention moves with respect to the substrate holder 210 on which the substrate W is mounted and the upper surface of the substrate W A polishing unit 220 for polishing, and a fluid provided at the rear of the polishing unit 220 along the moving direction of the polishing unit 220 with respect to the substrate W and sucking the fluid remaining on the upper surface of the substrate W It includes a suction unit 230.

이는, 기판(W)에 이물질에 고화되는 것을 최소화하고, 기판(W)의 세정 효율을 향상시키기 위함이다.This is to minimize the solidification of foreign substances on the substrate W and improve the cleaning efficiency of the substrate W.

즉, 연마 공정이 행해지는 중에, 기판의 연마면에 묻어있던 연마 입자 등이 건조되면서 연마면에 고화되면, 연마면에 고화된 입자를 제거하는 데 훨씬 긴 시간의 세정 공정이 필요하고 세정 효과도 낮아지는 문제점이 있다.That is, if abrasive particles, etc. buried on the polished surface of the substrate are dried and solidified on the polished surface during the polishing process, a much longer cleaning process is required to remove the solidified particles on the polished surface, and the cleaning effect is also reduced. There is a downside problem.

이와 같은 문제를 해소하기 위하여, 종래에는 기판의 연마 시간을 최대한 단축하고 연마 공정이 행해진 기판을 가능한 빠른 시간 내에 신속하게 세정 파트로 이송하여 세정 공정을 시작하고자 하는 노력이 시도되어 왔다. 그러나, 기판의 연마가 행해지는 중에, 또는 기판을 연마 파트에서 세정 파트로 이송되는 시간 동안에, 기판의 연마면이 건조되면서 기판에 묻어있는 이물질이 기판 표면에 고화(또는 고착)되는 것을 피할 수 없어서, 상기 노력에도 불구하고 세정 공정이 오래 소요되고 세정 효과가 낮았던 문제를 해결하는 데 한계가 따르면 문제점이 있다. 특히, 기판의 사이즈가 커질수록 기판을 전체적으로 연마하는데 소요되는 시간이 길어지므로, 예를 들어, 6세대 유리 기판의 경우 기판 전체를 연마하는데 5분 이상 소요되므로, 연마 공정이 행해지는 중에 이물질이 기판에 고화될 우려가 높은 문제점이 있다.In order to solve this problem, conventional efforts have been made to shorten the polishing time of the substrate as much as possible and quickly transfer the polished substrate to a cleaning part as quickly as possible to start the cleaning process. However, while the polishing of the substrate is being performed or during the time when the substrate is transferred from the polishing part to the cleaning part, it is inevitable that the polished surface of the substrate is dried and foreign substances on the substrate are solidified (or adhered) to the substrate surface. However, despite the above efforts, there is a problem in solving the problem that the cleaning process takes a long time and the cleaning effect is low. In particular, as the size of the substrate increases, the time required to polish the entire substrate increases. For example, in the case of a 6th generation glass substrate, it takes more than 5 minutes to polish the entire substrate. There is a problem with a high risk of solidification.

하지만, 본 발명은 기판(W)에 대한 연마 공정이 행해지는 중에, 기판의 연마 완료 영역에 잔류된 유체(예를 들어, 슬러리)가 기판 표면에 고착되기 전에 곧바로 흡입되도록 하는 것에 의하여, 연마 입자 등이 포함된 유체가 연마면에 고착되는 것을 최소화할 수 있으며, 후속 세정 공정에 소요되는 공정 시간을 최소화하고, 세정 효율을 높이는 유리한 효과를 얻을 수 있다.However, in the present invention, while the polishing process for the substrate W is performed, the fluid (eg, slurry) remaining in the polished area of the substrate is immediately sucked in before being adhered to the substrate surface, thereby removing abrasive particles. It is possible to minimize the adherence of the fluid including the like to the polishing surface, to minimize the process time required for the subsequent cleaning process, and to obtain advantageous effects of increasing the cleaning efficiency.

더욱이, 본 발명은 기판(W)의 연마 공정이 행해지는 중에 기판에 잔류된 유체의 흡입이 이루어지도록 하는 것에 의하여, 다시 말해서, 기판(W)의 연마 공정과 유체의 흡입 공정이 동시에 행해지도록 하는 것에 의하여, 기판(W)의 처리 시간을 단축할 수 있으며, 공정 효율성 및 수율을 향상시키는 유리한 효과를 얻을 수 있다.Furthermore, the present invention allows the suction of the fluid remaining on the substrate while the polishing process of the substrate W is performed, that is, the polishing process of the substrate W and the suction process of the fluid are performed simultaneously. As a result, the processing time of the substrate W can be shortened, and advantageous effects of improving process efficiency and yield can be obtained.

기판거치부(210)는 로딩 파트(100)와 언로딩 파트(300)의 사이에 배치되고, 로딩 파트에 공급된 기판(W)은 기판거치부(210)로 이송되어 기판거치부(210)에 안착된 상태에서 연마된 후, 언로딩 파트(300)를 통해 언로딩된다.The substrate holding part 210 is disposed between the loading part 100 and the unloading part 300, and the substrate W supplied to the loading part is transferred to the substrate holding part 210 and the substrate holding part 210 After polishing in a state seated on, it is unloaded through the unloading part 300.

보다 구체적으로, 로딩 파트(100)는 연마 처리될 기판(W)을 연마 파트(200)에 로딩하기 위해 마련된다.More specifically, the loading part 100 is provided to load the substrate W to be polished onto the polishing part 200 .

로딩 파트(100)는 연마 파트(200)에 기판(W)을 로딩 가능한 다양한 구조로 형성될 수 있으며, 로딩 파트(100)의 구조에 의해 본 발명이 제한되거나 한정되는 것은 아니다.The loading part 100 may be formed in various structures capable of loading the substrate W into the polishing part 200, and the present invention is not limited or limited by the structure of the loading part 100.

일 예로, 로딩 파트(100)는 소정 간격을 두고 이격되게 배치되는 복수개의 로딩 이송 롤러(110)를 포함하며, 복수개의 로딩 이송 롤러(110)의 상부에 공급된 기판(W)은 로딩 이송 롤러(110)가 회전함에 따라 복수개의 로딩 이송 롤러에 의해 상호 협조적으로 이송된다. 경우에 따라서는 로딩 파트가 로딩 이송 롤러에 의해 순환 회전하는 순환 벨트를 포함하여 구성되는 것도 가능하다.For example, the loading part 100 includes a plurality of loading and conveying rollers 110 spaced apart at predetermined intervals, and the substrate W supplied to the top of the plurality of loading and conveying rollers 110 is the loading and conveying roller. As the 110 rotates, it is transported cooperatively by a plurality of loading and conveying rollers. In some cases, it is also possible that the loading part includes a circulating belt that is circulated and rotated by a loading conveying roller.

아울러, 로딩 파트(100)에 공급되는 기판(W)은 로딩 파트(100)로 공급되기 전에 얼라인 유닛(미도시)에 의해 자세 및 위치가 정해진 자세와 위치로 정렬될 수 있다.In addition, the substrate W supplied to the loading part 100 may be aligned in a posture and position determined by an align unit (not shown) before being supplied to the loading part 100 .

참고로, 본 발명에서 사용되는 기판(W)으로서는 일측변의 길이가 1m 보다 큰 사각형 기판(W)이 사용될 수 있다. 일 예로, 화학 기계적 연마 공정이 수행되는 피처리 기판(W)으로서, 1500㎜*1850㎜의 사이즈를 갖는 6세대 유리 기판(W)이 사용될 수 있다. 경우에 따라서는 7세대 및 8세대 유리 기판이 피처리 기판으로 사용되는 것도 가능하다. 다르게는, 일측변의 길이가 1m 보다 작은 기판(예를 들어, 2세대 유리 기판)이 사용되는 것도 가능하다.For reference, as the substrate (W) used in the present invention, a rectangular substrate (W) having a length of one side greater than 1 m may be used. For example, as the processing target substrate W on which the chemical mechanical polishing process is performed, a 6th generation glass substrate W having a size of 1500 mm * 1850 mm may be used. In some cases, it is also possible to use 7th and 8th generation glass substrates as substrates to be processed. Alternatively, it is also possible to use a substrate (for example, a second-generation glass substrate) having a length of one side smaller than 1 m.

기판거치부(210)와, 연마 유닛(220)은 로딩 파트(100)와 언로딩 파트(300)의 사이에서 연마 파트(200)를 구성하도록 마련된다.The substrate holder 210 and the polishing unit 220 are provided to form the polishing part 200 between the loading part 100 and the unloading part 300 .

기판거치부(210)는 기판(W)을 거치 가능한 다양한 구조로 마련될 수 있으며, 기판거치부(210)의 구조 및 형태는 요구되는 조건 및 설계 사양에 따라 다양하게 변경될 수 있다.The substrate holder 210 may be provided in various structures capable of holding the substrate W, and the structure and shape of the substrate holder 210 may be variously changed according to required conditions and design specifications.

일 예로, 기판거치부(210)는, 기판지지부(212)와, 기판지지부(212)의 상면에 구비되며 기판(W)에 대한 마찰계수를 높여서 슬립을 억제하는 표면패드(214)를 포함하고, 기판(W)은 표면패드(214)의 상면에 안착된다.For example, the substrate holding unit 210 includes a substrate support unit 212 and a surface pad 214 provided on an upper surface of the substrate support unit 212 and suppressing slip by increasing a coefficient of friction with respect to the substrate W, , the substrate W is seated on the upper surface of the surface pad 214 .

참고로, 본 발명에서 기판(W)을 연마한다 함은, 기판(W)에 대한 기계 연마 공정 또는 화학 기계적 연마(CMP) 공정에 의해 기판(W)을 연마하는 것으로 정의된다. 일 예로, 연마 파트(200)에서는 기판(W)에 대한 기계적 연마가 행해지는 동안 화학적 연마를 위한 슬러리가 함께 공급되며 화학 기계적 연마(CMP) 공정이 행해진다. 이때, 슬러리는 연마패드(222)의 내측 영역에서 공급되거나 연마패드(222)의 외측 영역에서 공급될 수 있다.For reference, in the present invention, polishing the substrate (W) is defined as polishing the substrate (W) by a mechanical polishing process or a chemical mechanical polishing (CMP) process on the substrate (W). For example, in the polishing part 200, a slurry for chemical polishing is supplied together while mechanical polishing of the substrate W is performed, and a chemical mechanical polishing (CMP) process is performed. At this time, the slurry may be supplied from an inner region of the polishing pad 222 or supplied from an outer region of the polishing pad 222 .

기판지지부(212)는 기판(W)의 저면을 지지하도록 마련된다.The substrate support part 212 is provided to support the lower surface of the substrate (W).

기판지지부(212)는 요구되는 조건 및 설계 사양에 따라 다양한 방식으로 기판(W)의 저면을 지지하도록 구성될 수 있다.The substrate support unit 212 may be configured to support the lower surface of the substrate W in various ways according to required conditions and design specifications.

이하에서는 기판지지부(212)가 대략 사각 플레이트 형상으로 형성된 예를 들어 설명하기로 한다. 경우에 따라서는 기판지지부가 여타 다른 형상 및 구조로 형성될 수 있으며, 2개 이상의 기판지지부를 이용하여 기판을 저면을 지지하는 것도 가능하다.Hereinafter, an example in which the substrate support 212 is formed in a substantially rectangular plate shape will be described. In some cases, the substrate support part may be formed in other shapes and structures, and it is also possible to support the bottom surface of the substrate using two or more substrate support parts.

아울러, 기판지지부(212)로서는 석정반이 사용될 수 있다. 경우에 따라서는 기판지지부가 금속 재질 또는 다공성 재질로 형성될 수 있으며, 기판지지부의 재질에 의해 본 발명이 제한되거나 한정되는 것은 아니다.In addition, a granite plate may be used as the substrate support 212 . In some cases, the substrate support portion may be formed of a metal material or a porous material, and the present invention is not limited or limited by the material of the substrate support portion.

기판지지부(212)의 상면에는 기판(W)에 대한 마찰계수를 높여서 슬립을 억제하는 표면패드(214)가 구비된다.A surface pad 214 is provided on the upper surface of the substrate support 212 to suppress slip by increasing the coefficient of friction with respect to the substrate W.

이와 같이, 기판지지부(212)의 상면에 표면패드(214)를 마련하고, 기판(W)이 표면패드(214)의 외표면에 안착되도록 하는 것에 의하여, 기판(W)이 기판지지부(212)에 거치된 상태에서, 기판지지부(212)에 대한 기판(W)의 이동을 구속(미끄러짐을 구속)할 수 있으며, 기판(W)의 배치 위치를 안정적으로 유지하는 유리한 효과를 얻을 수 있다.In this way, the surface pad 214 is provided on the upper surface of the substrate supporter 212, and the substrate W is seated on the outer surface of the surface pad 214, so that the substrate W is formed on the substrate supporter 212. In the state of being mounted on the substrate, it is possible to restrict the movement of the substrate W relative to the substrate support 212 (restrain the slip), and an advantageous effect of stably maintaining the position of the substrate W can be obtained.

표면패드(214)는 기판(W)과의 접합성을 갖는 다양한 재질로 형성될 수 있으며, 표면패드(214)의 재질에 의해 본 발명이 제한되거나 한정되는 것은 아니다. 일 예로, 표면패드(214)는 신축성 및 점착성(마찰력)이 우수한 폴리우레탄, 엔지니어링 플라스틱, 실리콘 중 어느 하나 이상을 이용하여 형성될 수 있다. 경우에 따라서는 표면패드를 논슬립 기능을 갖는 다른 재질로 형성하는 것도 가능하다.The surface pad 214 may be formed of various materials having adhesion to the substrate W, and the present invention is not limited or limited by the material of the surface pad 214 . For example, the surface pad 214 may be formed using at least one of polyurethane, engineering plastic, and silicone having excellent elasticity and adhesiveness (frictional force). In some cases, it is also possible to form the surface pad with another material having a non-slip function.

또한, 표면패드(214)는 비교적 높은 압축율을 갖도록 형성된다. 여기서, 표면패드(214)가 비교적 높은 압축율을 갖도록 형성된다 함은, 표면패드(214)가 비교적 높은 연신율을 갖는 것으로도 표현될 수 있으며, 표면패드(214)가 쉽게 압축될 수 있는 푹신푹신한 재질로 형성된 것으로 정의된다.In addition, the surface pad 214 is formed to have a relatively high compressibility. Here, the fact that the surface pad 214 is formed to have a relatively high compression rate can also be expressed as the surface pad 214 having a relatively high elongation rate, and the surface pad 214 is a soft and fluffy material that can be easily compressed. is defined as formed by

바람직하게, 표면패드(214)는 20~50%의 압축율을 갖도록 형성된다. 이와 같이, 표면패드(214)가 20~50%의 압축율을 갖도록 형성하는 것에 의하여, 기판(W)과 표면패드(214)의 사이에 이물질이 유입되더라도 이물질의 두께만큼 표면패드(214)가 쉽게 압축될 수 있으므로, 이물질에 의한 기판(W)의 높이 편차(이물질에 의해 기판(W)의 특정 부위가 국부적으로 돌출)를 최소화할 수 있으며, 기판(W)의 특정 부위가 국부적으로 돌출됨에 따른 연마 균일도 저하를 최소화하는 유리한 효과를 얻을 수 있다.Preferably, the surface pad 214 is formed to have a compression ratio of 20 to 50%. In this way, by forming the surface pad 214 to have a compression ratio of 20 to 50%, even if a foreign material is introduced between the substrate W and the surface pad 214, the surface pad 214 is easily moved by the thickness of the foreign material. Since it can be compressed, it is possible to minimize the height deviation of the substrate W caused by foreign matter (local protrusion of a specific portion of the substrate W due to the foreign material), and to minimize the local protrusion of a specific portion of the substrate W. An advantageous effect of minimizing deterioration in polishing uniformity can be obtained.

한편, 전술 및 도시한 본 발명의 실시예에서는 기판지지부(212)가 접촉 방식으로 기판(W)을 지지하도록 구성된 예를 들어 설명하고 있지만, 경우에 따라서는 기판지지부가 기판의 저면을 비접촉 방식으로 지지하도록 구성하는 것도 가능하다.Meanwhile, in the foregoing and illustrated embodiments of the present invention, an example in which the substrate support 212 is configured to support the substrate W in a contact manner has been described. It is also possible to configure to support.

일 예로, 기판지지부는 기판의 저면에 유체를 분사하고, 유체에 의한 분사력에 의해 기판의 저면(또는 표면패드의 저면)을 지지하도록 구성될 수 있다. 이때, 기판지지부는 기판의 저면에 기체(예를 들어, 공기)와 액체(예를 들어, 순수) 중 적어도 어느 하나를 분사할 수 있으며, 유체의 종류는 요구되는 조건 및 설계 사양에 따라 다양하게 변경될 수 있다.For example, the substrate support unit may be configured to inject fluid onto the bottom surface of the substrate and support the bottom surface of the substrate (or the bottom surface of the surface pad) by the spraying force of the fluid. At this time, the substrate support unit may inject at least one of gas (eg, air) and liquid (eg, pure water) onto the lower surface of the substrate, and the type of fluid may be varied according to required conditions and design specifications. can be changed.

경우에 따라서는, 기판지지부가 자기력(예를 들어, 척력; repulsive force) 또는 초음파 진동에 의한 부상력을 이용하여 기판의 내표면을 비접촉 방식으로 지지하도록 구성하는 것도 가능하다.In some cases, the substrate support may be configured to support the inner surface of the substrate in a non-contact manner using magnetic force (eg, repulsive force) or levitation force by ultrasonic vibration.

연마 유닛(220)은 기판(W)의 표면에 접촉된 상태로 기판(W)의 표면을 연마하도록 마련된다.The polishing unit 220 is provided to polish the surface of the substrate (W) while in contact with the surface of the substrate (W).

일 예로, 연마 유닛(220)은 기판(W)보다 작은 사이즈로 형성되며, 기판(W)에 접촉된 상태로 자전하면서 이동하는 연마패드(222)를 포함한다.For example, the polishing unit 220 is formed to have a size smaller than that of the substrate W, and includes a polishing pad 222 that rotates and moves while being in contact with the substrate W.

보다 구체적으로, 연마패드(222)는 연마패드 캐리어(미도시)에 장착되며, 기판(W)의 표면에 접촉된 상태로 자전하면서 기판(W)의 표면을 선형 연마(평탄화)한다.More specifically, the polishing pad 222 is mounted on a polishing pad carrier (not shown), and linearly polishes (flattens) the surface of the substrate W while rotating while being in contact with the surface of the substrate W.

연마패드 캐리어는 연마패드(222)를 자전시킬 수 있는 다양한 구조로 형성될 수 있으며, 연마패드 캐리어의 구조에 의해 본 발명이 제한되거나 한정되는 것은 아니다. 일 예로, 연마패드 캐리어는 하나의 몸체로 구성되거나, 복수개의 몸체가 결합되어 구성될 수 있으며, 구동 샤프트(미도시)와 연결되어 회전하도록 구성된다. 또한, 연마패드 캐리어에는 연마패드(222)를 기판(W)의 표면에 가압하기 위한 가압부(예를 들어, 공압으로 연마패드를 가압하는 공압가압부)가 구비된다.The polishing pad carrier may be formed in various structures capable of rotating the polishing pad 222, and the present invention is not limited or limited by the structure of the polishing pad carrier. For example, the polishing pad carrier may be composed of one body, or may be composed of a plurality of bodies combined, and is configured to be connected to a drive shaft (not shown) to rotate. In addition, the polishing pad carrier is provided with a pressing unit for pressing the polishing pad 222 to the surface of the substrate W (eg, a pneumatic press unit pressurizing the polishing pad with pneumatic pressure).

연마패드(222)는 기판(W)에 대한 기계적 연마에 적합한 재질로 형성된다. 예를 들어, 연마패드(222)는 폴리우레탄, 폴리유레아(polyurea), 폴리에스테르, 폴리에테르, 에폭시, 폴리아미드, 폴리카보네이트, 폴리에틸렌, 폴리프로필렌, 플루오르중합체, 비닐 중합체, 아크릴 및 메타아크릴릭 중합체, 실리콘, 라텍스, 질화 고무, 이소프렌 고무, 부타디엔 고무, 및 스티렌, 부타디엔 및 아크릴로니트릴의 다양한 공중합체를 이용하여 형성될 수 있으며, 연마패드(222)의 재질 및 특성은 요구되는 조건 및 설계 사양에 따라 다양하게 변경될 수 있다.The polishing pad 222 is made of a material suitable for mechanical polishing of the substrate (W). For example, the polishing pad 222 may be made of polyurethane, polyurea, polyester, polyether, epoxy, polyamide, polycarbonate, polyethylene, polypropylene, fluoropolymer, vinyl polymer, acrylic and methacrylic polymer, It can be formed using silicone, latex, nitrated rubber, isoprene rubber, butadiene rubber, and various copolymers of styrene, butadiene, and acrylonitrile, and the material and characteristics of the polishing pad 222 are determined according to the required conditions and design specifications. It can be varied in various ways.

바람직하게 연마패드(222)로서는 기판(W)보다 작은 크기를 갖는 원형 연마패드(222)가 사용된다. 즉, 기판(W)보다 큰 크기를 갖는 연마패드(222)를 사용하여 기판(W)을 연마하는 것도 가능하나, 기판(W)보다 큰 크기를 갖는 연마패드(222)를 사용하게 되면, 연마패드(222)를 자전시키기 위해 매우 큰 회전 장비 및 공간이 필요하기 때문에, 공간효율성 및 설계자유도가 저하되고 안정성이 저하되는 문제점이 있다. 더욱 바람직하게, 연마패드는 기판(W)의 가로 길이 또는 세로 길이에 대응하는 직경을 갖도록 형성되거나, 기판(W)의 가로 길이 또는 세로 길이의 1/2 보다 작은 직경을 갖도록 형성될 수 있다.Preferably, a circular polishing pad 222 having a size smaller than that of the substrate (W) is used as the polishing pad 222 . That is, it is possible to polish the substrate (W) using the polishing pad 222 having a larger size than the substrate (W), but when using the polishing pad 222 having a larger size than the substrate (W), polishing Since very large rotational equipment and space are required to rotate the pad 222, there are problems in that space efficiency and design freedom are lowered and stability is lowered. More preferably, the polishing pad may be formed to have a diameter corresponding to the horizontal or vertical length of the substrate (W), or may be formed to have a diameter smaller than 1/2 of the horizontal or vertical length of the substrate (W).

실질적으로, 기판(W)은 적어도 일측변의 길이가 1m 보다 큰 크기를 갖기 때문에, 기판(W)보다 큰 크기를 갖는 연마패드(예를 들어, 1m 보다 큰 직경을 갖는 연마패드)를 자전시키는 것 자체가 매우 곤란한 문제점이 있다. 또한, 비원형 연마패드(예를 들어, 사각형 연마패드)를 사용하면, 자전하는 연마패드에 의해 연마되는 기판(W)의 표면이 전체적으로 균일한 두께로 연마될 수 없다. 하지만, 본 발명은, 기판(W)보다 작은 크기를 갖는 원형 연마패드(222)를 자전시켜 기판(W)의 표면을 연마하도록 하는 것에 의하여, 공간효율성 및 설계자유도를 크게 저하하지 않고도 연마패드(222)를 자전시켜 기판(W)을 연마하는 것이 가능하고, 연마패드(222)에 의한 연마량을 전체적으로 균일하게 유지하는 유리한 효과를 얻을 수 있다.Substantially, since the length of at least one side of the substrate W has a size greater than 1 m, rotating a polishing pad having a size greater than that of the substrate W (eg, a polishing pad having a diameter greater than 1 m) There is a problem in itself that is very difficult. In addition, when a non-circular polishing pad (eg, a rectangular polishing pad) is used, the entire surface of the substrate W polished by the rotating polishing pad cannot be polished to a uniform thickness. However, in the present invention, by rotating the circular polishing pad 222 having a smaller size than the substrate W to polish the surface of the substrate W, the polishing pad ( It is possible to polish the substrate W by rotating the 222, and an advantageous effect of maintaining a uniform polishing amount by the polishing pad 222 as a whole can be obtained.

연마 유닛(220)은 갠트리(gantry) 유닛(20)에 의해 X축 방향 및 Y축 방향을 따라 이동하도록 구성된다.The polishing unit 220 is configured to move along the X-axis direction and the Y-axis direction by the gantry unit 20 .

보다 구체적으로, 갠트리 유닛(20)은, 제1방향(예를 들어, X축 방향)을 따라 직선 이동하는 X축 갠트리(22)와, X축 갠트리(22)에 장착되어 제1방향에 직교하는 제2방향(예를 들어, Y축 방향)을 따라 직선 이동하는 Y축 갠트리(24)를 포함하며, 연마 유닛(220)은 Y축 갠트리(24)에 장착되어 X축 방향 및 Y축 방향을 따라 이동하면서 기판(W)을 연마 한다.More specifically, the gantry unit 20 includes an X-axis gantry 22 that moves linearly along a first direction (eg, an X-axis direction), and is mounted on the X-axis gantry 22 and orthogonal to the first direction and a Y-axis gantry 24 that moves linearly along a second direction (eg, the Y-axis direction), and the polishing unit 220 is mounted on the Y-axis gantry 24 in the X-axis direction and the Y-axis direction. While moving along, the substrate (W) is polished.

X축 갠트리(22)는 "U"자 형상으로 형성될 수 있으며, 제1방향을 따라 배치된 가이드 레일(22a)을 따라 이동하도록 구성된다. 가이드 레일(22a)에는 N극과 S극의 영구 자석이 교대로 배열되고, X축 갠트리(22)는 X축 갠트리(22)의 코일에 인가되는 전류 제어에 의하여 정교한 위치 제어가 가능한 리니어 모터의 원리로 구동될 수 있다.The X-axis gantry 22 may be formed in a “U” shape and is configured to move along a guide rail 22a disposed along the first direction. N-pole and S-pole permanent magnets are alternately arranged on the guide rail 22a, and the X-axis gantry 22 is a linear motor capable of precise position control by controlling the current applied to the coil of the X-axis gantry 22. principle can be driven.

이때, 연마 유닛(220)의 연마 경로는 요구되는 조건 및 설계 사양에 따라 다양하게 변경될 수 있다.At this time, the polishing path of the polishing unit 220 may be variously changed according to required conditions and design specifications.

일 예로, 도 8을 참조하면, 연마패드(222)는 기판(W)의 일변에 대해 경사진 제1사선경로(L1)와, 제1사선경로(L1)의 반대 방향으로 경사진 제2사선경로(L2)를 따라 반복적으로 지그재그 이동하면서 기판(W)의 표면을 연마하도록 구성된다.For example, referring to FIG. 8 , the polishing pad 222 includes a first oblique path L1 inclined with respect to one side of the substrate W and a second oblique path inclined in the opposite direction of the first oblique path L1. It is configured to polish the surface of the substrate W while repeatedly moving zigzag along the path L2.

여기서, 제1사선경로(L1)라 함은, 예를 들어 기판(W)의 밑변에 대해 소정 각도(θ)로 경사진 경로를 의미한다. 또한, 제2사선경로(L2)라 함은, 제1사선경로(L1)와 교차하도록 제1사선경로(L1)의 반대 방향을 향해 소정 각도로 경사진 경로를 의미한다.Here, the first oblique path L1 means a path inclined at a predetermined angle θ with respect to the lower side of the substrate W, for example. In addition, the second oblique path L2 means a path inclined at a predetermined angle toward the opposite direction of the first oblique path L1 so as to intersect the first oblique path L1.

또한, 본 발명에서 연마패드(222)가 제1사선경로(L1)와 제2사선경로(L2)를 따라 반복적으로 지그재그 이동한다 함은, 연마패드(222)가 기판(W)의 표면에 접촉된 상태로 이동하는 중에 기판(W)에 대한 연마패드(222)의 이동 경로가 중단되지 않고 다른 방향으로 전환(제1사선경로에서 제2사선경로로 전환)되는 것으로 정의된다. 다시 말해서, 연마패드(222)는 제1사선경로(L1)와 제2사선경로(L2)를 따라 연속적으로 이동하며 연속적으로 연결된 파도 형태의 이동 궤적을 형성한다.In addition, in the present invention, the polishing pad 222 repeatedly zigzag along the first oblique path L1 and the second oblique path L2 means that the polishing pad 222 contacts the surface of the substrate W. It is defined that the moving path of the polishing pad 222 with respect to the substrate W is not interrupted and is switched to another direction (converted from the first oblique path to the second oblique path) while moving in the up-to-date state. In other words, the polishing pad 222 continuously moves along the first oblique path L1 and the second oblique path L2 and forms a continuous wave-shaped movement trajectory.

보다 구체적으로, 제1사선경로(L1)와 제2사선경로(L2)는 기판(W)의 일변을 기준으로 선대칭이며, 연마패드(222)는 제1사선경로(L1)와 제2사선경로(L2)를 따라 반복적으로 지그재그 이동하며 기판(W)의 표면을 연마한다. 이때, 제1사선경로(L1)와 제2사선경로(L2)가 기판(W)의 일변을 기준으로 선대칭이라 함은, 기판(W)의 일변(11)을 중심으로 제1사선경로(L1)와 제2사선경로(L2)를 대칭시켰을 때, 제1사선경로(L1)와 제2사선경로(L2)가 완전히 겹쳐지는 것을 의미하고, 기판(W)의 일변과 제1사선경로(L1)가 이루는 각도와, 기판(W)의 일변과 제2사선경로(L2)가 이루는 각도가 서로 동일한 것으로 정의된다.More specifically, the first oblique path L1 and the second oblique path L2 are axisymmetric with respect to one side of the substrate W, and the polishing pad 222 has the first oblique path L1 and the second oblique path L1. The surface of the substrate (W) is polished while repeatedly moving zigzag along (L2). At this time, when the first oblique path L1 and the second oblique path L2 are said to be line symmetric with respect to one side of the substrate W, the first oblique path L1 with one side 11 of the substrate W as the center ) and the second oblique path L2 are symmetrical, this means that the first oblique path L1 and the second oblique path L2 completely overlap, and one side of the substrate W and the first oblique path L1 ) is defined as the same as the angle formed by one side of the substrate W and the second oblique path L2.

바람직하게, 연마패드(222)는, 연마패드(222)의 직경보다 작거나 같은 길이를 왕복 이동 피치로 하여 제1사선경로(L1)와 제2사선경로(L2)를 따라 기판(W)에 대해 왕복 이동한다. 이하에서는 연마패드(222)가 연마패드(222)의 직경 만큼의 길이를 왕복 이동 피치(P)로 하여 제1사선경로(L1)와 제2사선경로(L2)를 따라 기판(W)에 대해 규칙적으로 왕복 이동하는 예를 설명하기로 한다.Preferably, the polishing pad 222 has a length smaller than or equal to the diameter of the polishing pad 222 at a reciprocating pitch to the substrate W along the first oblique path L1 and the second oblique path L2. round trip about Hereinafter, the polishing pad 222 has a length equal to the diameter of the polishing pad 222 as the reciprocating pitch P, and along the first oblique path L1 and the second oblique path L2 with respect to the substrate W An example of regular round-trip movement will be described.

이때, 연마 유닛(220)은 갠트리(Gantry)와 같은 구조물(미도시)에 의해 선형 이동하도록 구성될 수 있으며, 연마 유닛(220)을 이동시키는 구조물의 종류 및 구조에 의해 본 발명이 제한되거나 한정되는 것은 아니다. 일 예로, 갠트리는 기판(W)을 사이에 두고 기판(W)의 양측에 배치되며 기판(W)의 이송 방향을 따라 직선 이동 가능하게 마련되는 제1지지축과 제2지지축, 및 제1지지축과 제2지지축을 연결하는 연결축을 포함할 수 있으며, 연마 유닛(220)은 연결축 상에 장착될 수 있다.At this time, the polishing unit 220 may be configured to move linearly by a structure (not shown) such as a gantry, and the present invention is limited or limited by the type and structure of the structure for moving the polishing unit 220 it is not going to be For example, the gantry is disposed on both sides of the substrate (W) with the substrate (W) therebetween, and includes a first support shaft and a second support shaft provided to be linearly movable along the transfer direction of the substrate (W), and the first A connection shaft connecting the support shaft and the second support shaft may be included, and the polishing unit 220 may be mounted on the connection shaft.

이와 같이, 기판(W)에 대해 연마패드(222)가 제1사선경로(L1)와 제2사선경로(L2)를 따라 반복적으로 지그재그 이동하면서 기판(W)의 표면을 연마하되, 연마패드(222)가 연마패드(222)의 직경보다 작거나 같은 길이를 왕복 이동 피치(P)로 하여 기판(W)에 대해 전진 이동하도록 하는 것에 의하여, 기판(W)의 전체 표면 영역에서 연마패드(222)에 의한 연마가 누락되는 영역없이 기판(W)의 전체 표면을 규칙적으로 균일하게 연마하는 유리한 효과를 얻을 수 있다.In this way, the surface of the substrate W is polished while the polishing pad 222 repeatedly zigzag moves along the first oblique path L1 and the second oblique path L2 with respect to the substrate W, and the polishing pad ( 222 is moved forward with respect to the substrate W at a length equal to or smaller than the diameter of the polishing pad 222 as a reciprocating pitch P, thereby polishing the polishing pad 222 over the entire surface area of the substrate W. ) can obtain an advantageous effect of regularly and uniformly polishing the entire surface of the substrate W without an area where polishing is omitted.

여기서, 기판(W)에 대해 연마패드(222)가 전진 이동한다 함은, 연마패드(222)가 제1사선경로(L1)와 제2사선경로(L2)를 따라 기판(W)에 대해 이동하면서 기판(W)의 전방을 향해(예를 들어, 도 8을 기준으로 기판의 밑변에서 윗변을 향해) 직진 이동하는 것으로 정의된다. 다시 말해서, 밑변, 빗변, 대변으로 이루어진 직각삼각형을 예를 들면, 직각삼각형의 밑변은 기판(W)의 밑변으로 정의되고, 직각삼각형의 빗변은 제1사선경로(L1) 또는 제2사선경로(L2)로 정의될 수 있으며, 직각삼각형의 대변은 기판(W)에 대한 연마패드(222)의 전진 이동 거리로 정의될 수 있다.Here, the forward movement of the polishing pad 222 with respect to the substrate W means that the polishing pad 222 moves with respect to the substrate W along the first oblique path L1 and the second oblique path L2. while moving in a straight line toward the front of the substrate W (eg, from the lower side of the substrate to the upper side with reference to FIG. 8 ). In other words, taking a right triangle composed of the base, the hypotenuse, and the opposite side, for example, the base of the right triangle is defined as the base of the substrate W, and the hypotenuse of the right triangle is the first oblique path L1 or the second oblique path ( L2), and the opposite side of the right triangle may be defined as the forward movement distance of the polishing pad 222 with respect to the substrate (W).

다시 말해서, 연마패드(222)의 직경보다 작거나 같은 길이를 왕복 이동 피치로 하여 기판(W)에 대해 연마패드(222)가 반복적으로 지그재그 이동(제1사선경로와 제2사선경로를 따라 이동)하면서 기판(W)을 연마하도록 하는 것에 의하여, 기판(W)의 전체 표면 영역에서 연마패드(222)에 의한 연마가 누락되는 영역이 발생하는 것을 방지할 수 있으므로, 기판(W)의 두께 편차를 균일하게 제어하고, 기판(W)의 두께 분포를 2차원 판면에 대하여 균일하게 조절하여 연마 품질을 향상시키는 유리한 효과를 얻을 수 있다.In other words, the polishing pad 222 is repeatedly zigzag-moved (moved along the first oblique path and the second oblique path) with respect to the substrate W at a length equal to or smaller than the diameter of the polishing pad 222 as a reciprocating pitch. ) while polishing the substrate W, it is possible to prevent the occurrence of an area where the polishing by the polishing pad 222 is omitted in the entire surface area of the substrate W, and thus the thickness deviation of the substrate W It is possible to obtain an advantageous effect of improving polishing quality by uniformly controlling the thickness distribution of the substrate W and adjusting the thickness distribution of the substrate W uniformly with respect to the two-dimensional plate surface.

다른 일 예로, 도 9를 참조하면, 연마패드(222)는 기판(W)의 일변 방향을 따른 제1직선경로(L1')와, 제1직선경로(L1')의 반대 방향인 제2직선경로(L2')를 따라 반복적으로 지그재그 이동하면서 기판(W)의 표면을 연마하는 것도 가능하다. 여기서, 제1직선경로(L1')라 함은, 예를 들어 기판(W)의 밑변의 일단에서 다른 일단을 향하는 방향을 따른 경로를 의미한다. 또한, 제2직선경로(L2')라 함은, 제1직선경로(L1')와 반대 방향을 향하는 경로를 의미한다.As another example, referring to FIG. 9 , the polishing pad 222 includes a first straight line L1' along one side of the substrate W and a second straight line opposite to the first straight line L1'. It is also possible to polish the surface of the substrate W while repeatedly moving zigzag along the path L2'. Here, the first straight path L1' means a path along a direction from one end of the lower side of the substrate W to the other end, for example. In addition, the second straight path L2' means a path heading in the opposite direction to the first straight path L1'.

참고로, 전술 및 도시한 본 발명의 실시예에서는, 연마 파트(200)가 기판(W)에 접촉된 상태로 자전하면서 이동하는 연마패드(222)에 의해 기판(W)을 연마하는 예를 들어 설명하고 있지만, 경우에 따라서는 연마 파트가 무한 루프 방식으로 순환 회전하는 연마 벨트를 이용하여 기판을 연마하는 것도 가능하다.For reference, in the above-described embodiment of the present invention, the substrate (W) is polished by the polishing pad 222 moving while rotating while the polishing part 200 is in contact with the substrate (W). Although described, in some cases, it is also possible to polish a substrate using a polishing belt in which the polishing part circulates and rotates in an endless loop manner.

또한, 본 발명의 실시예에서는 연마 파트가 단 하나의 연마 유닛으로 구성된 예를 들어 설명하고 있지만, 경우에 따라서는, 연마 파트가 2개 이상의 연마 유닛을 포함하는 것을 가능하다. 이때, 복수개의 연마 유닛은 각각 연마패드를 구비하며, 서로 동일한 경로 또는 서로 반대 방향 경로를 향해 이동하면서 기판의 표면을 연마하도록 구성될 수 있다.Further, in the embodiment of the present invention, an example in which the polishing part is composed of only one polishing unit is described, but depending on the case, it is possible that the polishing part includes two or more polishing units. In this case, each of the plurality of polishing units includes a polishing pad, and may be configured to polish the surface of the substrate while moving toward the same path or opposite directions.

기판 처리 장치는 연마패드의 외표면(기판에 접촉되는 표면)을 개질하는 컨디셔너(미도시)를 포함할 수 있다.The substrate treatment apparatus may include a conditioner (not shown) that modifies the outer surface (surface in contact with the substrate) of the polishing pad.

일 예로, 컨디셔너는 기판의 외측 영역에 배치될 수 있으며, 연마패드의 표면(저면)을 미리 정해진 가압력으로 가압하며 미세하게 절삭하여 연마패드의 표면에 형성된 미공이 표면에 나오도록 개질한다. 다시 말해서, 컨디셔너는 연마패드의 외표면에 연마제와 화학 물질이 혼합된 슬러리를 담아두는 역할을 하는 수많은 발포 미공들이 막히지 않도록 연마패드의 외표면을 미세하게 절삭하여, 연마패드의 발포 기공에 채워졌던 슬러리가 기판에 원활하게 공급되도록 한다. 바람직하게 컨디셔너는 회전 가능하게 구비되며, 연마패드의 외표면(저면)에 회전 접촉한다.For example, the conditioner may be disposed on an outer region of the substrate, pressurize the surface (bottom surface) of the polishing pad with a predetermined pressing force, and finely cut the surface of the polishing pad so that micropores formed on the surface appear on the surface. In other words, the conditioner finely cuts the outer surface of the polishing pad so that the numerous foam pores that serve to contain the slurry mixed with the abrasive and the chemical substance on the outer surface of the polishing pad are not blocked. The slurry is smoothly supplied to the substrate. Preferably, the conditioner is rotatably provided and rotates in contact with the outer surface (bottom surface) of the polishing pad.

도 7을 참조하면, 연마 파트(200)는 기판(W)의 둘레 주변을 감싸도록 표면패드(214)의 외표면에 돌출된 형태로 구비되는 리테이너(130)를 포함한다.Referring to FIG. 7 , the polishing part 200 includes a retainer 130 protruding from the outer surface of the surface pad 214 to surround the circumference of the substrate W.

리테이너(130)는, 연마 공정 중에 연마 유닛(220)의 연마패드(210)가 기판(W)의 외측 영역에서 기판(W)의 내측 영역으로 진입할 시, 기판(W)의 가장자리 부위에서 연마패드(210)가 리바운드되는 현상(튀어오르는 현상)을 최소화하고, 연마패드(210)의 리바운드 현상에 의한 기판(W)의 가장자리 부위에서의 비연마 영역(dead zone)(연마패드에 의한 연마가 행해지지 않는 영역)을 최소화하기 위해 마련된다.The retainer 130 is polished at the edge of the substrate W when the polishing pad 210 of the polishing unit 220 enters the inner area of the substrate W from the outer area of the substrate W during the polishing process. Minimizes the rebound phenomenon (bouncing phenomenon) of the pad 210, and removes the dead zone (polishing by the polishing pad) at the edge of the substrate W due to the rebound phenomenon of the polishing pad 210. area) is provided to minimize.

보다 구체적으로, 리테이너(130)에는 기판(W)의 형태에 대응하는 기판수용부(130a)가 관통 형성되고, 기판(W)은 기판수용부(130a)의 내부에서 표면패드(214)의 외표면에 안착된다.More specifically, a substrate accommodating portion 130a corresponding to the shape of the substrate W is formed through the retainer 130, and the substrate W extends from the inside of the substrate accommodating portion 130a to the outside of the surface pad 214. settles on the surface

기판(W)이 기판수용부(130a)에 수용된 상태에서 리테이너(130)의 표면 높이는 기판(W)의 가장자리의 표면 높이와 비슷한 높이를 가진다. 이와 같이, 기판(W)의 가장자리 부위와 기판(W)의 가장자리 부위에 인접한 기판(W)의 외측 영역(리테이너 영역)이 서로 비슷한 높이를 가지도록 하는 것에 의하여, 연마 공정 중에 연마패드(210)가 기판(W)의 외측 영역에서 기판(W)의 내측 영역으로 이동하거나, 기판(W)의 내측 영역에서 기판(W)의 외측 영역으로 이동하는 중에, 기판(W)의 내측 영역과 외측 영역 간의 높이 편차에 따른 연마패드(210)의 리바운드 현상을 최소화할 수 있으며, 리바운드 현상에 의한 비연마 영역의 발생을 최소화하는 유리한 효과를 얻을 수 있다.In a state where the substrate W is accommodated in the substrate accommodating portion 130a, the height of the surface of the retainer 130 is similar to that of the edge of the substrate W. In this way, by making the edge of the substrate W and the outer region (retainer region) of the substrate W adjacent to the edge of the substrate W have a similar height to each other, during the polishing process, the polishing pad 210 While moving from the outer region of the substrate W to the inner region of the substrate W or moving from the inner region of the substrate W to the outer region of the substrate W, the inner region and the outer region of the substrate W It is possible to minimize the rebound phenomenon of the polishing pad 210 due to the height deviation between the spaces, and to obtain an advantageous effect of minimizing the occurrence of non-polishing areas due to the rebound phenomenon.

아울러, 리테이너(130)는 기판(W)이 거치되는 기판거치부(210)의 거치면으로부터 돌출되게 구비되고, 연마 유닛(220)의 연마패드(210)는 리테이너(130)의 상면과 기판(W)의 상면에 함께 접촉된 상태로 기판(W)의 가장자리를 통과하며 기판(W)의 상면을 연마하도록 구성된다.In addition, the retainer 130 is provided to protrude from the surface of the substrate holder 210 on which the substrate W is mounted, and the polishing pad 210 of the polishing unit 220 is attached to the upper surface of the retainer 130 and the substrate (W). ) passing through the edge of the substrate (W) in a state of contact with the upper surface of the substrate (W) and polishing the upper surface of the substrate (W).

바람직하게, 연마 유닛(220)의 연마패드(210)에 의한 기판(W)의 연마 공정이 시작되는 연마시작위치에서, 연마패드(210)의 일부는 리테이너(130)의 상면에 접촉되고, 연마패드(210)의 다른 일부는 기판(W)의 상면에 접촉된 상태로 배치된다.Preferably, at the polishing start position where the polishing process of the substrate W by the polishing pad 210 of the polishing unit 220 starts, a part of the polishing pad 210 is in contact with the upper surface of the retainer 130, polishing Another part of the pad 210 is placed in contact with the upper surface of the substrate (W).

이와 같이, 연마시작위치에서 연마 유닛(220)의 연마패드(210)가 기판(W)의 상면과 리테이너(130)의 상면에 동시에 접촉된 상태에서 연마가 시작되도록 하는 것에 의하여, 연마 유닛(220)의 연마패드(210)가 기판(W)의 가장자리를 통과할 시 연마패드(210)가 기판(W)으로부터 리바운드되는 현상을 보다 억제하는 유리한 효과를 얻을 수 있다.In this way, at the polishing start position, polishing is started while the polishing pad 210 of the polishing unit 220 is in contact with the upper surface of the substrate W and the upper surface of the retainer 130 at the same time, so that the polishing unit 220 When the polishing pad 210 of ) passes through the edge of the substrate W, an advantageous effect of more suppressing a phenomenon in which the polishing pad 210 rebounds from the substrate W can be obtained.

바람직하게, 리테이너(130)는 기판(W)보다 얇거나 같은 두께(T1≥T2)를 갖도록 형성된다. 이와 같이, 리테이너(130)를 기판(W)보다 얇거나 같은 두께(T2)를 갖도록 형성하는 것에 의하여, 연마패드(210)가 기판(W)의 외측 영역에서 기판(W)의 내측 영역으로 이동하는 중에, 연마패드(210)와 리테이너(130)의 충돌에 의한 연마 유닛(220)의 리바운드 현상의 발생을 최소화하는 유리한 효과를 얻을 수 있다.Preferably, the retainer 130 is formed to have a thickness (T1≥T2) that is thinner than or equal to that of the substrate (W). In this way, by forming the retainer 130 to have a thickness T2 that is thinner than or equal to the substrate W, the polishing pad 210 moves from the outer region of the substrate W to the inner region of the substrate W. During operation, an advantageous effect of minimizing the rebound phenomenon of the polishing unit 220 caused by the collision between the polishing pad 210 and the retainer 130 may be obtained.

리테이너(130)는 요구되는 조건에 따라 다양한 재질로 형성될 수 있다. 다만, 리테이너(130)에는 연마 패드(232)가 접촉되므로, 연마 공정시 비교적 갈림이 적은 폴리에틸렌(PE), 불포화 폴리에스테르(unsaturated polyester ; UPE) 등과 같은 재질로 리테이너(130)를 형성하는 것이 바림직하다.The retainer 130 may be formed of various materials depending on required conditions. However, since the polishing pad 232 is in contact with the retainer 130, it is preferable to form the retainer 130 with a material such as polyethylene (PE) or unsaturated polyester (UPE), which is relatively less abrasive during the polishing process. direct

도 3 내지 도 5를 참조하면, 유체흡입유닛(230)은 기판(W)에 대한 연마 유닛(220)의 이동 방향(PL)을 따라 연마 유닛(220)의 후방에 배치되며, 기판(W)의 상면에 잔류하는 유체를 흡입하도록 마련된다.3 to 5, the fluid suction unit 230 is disposed behind the polishing unit 220 along the moving direction PL of the polishing unit 220 with respect to the substrate W, and It is provided to suck the fluid remaining on the upper surface of the.

바람직하게, 유체흡입유닛(230)은, 연마 유닛(220)에 의한 기판(W)의 연마가 행해지는 중에 연마 유닛(220)이 지나간 기판(W)의 연마 완료 영역(FZ)에서 곧바로 유체를 흡입한다.Preferably, the fluid suction unit 230 removes the fluid directly from the polishing zone FZ of the substrate W through which the polishing unit 220 passes while polishing the substrate W by the polishing unit 220 is being performed. inhale

이와 같이, 기판(W)에 대한 연마 공정이 행해지는 중에, 기판의 연마 완료 영역에 잔류된 유체(예를 들어, 슬러리)가 기판 표면에 고착되기 전에 곧바로 흡입되도록 하는 것에 의하여, 연마 입자 등이 포함된 유체가 연마면에 고착되는 것을 최소화할 수 있으며, 후속 세정 공정에 소요되는 공정 시간을 최소화하고, 세정 효율을 높이는 유리한 효과를 얻을 수 있다.In this way, while the polishing process for the substrate W is being performed, the fluid (eg, slurry) remaining in the polished region of the substrate is immediately sucked in before it adheres to the substrate surface, so that abrasive particles and the like are removed. Adherence of the contained fluid to the polishing surface can be minimized, process time required for the subsequent cleaning process can be minimized, and advantageous effects of increasing cleaning efficiency can be obtained.

더욱이, 기판(W)의 연마 공정이 행해지는 중에 기판에 잔류된 유체의 흡입이 이루어지도록 하는 것에 의하여, 다시 말해서, 기판(W)의 연마 공정과 유체의 흡입 공정이 동시에 행해지도록 하는 것에 의하여, 기판(W)의 처리 시간을 단축할 수 있으며, 공정 효율성 및 수율을 향상시키는 유리한 효과를 얻을 수 있다.Furthermore, by allowing suction of the fluid remaining on the substrate while the polishing process of the substrate W is being performed, in other words, by allowing the polishing process of the substrate W and the suction process of the fluid to be performed simultaneously, It is possible to shorten the processing time of the substrate (W), and obtain advantageous effects of improving process efficiency and yield.

유체흡입유닛(230)은 기판(W)의 표면에 위치하는 유체(이물질을 포함하는 유체)를 흡입할 수 있는 다양한 구조로 형성될 수 있으며, 유체흡입유닛(230)의 흡입 방식 및 흡입 구조에 의해 본 발명이 제한되거나 한정되는 것은 아니다.The fluid suction unit 230 may be formed in various structures capable of sucking fluid (fluid containing foreign substances) located on the surface of the substrate W, and may be formed in a suction method and suction structure of the fluid suction unit 230. The present invention is not limited or limited by.

일 예로, 유체흡입유닛(230)은 기판에 대한 연마 유닛(220)의 이동 방향(PL)을 따라 연마 유닛(220)의 후방에 배치되며 유체를 진공 흡입하는 흡입홀(232a)이 형성된 흡입부재(232)를 포함한다.For example, the fluid suction unit 230 is a suction member disposed behind the polishing unit 220 along the moving direction PL of the polishing unit 220 with respect to the substrate and having a suction hole 232a for vacuum suction of fluid. (232).

이와 같이, 연마 유닛(220)이 지나간 기판(W)의 연마 완료 영역(FZ)에 잔류된 유체가 곧바로 유체흡입부재(232)에 의해 에서 곧바로 유체를 흡입되도록 하는 것에 의하여, 기판(W)으로부터 분리된 이물질을 보다 신속하게 기판으로부터 제거할 수 있으며, 기판(W)으로부터 분리된 이물질이 기판(W)에 재부착되는 것을 효과적으로 방지하는 유리한 효과를 얻을 수 있다.In this way, the fluid remaining in the polishing zone FZ of the substrate W through which the polishing unit 220 has passed is directly sucked into the fluid from the substrate W by the fluid suction member 232. The separated foreign matter can be more quickly removed from the substrate, and an advantageous effect of effectively preventing the foreign matter separated from the substrate W from being reattached to the substrate W can be obtained.

이때, 흡입부재(232)는 연마 유닛(220)의 진행 방향에 직교하도록 배치되고, 흡입홀(232a)은 연마 유닛(220)의 지름보다 긴 길이를 갖도록 흡입부재(232)의 길이 방향을 따라 연속적으로 형성된다. 이와 같이, 흡입부재(232)의 길이(L)를 연마 유닛(220)의 지름(D)(연마패드의 지름)보다 길게 형성하고, 흡입부재(232)를 연마 유닛(220)의 진행 방향에 직교하게 배치하는 것에 의하여, 연마 완료 영역(FZ)에 잔류된 유체뿐만 아니라, 연마 완료 영역(FZ)의 주변으로 밀려난 유체까지도 효과적으로 흡입하는 유리한 효과를 얻을 수 있다.At this time, the suction member 232 is disposed orthogonal to the moving direction of the polishing unit 220, and the suction hole 232a is along the longitudinal direction of the suction member 232 to have a length longer than the diameter of the polishing unit 220. formed successively. In this way, the length (L) of the suction member 232 is formed longer than the diameter (D) (diameter of the polishing pad) of the polishing unit 220, and the suction member 232 is placed in the traveling direction of the polishing unit 220. By arranging them orthogonally, an advantageous effect of effectively sucking not only the fluid remaining in the polished region FZ but also the fluid pushed to the periphery of the polished region FZ can be obtained.

바람직하게, 유체흡입유닛(230)은 기판(W)에 대해 연마 유닛(220)을 이동시키는 갠트리 유닛(20)에 장착된다. 보다 구체적으로, 유체분사유닛(230)은 연마 유닛(220)의 후방에 배치되도록 Y축 갠트리(24)에 장착된다.Preferably, the fluid suction unit 230 is mounted on the gantry unit 20 which moves the polishing unit 220 relative to the substrate W. More specifically, the fluid injection unit 230 is mounted on the Y-axis gantry 24 so as to be disposed behind the polishing unit 220 .

이와 같이, 연마 유닛(220)을 이동시키기 위해 이미 마련되어 있는 갠트리 유닛(20)에 유체흡입유닛(230)을 장착하는 것에 의하여, 유체흡입유닛(230)을 이동시키기 위한 이동 수단을 추가적으로 마련할 필요가 없으므로, 설계자유도를 향상시킬 수 있으며, 설비의 소형화에 기여하는 유리한 효과를 얻을 수 있다. 경우에 따라서는 유체흡입유닛이 별도의 이동 수단에 의해 이동하면서 기판에 잔류된 유체를 흡입하는 것도 가능하다.In this way, by mounting the fluid suction unit 230 to the gantry unit 20 already provided to move the polishing unit 220, it is necessary to additionally provide a moving means for moving the fluid suction unit 230 Since there is no, the degree of freedom in design can be improved, and advantageous effects contributing to miniaturization of equipment can be obtained. In some cases, it is also possible to suck the fluid remaining on the substrate while the fluid suction unit is moved by a separate moving means.

또한, 도 10을 참조하면, 기판 처리 장치는, Y축 갠트리와 유체흡입유닛(230)을 연결하며 유체흡입유닛(230)이 연마 유닛(220)의 이동 방향(PL)을 향하도록 유체흡입유닛(230)을 선택적으로 회전시키는 회전모듈(290)을 포함한다.In addition, referring to FIG. 10, the substrate processing apparatus connects the Y-axis gantry and the fluid suction unit 230, and the fluid suction unit 230 faces the moving direction PL of the polishing unit 220. It includes a rotation module 290 that selectively rotates 230.

회전모듈(290)은 Y축 갠트리에 대해 유체흡입유닛(230)을 회전시킬 수 있는 다양한 구조로 형성될 수 있으며, 회전모듈(290)의 구조에 의해 본 발명이 제한되거나 한정되는 것은 아니다.The rotation module 290 may be formed in various structures capable of rotating the fluid suction unit 230 with respect to the Y-axis gantry, and the present invention is not limited or limited by the structure of the rotation module 290.

일 예로, 도 3을 참조하면, 연마 유닛(220)이 기판의 가로 방향(도 3 기준)으로 이동하는 경우에는, 유체흡입유닛(230)이 기판의 가로 방향에 직교하는 세로 방향을 따라 배치된다. 반면, 도 11과 같이, 연마 유닛(220)이 기판에 대해 사선 방향(도 11 기준)으로 이동하는 경우에는, Y축 갠트리에 대해 유체흡입유닛(230)을 회전시키는 것에 의하여, 유체흡입유닛(230)을 사선 방향에 직교하는 직교 사선 방향을 따라 배치할 수 있다.For example, referring to FIG. 3 , when the polishing unit 220 moves in the horizontal direction of the substrate (refer to FIG. 3), the fluid suction unit 230 is disposed along a vertical direction orthogonal to the horizontal direction of the substrate. . On the other hand, as shown in FIG. 11, when the polishing unit 220 moves in an oblique direction (refer to FIG. 11) with respect to the substrate, by rotating the fluid suction unit 230 with respect to the Y-axis gantry, the fluid suction unit ( 230) may be arranged along an orthogonal oblique direction orthogonal to the oblique direction.

이와 같이, 회전모듈(290)을 이용하여 Y축 갠트리에 대해 유체흡입유닛(230)이 선택적으로 회전하도록 하는 것에 의하여, 예를 들어, 연마 유닛(220)이 사선경로를 따라 이동하더라도, 유체흡입유닛(230)은 연마 유닛(220)의 이동 방향(PL)을 향하도록 연마 유닛(220)의 후방에 배치될 수 있으므로, 연마 유닛(220)이 사선경로를 따라 이동하는 중에 연마 완료 영역(FZ)에 잔류된 유체를 효과적으로 흡입하는 유리한 효과를 얻을 수 있다.In this way, by selectively rotating the fluid suction unit 230 with respect to the Y-axis gantry using the rotation module 290, for example, even if the polishing unit 220 moves along an oblique path, the fluid suction Since the polishing unit 230 may be disposed at the rear of the polishing unit 220 toward the moving direction PL of the polishing unit 220, while the polishing unit 220 moves along the oblique path, the polishing completion area FZ ) can obtain an advantageous effect of effectively sucking the fluid remaining in the

또한, 기판 처리 장치는, 기판에 대한 연마 유닛(220)의 이동 방향(PL)을 따라 연마 유닛(220)의 후방에 마련되며, 기판에 세정 유체를 분사하는 세정부(240)를 포함한다. 아울러, 유체흡입유닛(230)은 기판의 연마면에 잔류하는 유체와 세정 유체를 함께 흡입하도록 구성된다.In addition, the substrate processing apparatus includes a cleaning unit 240 provided behind the polishing unit 220 along the movement direction PL of the polishing unit 220 with respect to the substrate and spraying a cleaning fluid to the substrate. In addition, the fluid suction unit 230 is configured to suck the fluid remaining on the polishing surface of the substrate and the cleaning fluid together.

바람직하게, 세정부(240)는 연마 유닛(220)에 의한 기판(W)의 연마가 행해지는 중에 연마 유닛(220)이 지나간 기판(W)의 연마 완료 영역(FZ)에 곧바로 유체를 분사하도록 마련된다. 일 예로, 세정부(240)는 연마 유닛(220)과 함께 이동하도록 마련된다.Preferably, the cleaning unit 240 sprays the fluid directly to the polishing zone FZ of the substrate W through which the polishing unit 220 passes while the polishing unit 220 is polishing the substrate W. provided For example, the cleaning unit 240 is provided to move together with the polishing unit 220 .

세정부(240)는 기판(W)의 표면에 적어도 한 종류 이상의 세정 유체를 분사하는 분사노즐(미도시)를 포함하며, 세정부(240)에서 분사 가능한 세정 유체의 종류 및 특성은 요구되는 조건 및 설계 사양에 따라 다양하게 변경될 수 있다.The cleaning unit 240 includes a spray nozzle (not shown) for spraying at least one cleaning fluid onto the surface of the substrate W, and the type and characteristics of the cleaning fluid sprayable by the cleaning unit 240 depend on the required conditions. And it may be variously changed according to design specifications.

일 예로, 세정부(240)에서 분사되는 유체로서는 액상 유체(예를 들어, 순수)가 사용될 수 있다. 바람직하게, 세정부(240)는 기판(W)의 표면에 존재하는 이물질을 충분한 타격력으로 타격할 수 있도록 세정 유체를 고압으로 분사한다.For example, liquid fluid (eg, pure water) may be used as the fluid sprayed from the cleaning unit 240 . Preferably, the cleaning unit 240 sprays the cleaning fluid at a high pressure so as to hit foreign substances present on the surface of the substrate W with sufficient striking force.

경우에 따라서는 세정부가 기상 유체(예를 들어, 질소) 또는 스팀을 분사하거나, 드라이아이스 입자와 함께 기상 유체(또는 액상 유체)를 분사하도록 구성되는 것도 가능하다. 다르게는, 세정부가 기판의 표면에 유체를 분사함과 동시에, 기판의 표면으로부터 이물질을 효과적으로 분리하기 위한 진동 에너지(예를 들어, 고주파 진동 에너지 또는 저주파 진동 에너지)를 함께 공급하는 것도 가능하다.In some cases, the cleaning unit may be configured to spray gaseous fluid (eg, nitrogen) or steam, or spray gaseous fluid (or liquid fluid) together with dry ice particles. Alternatively, the cleaning unit may also supply vibration energy (eg, high-frequency vibration energy or low-frequency vibration energy) to effectively separate foreign substances from the surface of the substrate while spraying the fluid on the surface of the substrate.

이와 같이, 기판(W)의 연마 완료 영역(FZ)에 곧바로 세정 유체를 분사하는 것에 의하여, 기판(W)으로부터 분리된 이물질이 기판(W)에 재부착되거나 고착되는 것을 최소화하고, 기판(W)의 세정 효율을 향상시키는 유리한 효과를 얻을 수 있다.As described above, by directly spraying the cleaning fluid to the polished region FZ of the substrate W, re-attachment or adhering of foreign substances separated from the substrate W to the substrate W is minimized, and the substrate W ) can obtain an advantageous effect of improving the cleaning efficiency.

더욱이, 기판의 표면으로 분사된 세정 유체는 곧바로 유체흡입유닛(230)에 의해 흡입될 수 있으므로, 기판(W)으로부터 분리된 이물질을 보다 신속하게 기판으로부터 제거할 수 있으며, 기판(W)으로부터 분리된 이물질이 기판(W)에 재부착되는 것을 효과적으로 방지하는 유리한 효과를 얻을 수 있다.Moreover, since the cleaning fluid sprayed onto the surface of the substrate can be directly sucked by the fluid suction unit 230, the foreign substances separated from the substrate W can be more quickly removed from the substrate and separated from the substrate W. An advantageous effect of effectively preventing the reattachment of foreign substances to the substrate W can be obtained.

또한, 도 5를 참조하면, 기판 처리 장치는, 유체흡입유닛(230)과 연마 유닛(220)의 사이에서 기판(W)에 접촉되는 제1에어커튼(AC1)을 형성하는 제1에어커튼(AC1) 형성부(250)를 포함하고, 세정부(240)는 유체흡입유닛(230)과 제1에어커튼(AC1) 형성부(250)의 사이에 세정 유체를 분사한다.In addition, referring to FIG. 5 , the substrate processing apparatus forms a first air curtain AC1 contacting the substrate W between the fluid suction unit 230 and the polishing unit 220 ( An AC1) forming unit 250 is included, and the cleaning unit 240 sprays a cleaning fluid between the fluid suction unit 230 and the first air curtain AC1 forming unit 250 .

여기서, 제1에어커튼(AC1)이라 함은, 선형적으로 형성되는 공기에 의한 막 형태의 커튼(linear air curtain)을 의미한다. 바람직하게, 제1에어커튼(AC1) 형성부(250)는 세정 유체가 분사되는 지점과 연마 유닛(220)의 사이를 따라 연속적으로 기판(W)에 접촉되는 제1에어커튼(AC1)을 형성한다.Here, the first air curtain AC1 means a linear air curtain formed by air. Preferably, the first air curtain AC1 formation unit 250 forms the first air curtain AC1 continuously in contact with the substrate W along the gap between the point where the cleaning fluid is sprayed and the polishing unit 220. do.

이는, 기판(W)으로 세정 유체가 연마 부위(연마 공정이 행해지는 연마패드(222)의 하부)로 유입됨을 최소화하고, 세정 유체에 의한 연마 오류 및 연마 효율 저하를 방지하기 위함이다.This is to minimize the inflow of the cleaning fluid into the polishing area (lower part of the polishing pad 222 where the polishing process is performed) of the substrate W and to prevent polishing errors and reduction in polishing efficiency caused by the cleaning fluid.

즉, 연마 유닛(220)의 후방에서 기판의 표면에 세정 유체를 분사하면 기판의 세정 효율을 높일 수 있으나, 기판의 표면으로 분사된 세정 유체가 기판의 연마 부위로 유입되면, 연마 오류가 발생되고 연마 효율이 저하될 우려가 있다.That is, spraying the cleaning fluid on the surface of the substrate from the rear of the polishing unit 220 can increase the cleaning efficiency of the substrate, but when the cleaning fluid sprayed on the surface of the substrate flows into the polishing area of the substrate, polishing errors occur. There is a possibility that polishing efficiency may decrease.

이에 본 발명은, 유체흡입유닛(230)과 연마 유닛(220)의 사이에서 기판(W)에 접촉되는 제1에어커튼(AC1)을 형성하는 것에 의하여, 기판(W)으로 분사된 세정 유체가 기판(W)의 연마 부위로 유입되는 것을 최소화할 수 있으므로, 세정 유체에 의한 연마 오류 및 연마 효율 저하를 최소화하는 유리한 효과를 얻을 수 있다.Therefore, according to the present invention, by forming a first air curtain AC1 in contact with the substrate W between the fluid suction unit 230 and the polishing unit 220, the cleaning fluid sprayed to the substrate W Since inflow into the polishing region of the substrate W can be minimized, an advantageous effect of minimizing polishing errors and degradation of polishing efficiency caused by the cleaning fluid can be obtained.

도 3 및 도 6을 참조하면, 기판 처리 장치는 기판(W)과 상기 연마 유닛(220)의 사이에 슬러리(slurry)를 공급하는 슬러리 공급유닛(260)을 포함한다.Referring to FIGS. 3 and 6 , the substrate processing apparatus includes a slurry supply unit 260 supplying slurry between the substrate W and the polishing unit 220 .

슬러리 공급유닛(260)은 요구되는 조건 및 설계 사양에 따라 다양한 방식으로 연마패드(222)와 기판(W)의 사이에 슬러리를 공급할 수 있다. 아울러, 슬러리 공급유닛(260)은 상온 상태로 슬러리를 공급한다. 경우에 따라서는 슬러리 공급유닛이 슬러리를 가열 또는 냉각하여 상온과 다른 온도로 슬러리를 공급하는 것도 가능하다.The slurry supply unit 260 may supply slurry between the polishing pad 222 and the substrate W in various ways according to required conditions and design specifications. In addition, the slurry supply unit 260 supplies the slurry at room temperature. In some cases, the slurry supply unit may heat or cool the slurry to supply the slurry at a temperature different from room temperature.

일 예로, 슬러리 공급유닛(260)은, 기판(W)에 대한 연마 유닛(220)의 이동 방향(PL)을 따라 연마 유닛(220)의 전방에 마련되며, 연마 유닛(220)이 기판(W)의 연마 대상 영역(NFZ)을 지나가기 직전에 연마 대상 영역에 슬러리를 미리 공급한다.For example, the slurry supply unit 260 is provided in front of the polishing unit 220 along the moving direction PL of the polishing unit 220 with respect to the substrate W, and the polishing unit 220 moves the substrate W ), the slurry is supplied to the polishing target region in advance just before passing through the polishing target region NFZ.

이와 같이, 연마 유닛(220)이 기판(W)의 연마 대상 영역(NFZ)을 지나가기 직전에 연마 대상 영역(NFZ)에 슬러리를 미리 공급하는 것에 의하여, 연마 유닛(220)이 기판(W)의 연마 대상 영역(NFZ)을 지나가는 중에는 슬러리의 화학 반응이 시작하기까지의 시간 지체없이 곧바로 슬러리의 화학 반응에 의한 화학적 연마가 행해질 수 있으므로, 슬러리를 이용한 화학적 연마에 소요되는 시간을 단축하고 연마 효율을 높이는 유리한 효과를 얻을 수 있다.In this way, by pre-supplying the slurry to the polishing target region NFZ immediately before the polishing unit 220 passes the polishing target region NFZ of the substrate W, the polishing unit 220 polishes the substrate W While passing through the area to be polished (NFZ), chemical polishing by the chemical reaction of the slurry can be performed without delay until the chemical reaction of the slurry starts, thereby reducing the time required for chemical polishing using the slurry and improving the polishing efficiency. can obtain an advantageous effect of increasing

바람직하게, 슬러리 공급유닛(260)은 기판(W)의 상면에 선형 슬러리 커튼(linear slurry curtain)(SC)을 분사하는 슬러리 분사노즐(262)을 포함한다. 이와 같이, 슬러리 분사노즐(262)을 이용하여 연마 대상 영역(NFZ)의 표면에 선형 슬러리 커튼(SC)을 분사하는 것에 의하여, 연마 대상 영역(NFZ)의 표면에 슬러리를 균일한 양으로 도포할 수 있으며, 슬러리의 사용량을 저감시키는 유리한 효과를 얻을 수 있다.Preferably, the slurry supply unit 260 includes a slurry spray nozzle 262 spraying a linear slurry curtain (SC) on the upper surface of the substrate (W). In this way, by spraying the linear slurry curtain SC on the surface of the polishing target region NFZ using the slurry spray nozzle 262, a uniform amount of slurry can be applied to the surface of the polishing target region NFZ. And it is possible to obtain an advantageous effect of reducing the amount of slurry used.

경우에 따라서는 연마패드의 중앙부에 관통홀(미도시)을 형성하고, 슬러리 유닛이 연마 유닛의 내부를 따라 관통홀에 슬러리를 공급하는 것도 가능하다. 관통홀로 공급된 슬러리는 연마패드가 회전함에 따라 원심력에 의해 연마패드의 중앙부에서 연마패드의 가장자리로 분포될 수 있다.In some cases, it is also possible to form a through hole (not shown) in the central portion of the polishing pad, and supply the slurry to the through hole along the inside of the polishing unit from a slurry unit. The slurry supplied through the through hole may be distributed from the center of the polishing pad to the edge of the polishing pad by centrifugal force as the polishing pad rotates.

아울러, 슬러리 공급유닛(260)은 기판(W)에 대해 연마 유닛(220)을 이동시키는 갠트리 유닛에 장착된다. 이와 같이, 연마 유닛(220)을 이동시키기 위해 이미 마련되어 있는 갠트리 유닛에 슬러리 공급유닛(260)을 장착하는 것에 의하여, 슬러리 공급유닛(260)을 이동시키기 위한 이동 수단을 추가적으로 마련할 필요가 없으므로, 설계자유도를 향상시킬 수 있으며, 설비의 소형화에 기여하는 유리한 효과를 얻을 수 있다.In addition, the slurry supply unit 260 is mounted on a gantry unit that moves the polishing unit 220 relative to the substrate (W). In this way, by mounting the slurry supply unit 260 to the gantry unit already provided to move the polishing unit 220, there is no need to additionally provide a moving means for moving the slurry supply unit 260, Design freedom can be improved, and advantageous effects contributing to miniaturization of equipment can be obtained.

또한, 기판 처리 장치는, 슬러리 공급유닛(260)의 측단부에서 기판(W)에 접촉되는 제2에어커튼(AC2)을 형성하는 제2에어커튼(AC2) 형성부(270)를 포함한다.In addition, the substrate processing apparatus includes a second air curtain AC2 forming unit 270 forming a second air curtain AC2 in contact with the substrate W at the side end of the slurry supply unit 260 .

여기서, 제2에어커튼(AC2)이라 함은, 선형적으로 형성되는 공기에 의한 막 형태의 커튼(linear air curtain)을 의미한다. 바람직하게, 제2에어커튼(AC2) 형성부(270)는 슬러리 공급유닛(260)의 양 측단부에서 연마유닛까지 연속적으로 기판(W)에 접촉되는 제2에어커튼(AC2)을 형성한다.Here, the second air curtain AC2 means a linear air curtain formed by air. Preferably, the second air curtain AC2 formation unit 270 forms the second air curtain AC2 continuously in contact with the substrate W from both ends of the slurry supply unit 260 to the polishing unit.

이는, 기판(W)의 표면에 분사된 슬러리가 연마 대상 영역(NFZ)의 외측으로 퍼지는 것을 억제하고, 슬러리의 도포 상태를 안정적으로 유지하기 위함이다.This is to suppress the spread of the slurry sprayed on the surface of the substrate W to the outside of the area to be polished NFZ and to stably maintain the applied state of the slurry.

즉, 연마 대상 영역(NFZ)에 미리 도포된 슬러리가 연마 대상 영역(NFZ)의 외측으로 퍼지면, 연마 대상 영역(NFZ)에서 슬러리의 도포량이 균일하게 유지되기 어려워 연마 균일도가 저하될 우려가 있다.That is, if the slurry previously applied to the polishing target region NFZ spreads outward of the polishing target region NFZ, it is difficult to maintain a uniform coating amount of the slurry in the polishing target region NFZ, and thus the polishing uniformity may deteriorate.

이에 본 발명은, 슬러리 공급유닛(260)의 양 측단부에서 기판에 접촉되는 제2에어커튼(AC2)을 형성하는 것에 의하여, 연마 대상 영역(NFZ)에 도포된 슬러리의 도포 상태를 균일하게 유지할 수 있으며, 연마 균일도를 향상시키는 유리한 효과를 얻을 수 있다.Accordingly, the present invention maintains a uniform coating state of the slurry applied to the area to be polished (NFZ) by forming second air curtains (AC2) in contact with the substrate at both ends of the slurry supply unit (260). and an advantageous effect of improving polishing uniformity can be obtained.

다시, 도 6을 참조하면, 기판 처리 장치는 연마 유닛(220)과 슬러리 공급유닛(260)의 사이에 마련되며, 연마 유닛(220)에서 슬러리 공급유닛(260)으로 비산되는 유체를 차단하는 차단부재(280)를 포함할 수 있다.Again, referring to FIG. 6, the substrate processing apparatus is provided between the polishing unit 220 and the slurry supply unit 260, and blocks the fluid from scattering from the polishing unit 220 to the slurry supply unit 260. member 280.

이와 같이, 연마 유닛(220)과 슬러리 공급유닛(260)의 사이에 차단부재(280)를 배치하고, 연마 유닛(220)에서 비산되는 유체가 차단부재(280)에 의해 차단되도록 하는 것에 의하여, 비산 유체에 의한 슬러리 공급유닛(260)의 오염을 방지하고, 연마 안정성을 높이는 유리한 효과를 얻을 수 있다.In this way, by disposing the blocking member 280 between the polishing unit 220 and the slurry supply unit 260, and blocking the fluid scattered from the polishing unit 220 by the blocking member 280, It is possible to obtain advantageous effects of preventing contamination of the slurry supply unit 260 by the scattering fluid and improving polishing stability.

아울러, 슬러리 공급유닛(260)은 회전모듈(290)을 매개로 Y축 갠트리에 장착되어 슬러리 공급 방향이 연마 유닛(220)의 이동 방향(PL)을 향하도록 선택적으로 회전될 수 있다.In addition, the slurry supply unit 260 is mounted on the Y-axis gantry via the rotation module 290 and can be selectively rotated so that the slurry supply direction is toward the moving direction PL of the polishing unit 220 .

일 예로, 슬러리 공급유닛(260)은 유체흡입유닛(230)이 장착되는 회전모듈(290)에 장착될 수 있다. 경우에 따라서는 유체흡입유닛과 슬러리 공급유닛이 각각 서로 다른 회전모듈에 의해 회전하도록 구성하는 것도 가능하다.For example, the slurry supply unit 260 may be mounted on the rotation module 290 to which the fluid suction unit 230 is mounted. In some cases, it is also possible to configure the fluid intake unit and the slurry supply unit to rotate by different rotation modules.

이와 같이, 회전모듈(290)을 이용하여 슬러리 공급유닛(260)이 선택적으로 회전하도록 하는 것에 의하여, 예를 들어, 연마 유닛(220)이 사선경로를 따라 이동하더라도, 슬러리 공급유닛(260)은 연마 유닛(220)의 이동 방향(PL)을 향하도록 연마 유닛(220)의 전방에 배치될 수 있으므로, 연마 유닛(220)이 사선경로를 따라 이동하는 중에 연마 대상 영역(NFZ)에 슬러리를 균일하게 도포하는 유리한 효과를 얻을 수 있다.In this way, by selectively rotating the slurry supply unit 260 using the rotation module 290, for example, even if the polishing unit 220 moves along an oblique path, the slurry supply unit 260 Since the polishing unit 220 may be disposed in front of the polishing unit 220 toward the moving direction PL, uniform slurry is applied to the polishing target area NFZ while the polishing unit 220 moves along an oblique path. Advantageous effects can be obtained by applying

한편, 언로딩 파트(300)는 연마 처리가 완료된 기판(W)을 연마 파트(200)에서 언로딩하기 위해 마련된다.Meanwhile, the unloading part 300 is provided to unload the polishing-processed substrate W from the polishing part 200 .

즉, 언로딩 파트(300)에는 기판거치부(210)로부터 분리된 기판(W)이 언로딩된다.That is, the substrate W separated from the substrate holder 210 is unloaded on the unloading part 300 .

언로딩 파트(300)는 연마 파트(200)에서 기판(W)을 언로딩 가능한 다양한 구조로 형성될 수 있으며, 언로딩 파트(300)의 구조에 의해 본 발명이 제한되거나 한정되는 것은 아니다.The unloading part 300 may be formed in various structures capable of unloading the substrate W from the polishing part 200, and the present invention is not limited or limited by the structure of the unloading part 300.

일 예로, 언로딩 파트(300)는 소정 간격을 두고 이격되게 배치되는 복수개의 언로딩 이송 롤러(310)를 포함하며, 복수개의 언로딩 이송 롤러(310)의 상부에 공급된 기판(W)은 언로딩 이송 롤러(310)가 회전함에 따라 복수개의 언로딩 이송 롤러(310)에 의해 상호 협조적으로 이송된다. 경우에 따라서는 언로딩 파트가 언로딩 이송 롤러에 의해 순환 회전하는 순환 벨트를 포함하여 구성되는 것도 가능하다.For example, the unloading part 300 includes a plurality of unloading conveying rollers 310 spaced apart at predetermined intervals, and the substrate W supplied to the top of the plurality of unloading conveying rollers 310 is As the unloading conveying roller 310 rotates, it is mutually cooperatively conveyed by the plurality of unloading conveying rollers 310 . In some cases, it is also possible that the unloading part includes a circulating belt circulating and rotating by an unloading conveying roller.

또한, 도 1 및 도 12를 참조하면, 세정 파트(400)는 유체분사유닛(230)에 의해 예비 세정된 기판(W)을 다시 후속 세정하도록 마련된다.Also, referring to FIGS. 1 and 12 , the cleaning part 400 is provided to subsequently clean the substrate W previously cleaned by the fluid spray unit 230 .

여기서, 기판(W)의 후속 세정이라 함은, 유체분사유닛(230)에 의한 예비 세정이 완료된 후 기판(W)의 표면(특히, 기판의 연마면, 기판의 비연마면도 세정 가능)에 잔류하는 이물질을 세정하기 위한 공정으로 정의된다.Here, the post-cleaning of the substrate W refers to remaining on the surface of the substrate W (in particular, the polished surface of the substrate and the non-polished surface of the substrate can be cleaned) after the preliminary cleaning by the fluid spray unit 230 is completed. It is defined as a process for cleaning foreign substances that

세정 파트(400)는 여러 단계의 세정 및 건조 공정을 수행 가능한 구조로 제공될 수 있으며, 세정 파트(400)를 구성하는 세정 스테이션의 구조 및 레이아웃에 의해 본 발명이 제한되거나 한정되는 것은 아니다.The cleaning part 400 may be provided with a structure capable of performing several stages of cleaning and drying processes, and the present invention is not limited or limited by the structure and layout of the cleaning station constituting the cleaning part 400 .

바람직하게, 세정 파트(400)는 기판(W)의 표면에 잔류하는 유기물 및 여타 다른 이물질을 제거하기 위한 세정을 효과적으로 수행할 수 있도록, 기판(W)의 표면에 물리적으로 접촉되며 세정을 수행하는 접촉식 세정 유닛(410), 및 기판(W)의 표면에 물리적으로 비접촉되며 세정을 수행하는 비접촉식 세정 유닛(420)을 포함하여 구성될 수 있다. 경우에 따라서는 세정 파트가 접촉식 세정 유닛 및 비접촉식 세정 유닛 중 어느 하나만을 포함하여 구성되는 것도 가능하다.Preferably, the cleaning part 400 physically contacts the surface of the substrate (W) and performs cleaning so as to effectively perform cleaning to remove organic substances and other foreign substances remaining on the surface of the substrate (W). It may include a contact cleaning unit 410 and a non-contact cleaning unit 420 that does not physically contact the surface of the substrate W and performs cleaning. In some cases, it is also possible that the cleaning part includes only one of a contact type cleaning unit and a non-contact type cleaning unit.

일 예로, 접촉식 세정 유닛(410)은 기판(W)의 표면에 회전하며 접촉되는 세정 브러쉬(422)를 포함한다.For example, the contact type cleaning unit 410 includes a cleaning brush 422 that rotates and contacts the surface of the substrate W.

또한, 비접촉 세정 유닛(420)은 기판(W)의 표면에 서로 다른 이종(heterogeneity) 유체를 분사하는 이종 유체 분사부(422,424)를 포함할 수 있다. 아울러, 이종 유체 분사부(422,424)는 이종 유체를 분사 가능한 다양한 구조로 제공될 수 있다. 일 예로, 이종 유체 분사부(422,424)는 제1유체(액상 유체 또는 기상 유체)를 분사하는 제1유체 분사노즐(422)과, 제1유체와 다른 제2유체(액상 유체 또는 기상 유체)를 분사하는 제2유체 분사노즐(424)를 포함할 수 있으며, 제1유체 및 제2유체는 혼합 또는 분리된 상태로 기판(W)의 표면에 분사될 수 있다.In addition, the non-contact cleaning unit 420 may include heterogeneity spraying units 422 and 424 that spray different heterogeneity fluids on the surface of the substrate W. In addition, the heterogeneous fluid ejection units 422 and 424 may be provided in various structures capable of ejecting heterogeneous fluids. For example, the heterogeneous fluid ejection units 422 and 424 may eject a first fluid injection nozzle 422 for ejecting a first fluid (liquid fluid or gaseous fluid) and a second fluid (liquid fluid or gaseous fluid) different from the first fluid. It may include a second fluid injection nozzle 424 for spraying, and the first fluid and the second fluid may be sprayed on the surface of the substrate (W) in a mixed or separated state.

경우에 따라서는 비접촉 세정 유닛이 단일 유체를 분사하는 것도 가능하다. 다르게는, 비접촉 세정 유닛이 기판의 표면에 유체를 분사함과 동시에, 기판의 표면으로부터 이물질을 효과적으로 분리하기 위한 진동 에너지(예를 들어, 고주파 진동 에너지 또는 저주파 진동 에너지)를 함께 공급하는 것도 가능하다.In some cases, it is also possible for the non-contact cleaning unit to spray a single fluid. Alternatively, it is also possible for the non-contact cleaning unit to simultaneously supply fluid to the surface of the substrate and simultaneously supply vibrational energy (eg, high-frequency vibration energy or low-frequency vibration energy) for effectively separating foreign substances from the surface of the substrate. .

한편, 도 13 내지 도 15는 본 발명의 다른 실시예에 따른 기판 처리 장치를 설명하기 위한 도면이고, 도 16은 본 발명의 또 다른 실시예에 따른 기판 처리 장치를 설명하기 위한 도면이다. 아울러, 전술한 구성과 동일 및 동일 상당 부분에 대해서는 동일 또는 동일 상당한 참조 부호를 부여하고, 그에 대한 상세한 설명은 생략하기로 한다.Meanwhile, FIGS. 13 to 15 are views for explaining a substrate processing apparatus according to another embodiment of the present invention, and FIG. 16 is a view for explaining a substrate processing apparatus according to another embodiment of the present invention. In addition, the same or equivalent reference numerals are given to the same or equivalent parts as the above-described configuration, and a detailed description thereof will be omitted.

도 13 내지 도 15를 참조하면, 본 발명의 다른 실시예에 따르면, 기판 거치부(210')는, 정해진 경로를 따라 이동하며 외표면에 기판(W)이 안착되는 이송 벨트(214')와, 이송 벨트(214')의 내부에 배치되며 이송 벨트(214')를 사이에 두고 기판(W)의 저면을 지지하는 기판지지부(212)를 포함한다.Referring to FIGS. 13 to 15, according to another embodiment of the present invention, the substrate holder 210' moves along a predetermined path and includes a transfer belt 214' on which the substrate W is seated on the outer surface. , A substrate support part 212 disposed inside the transfer belt 214' and supporting the lower surface of the substrate W with the transfer belt 214' interposed therebetween.

참고로, 전술한 실시예와 마찬가지로, 유체흡입유닛(230)은 연마 유닛(220)의 이동 방향을 따라 연마 유닛(220)의 후방에서 기판(W)에 잔류된 유체를 흡입하고, 슬러리 공급유닛(260)은 연마 유닛(220)의 이동 방향을 따라 연마 유닛(220)의 전방에서 기판(W)의 표면에 미리 슬러리를 공급한다. 아울러, 이송 벨트(214')의 외표면에는 리테이너(216)가 구비될 수 있다.For reference, as in the above-described embodiment, the fluid suction unit 230 sucks the fluid remaining on the substrate W from the rear of the polishing unit 220 along the moving direction of the polishing unit 220, and the slurry supply unit Step 260 supplies the slurry to the surface of the substrate W in advance in front of the polishing unit 220 along the moving direction of the polishing unit 220 . In addition, a retainer 216 may be provided on an outer surface of the transfer belt 214'.

이송 벨트(214')는 요구되는 조건 및 설계 사양에 따라 다양한 방식으로 정해진 경로를 따라 이동하도록 구성될 수 있다. 일 예로, 이송 벨트(214')는 정해진 경로를 따라 순환 회전하도록 구성될 수 있다.The transport belt 214' may be configured to move along a predetermined path in various ways depending on required conditions and design specifications. For example, the transport belt 214' may be configured to circulate and rotate along a predetermined path.

이송 벨트(214')의 순환 회전은 요구되는 조건 및 설계 사양에 따라 다양한 방식으로 행해질 수 있다. 일 예로, 이송 벨트(214')는 롤러 유닛(150)에 의해 정해지는 경로를 따라 순환 회전하고, 이송 벨트(214')의 순환 회전에 의하여 이송 벨트(214')에 안착된 기판(W)이 직선 이동 경로를 따라 이송된다.Circulating rotation of the conveying belt 214' may be performed in various ways depending on required conditions and design specifications. For example, the conveying belt 214' is circularly rotated along a path determined by the roller unit 150, and the substrate W seated on the conveying belt 214' is moved by the circular rotation of the conveying belt 214'. It is conveyed along this linear movement path.

이송 벨트(214')의 이동 경로(예를 들어, 순환 경로)는 요구되는 조건 및 설계 사양에 따라 다양하게 변경될 수 있다. 일 예로, 롤러 유닛(150)은 제1롤러(152)와, 제1롤러(152)로부터 평행하게 이격되게 배치되는 제2롤러(154)를 포함하며, 이송 벨트(214')는 제1롤러(152)와 제2롤러(154)에 의해 무한 루프 방식으로 순환 회전한다.A moving path (eg, a circulation path) of the transfer belt 214' may be variously changed according to required conditions and design specifications. For example, the roller unit 150 includes a first roller 152 and a second roller 154 disposed parallel to and spaced apart from the first roller 152, and the conveying belt 214 'is the first roller 152 and the second roller 154 circularly rotate in an infinite loop manner.

참고로, 이송 벨트(214')의 외표면이라 함은, 이송 벨트(214')의 외측에 노출되는 외측 표면을 의미하며, 이송 벨트(214')의 외표면에는 기판(W)이 안착된다. 그리고, 이송 벨트(214')의 내표면이라 함은, 제1롤러(152)와 제2롤러(154)가 접촉되는 이송 벨트(214')의 내측 표면을 의미한다. For reference, the outer surface of the transfer belt 214' means an outer surface exposed to the outside of the transfer belt 214', and the substrate W is seated on the outer surface of the transfer belt 214'. . In addition, the inner surface of the conveying belt 214' means the inner surface of the conveying belt 214' on which the first roller 152 and the second roller 154 come into contact.

또한, 제1롤러(152)와 제2롤러(154) 중 어느 하나 이상은 선택적으로 서로 접근 및 이격되는 방향으로 직선 이동하도록 구성될 수 있다. 일 예로, 제1롤러(152)는 고정되는 제2롤러(154)는 제1롤러(152)에 접근 및 이격되는 방향으로 직선 이동하도록 구성될 수 있다. 이와 같이, 제조 공차 및 조립 공차 등에 따라 제1롤러(152)에 대해 제2롤러(154)가 접근 및 이격되도록 하는 것에 의하여, 이송 벨트(214')의 장력을 조절할 수 있다.In addition, any one or more of the first roller 152 and the second roller 154 may be selectively configured to linearly move in directions approaching and spaced apart from each other. For example, the second roller 154 to which the first roller 152 is fixed may linearly move in a direction approaching and away from the first roller 152 . In this way, the tension of the transfer belt 214' can be adjusted by allowing the second roller 154 to approach and separate from the first roller 152 according to manufacturing tolerances and assembly tolerances.

여기서, 이송 벨트(214')의 장력을 조절한다 함은, 이송 벨트(214')를 팽팽하게 잡아 당기거나 느슨하게 풀어 장력을 조절하는 것으로 정의된다. 경우에 따라서는, 별도의 장력 조절 롤러를 마련하고, 장력 조절 롤러를 이동시켜 이송 벨트의 장력을 조절하는 것도 가능하다. 하지만, 구조 및 공간활용성을 향상시킬 수 있도록 제1롤러와 제2롤러 중 어느 하나 이상을 이동시키는 것이 바람직하다.Here, adjusting the tension of the transport belt 214' is defined as adjusting the tension by pulling or loosening the transport belt 214'. In some cases, it is also possible to provide a separate tension control roller and adjust the tension of the transport belt by moving the tension control roller. However, it is preferable to move at least one of the first roller and the second roller to improve structure and space utilization.

또한, 기판 처리 장치는, 기판(W)을 로딩 파트(100)에서 연마 파트(200)로 이송하는 로딩 이송 공정 중에, 로딩 파트(100)가 기판(W)을 이송하는 로딩 이송 속도와, 이송 벨트(214')가 기판(W)을 이송하는 벨트 이송 속도를 동기화하는 로딩 제어부(미도시)를 포함한다.In addition, the substrate processing apparatus, during the loading transfer process of transferring the substrate W from the loading part 100 to the polishing part 200, the loading transfer speed at which the loading part 100 transfers the substrate W, and the transfer The belt 214' includes a loading controller (not shown) that synchronizes the belt conveying speed at which the substrate W is conveyed.

보다 구체적으로, 로딩 제어부는, 기판(W)의 일단이 이송 벨트(214')에 미리 정의된 안착 시작 위치(SP)에 배치되면, 로딩 이송 속도와 벨트 이송 속도를 동기화시킨다.More specifically, the loading control unit synchronizes the loading transfer speed and the belt transfer speed when one end of the substrate W is placed at a predefined seating start position SP on the transfer belt 214'.

여기서, 이송 벨트(214')에 미리 안착 시작 위치(SP)라 함은, 이송 벨트(214')의 순환 회전에 의해 기판(W)이 이송되기 시작할 수 있는 위치로 정의되며, 안착 시작 위치(SP)에서는 이송 벨트(214')와 기판(W) 간의 접합성이 부여된다. 일 예로, 안착 시작 위치(SP)는 로딩 파트(100)에서부터 이송되는 기판(W)의 선단을 마주하는 기판수용부(216a)의 일변(또는 기판수용부의 일변에 인접한 위치)에 설정될 수 있다.Here, the starting position SP of the transfer belt 214' is defined as a position at which the substrate W can start to be transferred by the circular rotation of the transfer belt 214', and the starting position SP of the transfer belt 214' ( In SP), bonding between the transfer belt 214' and the substrate W is provided. For example, the seating start position SP may be set at one side of the substrate accommodating portion 216a facing the front end of the substrate W transferred from the loading part 100 (or a position adjacent to one side of the substrate accommodating portion). .

참고로, 센서 또는 비젼 카메라와 같은 통상의 감지수단에 의하여 기판수용부(216a)의 일변이 안착 시작 위치(SP)에 위치된 것으로 감지되면, 기판수용부(216a)의 일변이 안착 시작 위치(SP)에 위치된 상태가 유지되도록 이송 벨트(214')의 회전이 정지된다.For reference, when it is detected that one side of the substrate receiving portion 216a is located at the seating start position SP by a normal sensing means such as a sensor or a vision camera, one side of the substrate receiving portion 216a is positioned at the seating start position ( The rotation of conveying belt 214' is stopped so that it remains positioned at SP).

그 후, 이송 벨트(214')의 회전이 정지된 상태에서, 감지수단에 의해 기판(W)의 선단이 안착 시작 위치(SP)에 배치된 것으로 감지되면, 로딩 제어부는 로딩 파트(100)가 기판(W)을 이송하는 로딩 이송 속도와, 이송 벨트(214')가 기판(W)을 이송하는 벨트 이송 속도가 서로 동일한 속도가 되도록 이송 벨트(214')를 회전(동기화 회전)시켜 기판(W)이 연마 위치로 이송되게 한다.After that, in a state in which the rotation of the transfer belt 214' is stopped, when it is detected that the front end of the substrate W is disposed at the seating start position SP by the sensing means, the loading control unit moves the loading part 100 The transfer belt 214' is rotated (synchronized rotation) so that the loading transfer speed at which the substrate W is transferred and the belt transfer speed at which the transfer belt 214' transfers the substrate W are the same. W) is transported to the polishing position.

또한, 이송 벨트(214')는 연마가 완료된 기판(W)을 일정 구간 이상 이송시킨 상태에서 기판(W)의 저면으로부터 이격되는 방향으로 이동한다.In addition, the conveying belt 214' moves in a direction away from the bottom surface of the substrate W in a state in which the polished substrate W is conveyed over a predetermined section.

보다 구체적으로, 도 15를 참조하면, 이송 벨트(214')는 정해진 경로를 따라 순환 회전하며 기판(W)을 이송하도록 구성되는 바, 기판(W)은 이송 벨트(214')가 회전 경로를 따라 이동하기 시작하는 위치(이송 벨트가 제2롤러의 외표면을 따른 곡선 경로를 따라 이동하기 시작하는 위치)에서, 이송 벨트(214')가 기판(W)의 저면으로부터 이격되는 방향으로 이동함에 따라 이송 벨트()로부터 분리된다.More specifically, referring to FIG. 15 , the transfer belt 214' is configured to circulate and rotate along a predetermined path to transfer the substrate W, and the transfer belt 214' rotates the substrate W along a rotational path. At the position where it starts to move along (the position where the transfer belt starts to move along the curved path along the outer surface of the second roller), the transfer belt 214' moves in a direction away from the bottom surface of the substrate W. It is separated from the conveying belt ( ) along the

이와 같이, 기판(W)을 이송하는 이송 벨트(214')가 기판(W)을 일정 구간 이상 이송시킨 상태에서는, 이송 벨트(214')가 기판(W)의 저면으로부터 이격되는 방향으로 이동되게 하는 것에 의하여, 별도의 픽업 공정(예를 들어, 기판 흡착 장치를 이용한 픽업 공정)없이 이송 벨트(214')로부터 기판(W)을 자연스럽게 분리하는 유리한 효과를 얻을 수 있다.In this way, in a state in which the transfer belt 214' for transferring the substrate W has transferred the substrate W over a certain period, the transfer belt 214' is moved in a direction away from the bottom surface of the substrate W. By doing so, an advantageous effect of naturally separating the substrate W from the transfer belt 214' can be obtained without a separate pick-up process (for example, a pick-up process using a substrate adsorption device).

기존에는 로딩 파트로 공급된 기판을 연마 파트로 로딩시키기 위하여, 별도의 픽업 장치(예를 들어, 기판 흡착 장치)를 이용하여 로딩 파트에서 기판을 픽업한 후, 다시 기판을 연마 파트에 내려놓아야 했기 때문에, 기판을 로딩하는데 소요되는 시간이 수초~수십초가 걸릴 정도로 처리 시간이 증가하는 문제점이 있다. 더욱이, 기존에는 연마가 완료된 기판을 언로딩 파트로 언로딩시키기 위하여, 별도의 픽업 장치(예를 들어, 기판 흡착 장치)를 이용하여 연마 파트에서 기판(W)을 픽업한 후, 다시 기판을 언로딩 파트에 내려놓아야 했기 때문에, 기판(W)을 언로딩하는데 소요되는 시간이 수초~수십초가 걸릴 정도로 처리 시간이 증가하는 문제점이 있다.In the past, in order to load the substrate supplied to the loading part into the polishing part, a separate pick-up device (eg, substrate adsorption device) was used to pick up the substrate from the loading part, and then the substrate had to be put down on the polishing part again. Therefore, there is a problem in that the processing time increases to the extent that it takes several seconds to several tens of seconds to load the substrate. Moreover, in order to unload the conventionally polished substrate to the unloading part, after picking up the substrate W from the polishing part using a separate pick-up device (eg, substrate adsorption device), the substrate is unloaded again. Since it had to be placed on the loading part, there is a problem in that the processing time increases to the extent that the time required to unload the substrate W takes several seconds to several tens of seconds.

하지만, 본 발명은 로딩 파트에 공급된 기판(W)이 순환 회전하는 이송 벨트(214')로 직접 이송된 상태에서, 기판(W)에 대한 연마 공정이 행해지고, 기판(W)이 이송 벨트(214') 상에서 직접 언로딩 파트(300)로 이송되도록 하는 것에 의하여, 기판(W)의 처리 공정을 간소화하고, 처리 시간을 단축하는 유리한 효과를 얻을 수 있다.However, in the present invention, in a state in which the substrate W supplied to the loading part is directly transferred to the conveying belt 214 ′ that circulates and rotates, the polishing process for the substrate W is performed, and the substrate W is transferred to the conveying belt ( 214'), it is possible to obtain an advantageous effect of simplifying the processing process of the substrate W and shortening the processing time by directly transferring the substrate W to the unloading part 300.

또한, 본 발명은 기판(W)의 로딩 및 언로딩시 별도의 픽업 공정을 배제하고, 순환 회전하는 이송 벨트(214')를 이용하여 인라인 방식으로 기판(W)이 처리되도록 하는 것에 의하여, 기판(W)의 로딩 시간 및 언로딩 공정을 간소화하고, 기판(W)의 로딩 및 언로딩에 소요되는 시간을 단축하는 유리한 효과를 얻을 수 있다.In addition, the present invention eliminates a separate pick-up process when loading and unloading the substrate (W) and processes the substrate (W) in an in-line manner using a conveying belt (214') that circulates and rotates. Advantageous effects of simplifying the loading time and unloading process of the substrate (W) and shortening the time required for loading and unloading the substrate (W) can be obtained.

더욱이, 본 발명에서는 기판(W)의 로딩 및 언로딩시 기판(W)을 픽업하기 위한 픽업 장치를 마련할 필요가 없기 때문에, 장비 및 설비를 간소화할 수 있으며, 공간활용성을 향상시키는 유리한 효과를 얻을 수 있다.Moreover, in the present invention, since there is no need to provide a pick-up device for picking up the substrate (W) during loading and unloading of the substrate (W), equipment and facilities can be simplified, and advantageous effects of improving space utilization are achieved. can be obtained.

이송 벨트의 다른 일 예로, 이송 벨트는 일 방향에서 다른 일 방향으로 권취되며 기판(W)을 이송하도록 구성되는 것도 가능하다.(미도시)As another example of the conveying belt, the conveying belt may be wound from one direction to the other and may be configured to convey the substrate W (not shown).

여기서, 이송 벨트가 일 방향에서 다른 일 방향으로 권취된다 함은, 이송 벨트가 통상의 카세트 테이프의 릴 투 릴(reel to reel) 권취 방식(제1릴에 권취되었다가 다시 제2릴에 반대 방향으로 권취되는 방식)으로 오픈 루프 형태의 이동 궤적을 따라 이동(권취)하는 것으로 정의된다.Here, the fact that the transport belt is wound from one direction to the other means that the transport belt is wound in a reel-to-reel winding method (wound on the first reel and then on the second reel in the opposite direction) of a conventional cassette tape. It is defined as moving (winding) along the movement trajectory in the form of an open loop in a way that is wound by).

전술 및 도시한 본 발명의 실시예에서는 연마 유닛이 기판에 대해 이동하는 중에 유체흡입유닛이 연마 유닛의 후방에서 기판의 상면에 잔류하는 유체를 흡입하는 예를 들어 설명하고 있지만, 경우에 따라서는 연마 유닛이 기판에 대한 이동이 정지된 상태에서 기판을 연마하고 기판의 둘레에서 유체를 흡입하는 것도 가능하다.In the embodiments of the present invention described above and shown, an example is described in which the fluid suction unit sucks the fluid remaining on the upper surface of the substrate from the rear of the polishing unit while the polishing unit moves with respect to the substrate, but in some cases, the polishing unit It is also possible to abrade the substrate and suck fluid around the substrate while the unit is stopped moving relative to the substrate.

도 16을 참조하면, 연마 유닛(220')은 기판(W)에 대한 이동이 정지된 상태에서 기판(W)을 상면을 연마하고, 유체흡입유닛(230')은 연마 유닛(220')의 둘레 적어도 일측에 배치되어, 연마 중에 기판(W)에 상면에 잔류하는 유체(예를 들어, 슬러리)를 흡입한다.Referring to FIG. 16, the polishing unit 220' polishes the top surface of the substrate W in a state where the movement with respect to the substrate W is stopped, and the fluid suction unit 230' polishes the surface of the polishing unit 220'. It is disposed on at least one side of the circumference to suck fluid (eg, slurry) remaining on the upper surface of the substrate W during polishing.

일 예로, 연마 유닛(220')은 기판(W)보다 큰 사이즈를 가지며 기판(W)에 대한 이동이 정지된 상태에서 자전하는 연마패드(222')를 포함할 수 있고, 유체흡입유닛(230')은 연마 유닛(220')의 둘레를 감싸도록 링 형태로 배치될 수 있다.For example, the polishing unit 220' may include a polishing pad 222' having a size larger than that of the substrate W and rotating in a state in which movement with respect to the substrate W is stopped, and the fluid suction unit 230 ') may be disposed in a ring shape to surround the circumference of the polishing unit 220'.

경우에 따라서는 연마 유닛이 무한 루프 방식으로 순환 회전하는 연마 벨트를 포함하는 것도 가능하다. 또한, 다르게는 유체흡입유닛이 기판의 변을 따라서 직선 또는 곡선 형태로 배치되거나 여타 다른 형태로 배치되는 것이 가능하며, 유체흡입유닛의 배치 구조 및 개수에 의해 본 발명이 제한되거나 한정되는 것은 아니다.In some cases, it is also possible that the polishing unit includes an abrasive belt that circulates and rotates in an endless loop manner. Alternatively, the fluid suction unit may be arranged in a straight or curved shape along the side of the substrate, or may be disposed in any other shape, and the present invention is not limited or limited by the arrangement structure and number of the fluid suction unit.

상술한 바와 같이, 본 발명의 바람직한 실시예를 참조하여 설명하였지만 해당 기술분야의 숙련된 당업자라면 하기의 특허청구범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.As described above, although it has been described with reference to preferred embodiments of the present invention, those skilled in the art can variously modify and modify the present invention within the scope not departing from the spirit and scope of the present invention described in the claims below. You will understand that it can be changed.

10 : 기판 처리 장치 20 : 갠트리 유닛
22 : X축 갠트리 24 : Y축 갠트리
100 : 로딩 파트 110 : 로딩 이송 롤러
200 : 연마 파트 210 : 기판거치부
212 : 기판지지부 214 : 표면패드
214' : 이송 벨트 216 : 리테이너
216a : 기판수용부 217 : 롤러 유닛
217a : 제1롤러 217b : 제2롤러
220 : 연마 유닛 222 : 연마패드
230 : 유체흡입유닛 232 : 흡입부재
240 : 세정부 250 : 제1에어커튼 형성부
260 : 슬러리 공급유닛 262 : 슬러리 분사노즐
270 : 제2에어커튼 형성부 280 : 차단부재
300 : 언로딩 파트 310 : 언로딩 이송 롤러
400 : 세정 파트 410 : 비접촉식 세정부
420 : 접촉식 세정부
10: substrate processing device 20: gantry unit
22: X-axis gantry 24: Y-axis gantry
100: loading part 110: loading conveying roller
200: polishing part 210: substrate holder
212: substrate support 214: surface pad
214 ': conveying belt 216: retainer
216a: board accommodating part 217: roller unit
217a: first roller 217b: second roller
220: polishing unit 222: polishing pad
230: fluid suction unit 232: suction member
240: cleaning unit 250: first air curtain forming unit
260: slurry supply unit 262: slurry spray nozzle
270: second air curtain forming unit 280: blocking member
300: unloading part 310: unloading transfer roller
400: cleaning part 410: non-contact cleaning part
420: contact cleaning unit

Claims (31)

기판의 연마 공정이 행해지는 기판 처리 장치로서,
기판이 거치되는 기판거치부와;
상기 기판의 상면을 연마하는 연마 유닛과;
상기 기판의 상면에 잔류하는 유체를 흡입하는 유체흡입유닛을;
상기 유체흡입유닛을 장착하고, 상기 유체흡입유닛과 함께 상기 연마 유닛을 상기 기판에 대해 이동시키는 갠트리 유닛을;
포함하는 것을 특징으로 하는 기판 처리 장치.
A substrate processing apparatus in which a polishing process of a substrate is performed,
a substrate holder on which a substrate is mounted;
a polishing unit for polishing the upper surface of the substrate;
a fluid suction unit for sucking fluid remaining on the upper surface of the substrate;
a gantry unit for mounting the fluid suction unit and moving the polishing unit with respect to the substrate together with the fluid suction unit;
A substrate processing apparatus comprising a.
제1항에 있어서,
상기 연마 유닛은 상기 기판에 대해 이동하며 상기 기판을 상면을 연마하고,
상기 유체흡입유닛은 상기 기판에 대한 상기 연마 유닛의 이동 방향을 따라 상기 연마 유닛의 후방에 배치된 것을 특징으로 하는 기판 처리 장치.
According to claim 1,
The polishing unit moves with respect to the substrate and polishes the upper surface of the substrate;
The substrate processing apparatus according to claim 1, wherein the fluid suction unit is disposed behind the polishing unit along a moving direction of the polishing unit with respect to the substrate.
제2항에 있어서,
상기 유체흡입유닛은, 상기 연마 유닛에 의한 상기 기판의 연마가 행해지는 중에 상기 연마 유닛이 지나간 상기 기판의 연마 완료 영역에서 곧바로 상기 유체를 흡입하는 것을 특징으로 하는 기판 처리 장치.
According to claim 2,
The substrate processing apparatus according to claim 1 , wherein the fluid suction unit sucks the fluid directly from a polished area of the substrate through which the polishing unit passes while polishing of the substrate by the polishing unit is being performed.
삭제delete 삭제delete 제1항에 있어서,
상기 갠트리 유닛은,
상기 기판의 제1방향을 따라 직선 이동하는 X축 갠트리와;
상기 연마 유닛과 상기 유체흡입유닛이 장착되며, 상기 X축 갠트리에 장착되어 상기 제1방향에 직교하는 제2방향을 따라 직선 이동하는 Y축 갠트리를;
포함하고, 상기 Y축 갠트리와 상기 유체흡입유닛을 연결하며, 상기 유체흡입유닛이 상기 연마 유닛의 이동 방향을 향하도록 상기 유체흡입유닛을 선택적으로 회전시키는 회전모듈을 포함하는 것을 특징으로 하는 기판 처리 장치.
According to claim 1,
The gantry unit,
an X-axis gantry linearly moving along the first direction of the substrate;
a Y-axis gantry on which the polishing unit and the fluid suction unit are mounted, mounted on the X-axis gantry and linearly moving along a second direction orthogonal to the first direction;
and a rotation module connecting the Y-axis gantry and the fluid suction unit and selectively rotating the fluid suction unit so that the fluid suction unit faces the moving direction of the polishing unit. Device.
삭제delete 제2항에 있어서,
상기 유체흡입유닛은, 상기 기판에 대한 상기 연마 유닛의 이동 방향을 따라 상기 연마 유닛의 후방에 배치되며 상기 유체를 진공 흡입하는 흡입홀이 형성된 흡입부재를 포함하는 것을 특징으로 하는 기판 처리 장치.
According to claim 2,
The substrate processing apparatus according to claim 1 , wherein the fluid suction unit includes a suction member disposed behind the polishing unit along a moving direction of the polishing unit with respect to the substrate and having a suction hole for vacuuming the fluid.
제8항에 있어서,
상기 흡입부재는 상기 연마 유닛의 진행 방향에 직교하도록 배치되고,
상기 흡입홀은 상기 연마 유닛의 지름보다 긴 길이를 갖도록 상기 흡입부재의 길이 방향을 따라 연속적으로 형성된 것을 특징으로 하는 기판 처리 장치.
According to claim 8,
The suction member is disposed orthogonal to the moving direction of the polishing unit,
The substrate processing apparatus of claim 1, wherein the suction holes are continuously formed along the longitudinal direction of the suction member to have a length greater than a diameter of the polishing unit.
삭제delete 기판의 연마 공정이 행해지는 기판 처리 장치로서,
기판이 거치되는 기판거치부와;
상기 기판에 대해 이동하며 상기 기판의 상면을 연마하는 연마 유닛과;
상기 연마 유닛의 후방에 배치되어 상기 연마 유닛의 이동 방향을 따라 이동하면서 상기 기판의 상면에 잔류하는 유체를 흡입하는 유체흡입유닛을;
상기 유체흡입유닛과 상기 연마 유닛의 사이에서 상기 기판에 접촉되는 제1에어커튼을 형성하는 제1에어커튼 형성부와;
상기 유체흡입유닛과 상기 제1에어커튼 형성부의 사이에서 세정 유체를 상기 기판에 분사하는 세정부를;
포함하는 것을 특징으로 하는 기판 처리 장치.
A substrate processing apparatus in which a polishing process of a substrate is performed,
a substrate holder on which a substrate is mounted;
a polishing unit moving relative to the substrate and polishing the upper surface of the substrate;
a fluid suction unit disposed behind the polishing unit and sucking fluid remaining on the upper surface of the substrate while moving along the moving direction of the polishing unit;
a first air curtain formation unit forming a first air curtain in contact with the substrate between the fluid suction unit and the polishing unit;
a cleaning unit spraying a cleaning fluid to the substrate between the fluid suction unit and the first air curtain forming unit;
A substrate processing apparatus comprising a.
삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 제1항에 있어서,
상기 연마 유닛은 상기 기판에 대한 이동이 정지된 상태에서 상기 기판을 상면을 연마하고,
상기 유체흡입유닛은 상기 연마 유닛의 둘레 적어도 일측에 배치된 것을 특징으로 하는 기판 처리 장치.
According to claim 1,
The polishing unit polishes the upper surface of the substrate in a state in which movement with respect to the substrate is stopped,
The substrate processing apparatus, characterized in that the fluid suction unit is disposed on at least one side of the periphery of the polishing unit.
삭제delete 제1항에 있어서,
상기 기판에 대한 상기 연마 유닛의 이동 방향을 따라 상기 연마 유닛의 전방에 마련되며, 상기 연마 유닛이 상기 기판의 연마 대상 영역을 지나가기 전에 미리 상기 연마 대상 영역에 슬러리를 공급하는 슬러리 공급유닛을;
더 포함하는 것을 특징으로 하는 기판 처리 장치.
According to claim 1,
a slurry supply unit provided in front of the polishing unit along a moving direction of the polishing unit with respect to the substrate and supplying the slurry to the polishing target region of the substrate in advance before the polishing unit passes the polishing target region;
A substrate processing apparatus further comprising.
제24항에 있어서,
상기 슬러리 공급유닛은 상기 연마 유닛과 함께 이동하도록 마련된 것을 특징으로 하는 기판 처리 장치
According to claim 24,
The slurry supply unit is a substrate processing apparatus, characterized in that provided to move together with the polishing unit
제24항에 있어서,
상기 슬러리 공급유닛은 상기 기판의 상면에 선형 슬러리 커튼을 분사하는 슬러리 분사노즐을 포함하는 것을 특징으로 하는 기판 처리 장치.
According to claim 24,
The substrate processing apparatus, characterized in that the slurry supply unit comprises a slurry spray nozzle for spraying a linear slurry curtain on the upper surface of the substrate.
제24항에 있어서,
상기 슬러리 공급유닛의 측단부에서 상기 기판에 접촉되는 제2에어커튼을 형성하는 제2에어커튼 형성부를 포함하는 것을 특징으로 하는 기판 처리 장치.
According to claim 24,
and a second air curtain forming unit forming a second air curtain in contact with the substrate at a side end of the slurry supply unit.
제24항에 있어서,
상기 연마 유닛과 상기 슬러리 공급유닛의 사이에 마련되며, 상기 연마 유닛에서 상기 슬러리 공급유닛으로 비산되는 상기 유체를 차단하는 차단부재를 포함하는 것을 특징으로 하는 기판 처리 장치.
According to claim 24,
and a blocking member provided between the polishing unit and the slurry supply unit to block the fluid from scattering from the polishing unit to the slurry supply unit.
제24항에 있어서,
상기 슬러리 공급유닛의 슬러리 공급 방향이 상기 연마 유닛의 이동 방향을 향하도록 상기 슬러리 공급유닛을 선택적으로 회전시키는 회전모듈을 포함하는 것을 특징으로 하는 기판 처리 장치.
According to claim 24,
and a rotation module for selectively rotating the slurry supply unit so that the slurry supply direction of the slurry supply unit is directed in the moving direction of the polishing unit.
삭제delete 삭제delete
KR1020170179867A 2017-12-26 2017-12-26 Substrate procesing apparatus KR102478384B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020170179867A KR102478384B1 (en) 2017-12-26 2017-12-26 Substrate procesing apparatus
CN201820659711.3U CN208179274U (en) 2017-12-26 2018-05-04 Substrate board treatment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020170179867A KR102478384B1 (en) 2017-12-26 2017-12-26 Substrate procesing apparatus

Publications (2)

Publication Number Publication Date
KR20190078122A KR20190078122A (en) 2019-07-04
KR102478384B1 true KR102478384B1 (en) 2022-12-16

Family

ID=64432544

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170179867A KR102478384B1 (en) 2017-12-26 2017-12-26 Substrate procesing apparatus

Country Status (2)

Country Link
KR (1) KR102478384B1 (en)
CN (1) CN208179274U (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114734353A (en) * 2022-04-08 2022-07-12 永臻科技股份有限公司 Equipment and method for removing fluorine-containing layer of backboard

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005342881A (en) * 2004-05-07 2005-12-15 Nitta Haas Inc Polishing pad, polishing method, and polishing device
JP2015502667A (en) * 2011-12-16 2015-01-22 ブルックス オートメーション インコーポレイテッド Transport device
WO2017183360A1 (en) * 2016-04-21 2017-10-26 株式会社荏原製作所 Substrate treatment apparatus

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101226952B1 (en) * 2010-01-14 2013-01-28 세메스 주식회사 Apparatus and method for cleaning substrae, and facility for cleanig substrate using the same
KR101615426B1 (en) * 2014-03-07 2016-04-25 (주)에스티아이 The slurry injection nozzle and a substrate processing apparatus using the nozzle

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005342881A (en) * 2004-05-07 2005-12-15 Nitta Haas Inc Polishing pad, polishing method, and polishing device
JP2015502667A (en) * 2011-12-16 2015-01-22 ブルックス オートメーション インコーポレイテッド Transport device
WO2017183360A1 (en) * 2016-04-21 2017-10-26 株式会社荏原製作所 Substrate treatment apparatus

Also Published As

Publication number Publication date
KR20190078122A (en) 2019-07-04
CN208179274U (en) 2018-12-04

Similar Documents

Publication Publication Date Title
KR101932431B1 (en) Substrate procesing apparatus
KR102474519B1 (en) Substrate processing apparatus
KR102461592B1 (en) Substrate procesing apparatus
KR102478384B1 (en) Substrate procesing apparatus
KR20190078803A (en) Substrate procesing apparatus
KR102493011B1 (en) Substrate procesing apparatus
KR102503624B1 (en) Substrate procesing apparatus
KR102572118B1 (en) Substrate processing apparatus
KR102331074B1 (en) Substrate processing apparatus
KR102583017B1 (en) Substrate processing apparatus
KR102461594B1 (en) Substrate procesing apparatus and transfer belt using the same
KR102500575B1 (en) Substrate processing apparatus
KR102500577B1 (en) Substrate processing apparatus
KR102532246B1 (en) Substrate procesing apparatus
KR20190092758A (en) Substrate processing apparatus
KR102528070B1 (en) Substrate processing apparatus
KR102528074B1 (en) Substrate procesing apparatus
KR102506050B1 (en) Substrate procesing apparatus
KR102564113B1 (en) Substrate processing apparatus
KR20190105996A (en) Substrate processing apparatus
KR102070070B1 (en) Substrate procesing apparatus
KR20200025487A (en) Substrate processing apparatus
KR102589149B1 (en) Substrate processing apparatus
KR20190078119A (en) Substrate procesing apparatus
KR102564114B1 (en) Substrate processing apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant