KR102196718B1 - 마스크 레이아웃의 생성 방법, 자기 정렬된 블록 패터닝 방법, 및 집적 회로의 제조 방법 - Google Patents

마스크 레이아웃의 생성 방법, 자기 정렬된 블록 패터닝 방법, 및 집적 회로의 제조 방법 Download PDF

Info

Publication number
KR102196718B1
KR102196718B1 KR1020170125939A KR20170125939A KR102196718B1 KR 102196718 B1 KR102196718 B1 KR 102196718B1 KR 1020170125939 A KR1020170125939 A KR 1020170125939A KR 20170125939 A KR20170125939 A KR 20170125939A KR 102196718 B1 KR102196718 B1 KR 102196718B1
Authority
KR
South Korea
Prior art keywords
mandrel
block
mask
pattern
layer
Prior art date
Application number
KR1020170125939A
Other languages
English (en)
Other versions
KR20180064273A (ko
Inventor
김성종
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Publication of KR20180064273A publication Critical patent/KR20180064273A/ko
Application granted granted Critical
Publication of KR102196718B1 publication Critical patent/KR102196718B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

마스크 레이아웃의 생성 방법, 자기 정렬된 블록 패터닝 방법, 및 집적 회로의 제조 방법이 제공된다. 마스크 레이아웃의 생성 방법은, 반도체 기판 상에 형성될 금속 라인 패턴을 식별하고, 복수의 맨드렐 패턴을 포함하고 SADP 공정에서 반도체 기판 상에 복수의 맨드렐을 형성하는 제1 마스크에 대한 맨드렐 마스크 레이아웃을 금속 라인 패턴에 기초하여 생성하고, 복수의 제1 블록 패턴 및 복수의 제1 밀도 보조 패턴을 포함하고 반도체 기판 상에 비맨드렐 영역 내의 스페이서 사이의 갭에 의해 노출된 금속 라인을 절단하는 제2 마스크에 대한 비맨드렐 블록 마스크 레이아웃을, 맨드렐 마스크 레이아웃에 기초하여 생성하고, 복수의 제2 블록 패턴 및 복수의 제2 밀도 보조 패턴을 포함하고, 반도체 기판 상에 맨드렐 영역 아래에 형성된 금속 라인을 절단하는 제3 마스크에 대한 맨드렐 블록 마스크 레이아웃을, 맨드렐 마스크 레이아웃에 기초하여 생성하는 것을 포함하고, 스페이서는, SADP 공정에서, 반도체 기판 상의 상기 복수의 맨드렐 각각의 양측벽 상에 형성되고, 복수의 제1 블록 패턴 및 복수의 제1 밀도 보조 패턴의 조합은, 비맨드렐 블록 마스크 레이아웃에서, 30% 이상의 패턴 밀도를 갖고, 복수의 제2 블록 패턴 및 복수의 제2 밀도 보조 패턴의 조합은, 맨드렐 블록 마스크 레이아웃에서, 30% 이상의 패턴 밀도를 갖는다.

Description

마스크 레이아웃의 생성 방법, 자기 정렬된 블록 패터닝 방법, 및 집적 회로의 제조 방법{A method of generating mask layouts, a self-aligned block patterning method and a method of manufacturing an integrated circuit}
본 발명은 자기 정렬 블록 패터닝 프로세스를 위한 밀도 보조 패턴(density assist pattern)을 포함하는 마스크 레이아웃을 생성하는 방법, 상기 레이아웃에 대한 마스크를 이용하는 방법 및 상기 마스크를 이용하여 집적 회로를 제조하는 방법에 관한 것이다.
집적 회로의 제조 방법에 있어서, 리소그래피(lithography)는 원하는 회로 구조의 형성을 위한 다양한 물질, 및 반도체 상의 패턴 구조를 생성하기 위해 이용될 수 있다. 마이크로일렉트로닉 장치에서 보다 높은 회로 밀도에 대한 반도체 업계의 끊임없는 요구가 있다. 계속되는 요구에 따라, 리소그래픽 엔지니어들은 개선된 리소그래피 프로세스를 개발하고 있다. 반도체 장치의 회로 밀도가 증가됨에 따라, 레지스트막의 회로 패턴의 고해상도화가 점점 요구되고 있다. 레지스트막의 해상도를 향상시키는 한 가지 방법은, 365nm 내지 248nm, 또는 193nm의 짧은 파장뿐만 아니라, EUV(extreme ultraviolet)와 같이 극도로 짧은 광학 파장으로 이동하거나, E-빔(E-beam)과 같이 비 광학 시스템을 채택하는 것일 수 있다.
회로 패턴의 고해상도를 달성하는 또 다른 방법은, 더블, 트리블 및 쿼드러플 패터닝과 같은 다중 패터닝을 채택하는 것일 수 있다. 또한, 처음에는 스페이서의 증착과 조합하여, ArF (193 nm) 딥(deep) UV (DUV) 침지(immersion) 리소그래피만을 요구할 수 있는 하나의 기술이 출연했고, 이는 SIT(sidewall image transfer)라고 불렸다. SIT 기술에 기반한 이러한 스페이서는, 다중 증착 및 에칭 방식으로 패턴 밀도를 2배, 4배 또는 6배로 증가시킴으로써, 매우 미세한 피처(feature)를 인쇄할 수 있었다. 진보된 패터닝 공정은, 193nm 노출을 이용하는 SIT 기술 및 다중 패터닝 모두의 조합을 포함할 수 있고, 또한 EUV 리소그래피를 포함할 수도 있다.
다중 패터닝 공정에서, 이중 패터닝은 예를 들어, LELE(litho-etch-litho-etch) 패터닝 기술을 포함할 수 있었다. LELE 패터닝 공정에서, 레이아웃은 두 개의 마스크(두 개의 패턴들)로 나뉠 수 있고, 두 번째 마스크는 패터닝 공정 중 첫 번째 마스크에 대해 정렬되므로, 정렬 불일치(misalignment) 문제가 발생될 수 있었다.
자기 정렬 더블 패터닝(Self-aligned double patterning (SADP))은, 리소-식각 공정에서 자기 정렬된 스페이서를 이용하여 피치 분할 패턴을 생성함으로써, 정렬 문제를 극복할 수 있다. SADP 공정에서, 두 개의 스페이서는 각 맨드렐 라인의 두 측면에 형성되므로, 두 개의 스페이서 라인은 각각의 맨드렐을 제거한 후 형성될 수 있다. SADP 공정은, 금속 라인을 제조하는데에 이용될 수 있다. 맨드렐 패턴에 의해 정의된 금속 라인은 종종 맨드렐 금속이라고 지칭될 수 있으며, 다른 금속 라인은 비 맨드렐 금속으로 지칭될 수 있다.
블록 마스크는 식각되지 않는 부분을 격리시키는데 이용될 수 있다. 블록 패터닝에 대한 현재 이슈는, 매우 낮은 밀도에 관한 것이다. 블록 패터닝의 매우 낮은 밀도는, 마스크의 균일성 문제를 야기시킬 수 있고, 불균일한 마스크 패턴은 웨이퍼로 전사될 수 있다. 최근, 서로 다른 패터닝 스택 물질들 사이에서 높은 식각 선택비를 이용하는 SAB(self-aligned block (SAB))라는 새로운 공정은, 트렌치 그리드(trench grid)에 관한 블록 패턴에 대한 자기 정렬을 달성시키기 위해 도입되었다.
SAB 공정은, 두 개의 블록/컷 마스크를 이용하기 때문에, 레이아웃 밀도는 더 떨어질 수 있다. EUV 마스크의 경우, 블랭크(blank)에 자연적인 결함이 있을 수 있고, 이러한 결함으로 인해 웨이퍼에 불필요한 패턴이 전사될 수 있다. 낮은 패턴 밀도는 결함있는 EUV 마스크를 제조할 가능성을 증가시킬 수 있다. 또한, 마스크 제조에 이용되는 포토레지스트는, 일반적으로 포지티브 톤(positive tone)이므로, 패턴 밀도가 낮을수록 E-빔 작성 시간이 길어질 수 있으며, 이는 CD(critical dimension) 에러뿐만 아니라 처리량 저하를 야기시킬 수 있다. 따라서, 제조된 금속 라인이 균일한 패턴을 갖도록 하기 위해, SAB 공정에서 이용되는 블록 마스크 레이아웃과 관련된 낮은 밀도 문제를 해결할 필요가 있다.
본 발명이 해결하고자 하는 기술적 과제는 패턴 밀도를 증가시키는 마스크 레이아웃의 생성 방법, 자기 정렬된 블록 패터닝 방법, 및 집적 회로의 제조 방법을 제공하는 것이다.
본 발명의 기술적 과제들은 이상에서 언급한 기술적 과제로 제한되지 않으며, 언급되지 않은 또 다른 기술적 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 기술적 과제를 달성하기 위한 본 발명의 몇몇 실시예들에 따른 마스크 레이아웃의 생성 방법은, 반도체 기판 상에 형성될 금속 라인 패턴을 식별하고, 복수의 맨드렐 패턴을 포함하고 SADP 공정에서 반도체 기판 상에 복수의 맨드렐을 형성하는 제1 마스크에 대한 맨드렐 마스크 레이아웃을 금속 라인 패턴에 기초하여 생성하고, 복수의 제1 블록 패턴 및 복수의 제1 밀도 보조 패턴을 포함하고 반도체 기판 상에 비맨드렐 영역 내의 스페이서 사이의 갭에 의해 노출된 금속 라인을 절단하는 제2 마스크에 대한 비맨드렐 블록 마스크 레이아웃을, 맨드렐 마스크 레이아웃에 기초하여 생성하고, 복수의 제2 블록 패턴 및 복수의 제2 밀도 보조 패턴을 포함하고, 반도체 기판 상에 맨드렐 영역 아래에 형성된 금속 라인을 절단하는 제3 마스크에 대한 맨드렐 블록 마스크 레이아웃을, 맨드렐 마스크 레이아웃에 기초하여 생성하는 것을 포함하고, 스페이서는, SADP 공정에서, 반도체 기판 상의 상기 복수의 맨드렐 각각의 양측벽 상에 형성되고, 복수의 제1 블록 패턴 및 복수의 제1 밀도 보조 패턴의 조합은, 비맨드렐 블록 마스크 레이아웃에서, 30% 이상의 패턴 밀도를 갖고, 복수의 제2 블록 패턴 및 복수의 제2 밀도 보조 패턴의 조합은, 맨드렐 블록 마스크 레이아웃에서, 30% 이상의 패턴 밀도를 갖는다.
상기 기술적 과제를 달성하기 위한 본 발명의 몇몇 실시예들에 따른 자기 정렬된 블록 패터닝 방법은, 유전체 층 상의 제1 하드 마스크 층 및 제2 하드 마스크 층을 포함하는 기판을 제공하되, 상기 제2 하드 마스크 층은 상기 제1 하드 마스크 층 상에 형성되고, 상기 제2 하드 마스크 층 상에, 복수의 맨드렐 및 복수의 스페이서를 형성하되, 상기 복수의 스페이서 중 두 개의 스페이서는 상기 복수의 맨드렐 각각의 양측벽 상에 형성되고, 상기 복수의 스페이서 중 인접하는 두 개의 스페이서 사이에는 비맨드렐 갭이 형성되고, 제1 블록 패턴 및 제1 밀도 보조 패턴을 포함하는 비맨드렐 블록 마스크를 이용한 비맨드렐 블록 포토 리소그라피 공정을 수행하여, 상기 복수의 맨드렐 각각과 중첩되는 제1 더미 포토 레지스트 패턴, 및 비맨드렐 금속 라인을 절단하기 위한 제1 블록 포토 레지스트 패턴을 형성하고, 식각 공정을 통해 상기 제1 블록 포토 레지스트 패턴과 중첩되지 않는 상기 비맨드렐 갭에 의해 노출된 상기 제2 하드 마스크 층의 부분을 제거하고, 제2 블록 패턴 및 제2 밀도 보조 패턴을 포함하는 맨드렐 블록 마스크를 이용한 맨드렐 블록 포토 리소그라피 공정을 수행하여, 맨드렐 금속 라인을 절단하기 위한 제2 블록 포토 레지스트 패턴 및 상기 비맨드렐 갭과 중첩되는 제2 더미 포토 레지스트 패턴을 형성하고, 식각 공정을 통해 상기 제2 블록 포토 레지스트 패턴과 중첩되지 않는 상기 맨드렐의 아래의 상기 제2 하드 마스크 층의 부분을 제거하고, 상기 제1 하드 마스크 층을 식각하여 상기 유전체 층 내에 리세스를 형성하고, 상기 유전체 층 상에 남아있는 모든 층들을 제거하고, 상기 리세스를 채우도록 금속 층을 증착하고, 상기 유전체 층의 상면이 노출되도록 상기 금속 층을 평탄화하여, 상기 맨드렐 금속 라인 및 상기 비맨드렐 금속 라인을 형성하는 것을 포함하고, 상기 제1 밀도 보조 패턴은 상기 제1 더미 포토 레지스트 패턴을 인쇄(print)하고, 상기 제2 밀도 보조 패턴은 상기 제2 더미 포토 레지스트 패턴을 인쇄하고, 상기 제1 블록 패턴 및 상기 제1 밀도 보조 패턴의 조합은, 상기 비맨드렐 블록 마스크에서, 30% 이상의 패턴 밀도를 갖고, 상기 제2 블록 패턴 및 상기 제2 밀도 보조 패턴의 조합은, 상기 맨드렐 블록 마스크에서, 30% 이상의 패턴 밀도를 가질 수 있다.
상기 기술적 과제를 달성하기 위한 본 발명의 몇몇 실시예들에 따른 마스크 레이아웃의 생성 방법은, 반도체 기판 상에 형성될 금속 라인 패턴을 식별하고, 복수의 맨드렐(mandrel) 패턴을 포함하고, SAB(self-aligned block) 패터닝 공정에서 상기 반도체 기판 상에 복수의 맨드렐을 형성하는 맨드렐 마스크에 대한 맨드렐 마스크 레이아웃을, 상기 금속 라인 패턴에 기초하여 생성하고, 복수의 제1 블록 패턴 및 복수의 제1 밀도 보조 패턴을 포함하고, 상기 반도체 기판 상에 비맨드렐 영역 내의 스페이서 사이의 갭에 의해 노출된 금속 라인을 절단하는 비맨드렐 블록 마스크에 대한 비맨드렐 블록 마스크 레이아웃을, 상기 맨드렐 마스크 레이아웃에 기초하여 생성하고, 복수의 제2 블록 패턴 및 복수의 제2 밀도 보조 패턴을 포함하고, 상기 반도체 기판 상에 맨드렐 영역 아래에 형성된 금속 라인을 절단하는 맨드렐 블록 마스크에 대한 맨드렐 블록 마스크 레이아웃을, 상기 맨드렐 마스크 레이아웃에 기초하여 생성하는 것을 포함하고, 상기 스페이서는, 상기 SAB 패터닝 공정에서, 상기 반도체 기판 상의 상기 복수의 맨드렐 각각의 양측벽 상에 형성되고, 상기 복수의 제1 블록 패턴 및 상기 복수의 제1 밀도 보조 패턴의 조합은, 상기 비맨드렐 블록 마스크 레이아웃에서 40% 이상의 패턴 밀도를 갖고, 상기 복수의 제2 블록 패턴 및 상기 복수의 제2 밀도 보조 패턴의 조합은, 상기 맨드렐 블록 마스크 레이아웃에서 40% 이상의 패턴 밀도를 가질 수 있다.
상기 기술적 과제를 달성하기 위한 본 발명의 몇몇 실시예들에 따른 집적 회로의 제조 방법은, 마스크 레이아웃을 생성하고, 상기 마스크 레이아웃에 따라 마스크를 생성하고, 상기 마스크를 이용하여 집적 회로를 제조하는 것을 포함하고, 상기 마스크 레이아웃을 생성하는 것은, 반도체 기판 상에 형성될 금속 라인 패턴을 식별하고, 복수의 맨드렐(mandrel) 패턴을 포함하고, SADP(self-aligned double patterning) 공정에서 상기 반도체 기판 상에 복수의 맨드렐을 형성하는 제1 마스크에 대한 맨드렐 마스크 레이아웃을, 상기 금속 라인 패턴에 기초하여 생성하고, 복수의 제1 블록 패턴 및 복수의 제1 밀도 보조 패턴을 포함하고, 상기 반도체 기판 상에 비맨드렐 영역 내의 스페이서 사이의 갭에 의해 노출된 금속 라인을 절단하는 제2 마스크에 대한 비맨드렐 블록 마스크 레이아웃을, 상기 맨드렐 마스크 레이아웃에 기초하여 생성하고, 복수의 제2 블록 패턴 및 복수의 제2 밀도 보조 패턴을 포함하고, 상기 반도체 기판 상에 맨드렐 영역 아래에 형성된 금속 라인을 절단하는 제3 마스크에 대한 맨드렐 블록 마스크 레이아웃을, 상기 맨드렐 마스크 레이아웃에 기초하여 생성하는 것을 포함할 수 있다.
기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
도 1은 본 발명의 몇몇 실시예들에 따른 SAB 패터닝 공정을 위한 마스크 레이아웃을 생성하는 방법을 설명하기 위한 순서도이다.
도 2는 반도체 기판 상의 금속 라인 패턴을 나타내는 개략적인 평면도이다.
도 3은 본 발명의 몇몇 실시예들에 따른 맨드렐 마스크 레이아웃을 나타내는 개략적인 평면도이다.
도 4는 본 발명의 몇몇 실시예들에 따른 비맨드렐 블록 마스크 레이아웃을 나타내는 개략적인 평면도이다.
도 5는 본 발명의 몇몇 실시예들에 따른 맨드렐 블록 마스크 레이아웃을 나타내는 개략적인 평면도이다.
도 6은 본 발명의 몇몇 실시예들에 따른 자기 정렬된 블록 패터닝 방법을 설명하기 위한 순서도이다.
도 7 내지 도 16은 본 발명의 몇몇 실시예들에 따른 자기 정렬된 블록 패터닝 방법을 설명하기 위한 중간 단계 도면들이다.
본 발명은 일반적으로 자기 정렬 블록(self-aligned block, 이하 SAB) 패터닝 공정을 위한 밀도 보조 패턴을 포함하는 마스크 레이아웃 생성 방법 및 SAB 패터닝 공정을 위해 레이아웃에 대한 마스크를 이용하는 방법에 관한 것이다. 구체적으로, 본 발명은 패턴 밀도를 증가시키기 위한 밀도 보조 패턴을 포함하는 SAB 패터닝 마스크 레이아웃을 생성하는 방법에 관한 것으로, 레이아웃에 대한 마스크는 양호한 균일성을 가질 수 있다.
마스크의 패턴 밀도가 낮으면, 균일성 문제가 발생될 수 있다. EUV 마스크의 경우, 패턴 밀도가 더 높아지면 흡수체에 의해 결함이 덮일 수 있기 때문에, 블랭크의 자연적인 결함의 개수를 감소시킬 수 있다. 따라서, 더 높은 패턴 밀도는 균일성을 증가시킬 뿐만 아니라, EUV 마스크의 결함 수준을 감소시킬 수 있다.
일반적으로, 마스크 형상(feature)은 웨이퍼에 선형적으로 이미지화될 수 있다. 그 결과로, 더 높은 패턴 밀도를 갖는 전술한 마스크를 이용하는 SAB 패터닝 공정에 의해 제조된 금속 라인은, 더 나은 균일성을 가질 수 있다. 여기서 높은 패턴 밀도는 예를 들어, 30% 이상일 수 있다. 따라서, 본 발명은 SAB 패터닝 공정에서 이용되는 현재 마스크의 낮은 패턴 밀도 문제를 해결할 수 있다. 여기서 낮은 패턴 밀도는, 예를 들어, 10% 이하일 수 있다.
본 발명의 예시적인 실시예들은 SAB 패터닝 공정을 위한 밀도 보조 패턴을 포함하는 마스크 레이아웃을 생성하는 방법 및 그 레이아웃을 갖는 마스크를 이용하는 방법을 제공할 수 있고, 마스크 상에 형성된 밀도 보조 패턴은 금속 라인 절단 공정을 방해하지 않는, 더미 포토레지스트 패턴만을 인쇄할 수 있다.
소자(elements) 또는 층이 다른 소자 또는 층의 "위(on)" 또는 "상(on)"으로 지칭되는 것은 다른 소자 또는 층의 바로 위뿐만 아니라 중간에 다른 층 또는 다른 소자를 개재한 경우를 모두 포함한다. 반면, 소자가 "직접 위(directly on)" 또는 "바로 위"로 지칭되는 것은 중간에 다른 소자 또는 층을 개재하지 않은 것을 나타낸다.
본 명세서에 기재된 하나의 기판 또는 마스크의 하나의 구성요소와, 다른 기판 또는 마스크의 다른 구성요소 간의 관계는, 이러한 두 개의 구성요소가 동일한 기판 또는 마스크에 존재하는 경우 관계가 발생될 것이라는 가정하에 있으며, 그 관계는 실제로 발생되었다. 예를 들어, "마스크 A의 구성요소 A는 마스크 B의 구성요소 B와 중첩된다"는 것은, 두 개의 마스크가 서로 수직 방향으로 정렬된다는 의미이고, 구성요소 A와 구성요소 B가 중첩된다는 의미이다. 두 개의 마스크가 분리되는 경우, 구성요소 A는 물리적으로 구성요소 B와 중첩되지 않지만, 마스크 A 맵에서 구성요소 A의 좌표는, 마스크 B 맵에서 구성요소 B의 해당 좌표와 중첩될 수 있다.
마스크에서 웨이퍼로 이미지가 전사되는 것은 투영을 통한 것이므로, 마스크에서 웨이퍼로의 크기는 일반적으로 4배 감소될 수 있다. 따라서, 웨이퍼 또는 기판 상으로 전사된 이미지와 이미지 또는 다른 마스크를 인쇄하는데에 이용되는 마스크와의 상관관계는, 단지 1배의 상관관계라고 할 수 없다. 즉, "마스크 A의 구성요소 A가 기판 C의 구성요소 C와 중첩된다"는 것은, 구성요소 A가 이미지 A'를 형성시키기 위해 기판 C로 전사될 때, 이미지 A'가 구성요소 C와 중첩되는 것을 의미할 수 있다. 이미지 A'의 크기는, 4배 감소되어 투영된 경우, 구성요소 A의 1/4배일 수 있다.
본 발명의 몇몇 실시예들은, 도 1에 도시된 바와 같이, SAB 패터닝 공정을 위한 밀도 보조 패턴을 포함하는 마스크 레이아웃을 생성하는 방법을 포함할 수 있다.
도 1은 본 발명의 몇몇 실시예들에 따른 SAB 패터닝 공정을 위한 마스크 레이아웃을 생성하는 방법을 설명하기 위한 순서도이다.
도 1을 참조하면, 본 발명의 몇몇 실시예들에 따른 방법에 의해 생성된 마스크 레이아웃은, 더 높은 패턴 밀도를 제공할 수 있다. 따라서, 본 발명의 몇몇 실시예들에 따른 방법에 의해 생성된 마스크 레이아웃을 갖는 마스크는 더 나은 균일성을 가질 수 있다. 결과적으로, 본 발명의 몇몇 실시예들에 따른 방법에 의해 생성된 마스크 레이아웃을 갖는 마스크를 이용한 SAB 패터닝 공정에 의해 제조된 금속 라인은, 더 나은 균일성을 가질 수 있다.
도 1의 블록(110)에서, 반도체 기판 상에 형성될 금속 라인 패턴이 식별될 수 있다. 금속 라인 패턴은 특정 회로를 위해 형성될 수 있고, 다양한 방식으로 배열된 다양한 형상 및 크기를 가질 수 있다. 금속 라인 패턴이 식별된 후, 마스크 레이아웃은 그에 따라 생성될 수 있고, 원하는 금속 라인 패턴은 반도체 기판 상에 형성될 수 있다.
도 2는 반도체 기판 상의 금속 라인 패턴을 나타내는 개략적인 평면도이다. 도 2의 금속 라인 패턴의 구체적인 형상 및 배열은 예시적인 것이며, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 예를 들어, 도 2의 금속 라인 패턴의 구체적인 형상 및 배열은, 원하는 회로의 필요성에 맞게, 다양한 방법으로 수정될 수 있다.
SAB 패터닝 공정에서, 두 개의 스페이서는 각 맨드렐 라인의 두 측벽 상에 형성될 수 있다. 맨드렐 라인이 형성된 후, 금속 라인은 스페이서 사이의 비맨드렐 영역 및 맨드렐 영역 아래의 유전체 층에 형성될 수 있다. 맨드렐 영역에 의해 정의되는 금속 라인은 종종 맨드렐 금속 라인으로 지칭되는 반면, 다른 금속 라인은 비맨드렐 금속 라인으로 지칭될 수 있다. 도 2에 도시된 금속 라인 패턴은, 복수의 맨드렐 금속 라인(90A) 및 복수의 비맨드렐 금속 라인(90B)을 포함할 수 있다. 복수의 맨드렐 금속 라인(90A) 및 복수의 비맨드렐 금속 라인(90B)은, 제1 방향(X)으로 서로 이격되어 배치되고, 제2 방향(Y)을 따라 서로 평행하게 연장될 수 있다. 복수의 맨드렐 금속 라인(90A) 각각은 맨드렐 금속 라인(90A)의 기능 세그먼트를 형성하기 위해 하나 이상의 라인 갭(80A)에 의해 분리(절단)될 수 있다. 복수의 비맨드렐 금속 라인(90B) 각각은 비맨드렐 금속 라인(90B)의 기능 세그먼트를 형성하기 위해 하나 이상의 라인 갭(80B)에 의해 분리(절단)될 수 있다.
도 1의 블록(120)에서, SADP 공정에 따른 금속 라인 패턴에 기초한 제1 마스크에 대한 맨드렐 마스크 레이아웃이 생성될 수 있다. 제1 마스크는 반도체 기판 상에 복수의 맨드렐을 형성하기 위한 맨드렐 마스크일 수 있다. 맨드렐 마스크 레이아웃은, 도 3에 도시된 바와 같이 복수의 맨드렐 패턴을 포함할 수 있다.
도 3은 본 발명의 몇몇 실시예들에 따른 맨드렐 마스크 레이아웃을 나타내는 개략적인 평면도이다. 도 3을 참조하면, 맨드렐 마스크 레이아웃의 복수의 맨드렐 패턴(10)은 SADP 또는 SAB 패터닝 공정 중 반도체 기판 상에 형성될 맨드렐 또는 반도체 기판 상에 형성될 복수의 맨드렐 금속 라인(90A)과 대응될 수 있다. 즉, 맨드렐 마스크 레이아웃의 복수의 맨드렐 패턴(10)은 반도체 기판 상의 복수의 맨드렐 금속 라인(90A)과 중첩될 수 있다.
전술한 바와 같이, 마스크에서 반도체 기판으로 4배의 감소가 있기 때문에, 마스크(또는 마스크 레이아웃)와 반도체 기판 사이의 상관관계는 마스크로부터 반도체 기판으로 전사되는 대응되는 이미지에 기초할 수 있고, 각 실제 물리적 크기에 기초하지는 않을 수 있다. SADP 공정에서, 두 개의 스페이서는 각 맨드렐 라인의 두 측벽 상에 형성될 수 있다. 제1 마스크에 대한 맨드렐 마스크 레이아웃의 생성은 SADP 공정에서 반도체 기판 상의 금속 라인 패턴에 기초하기 때문에, 공간이 존재할 수 있다. 공간은, 하나의 공간 영역(31)과 두 개의 공간 영역(21)을 포함할 수 있다. 하나의 공간 영역(31)은 스페이서에 대응되는 맨드렐 마스크 레이아웃의 두 개의 인접한 맨드렐 패턴(10) 사이의 공간 영역일 수 있다. 두 개의 공간 영역(21)은 반도체 기판의 스페이서들 사이의 갭일 수 있다.
도 3에서, 맨드렐 마스크 레이아웃의 공간 영역(21)은 반도체 기판의 스페이서와 대응될 수 있다. 또한, 공간 영역(31)은 비맨드렐 영역의 공간들 사이의 갭과 대응될 수 있다. 즉, 맨드렐 마스크는 맨드렐을 인쇄하기 위해 이용되지만, SADP 공정 및/또는 SAB 패터닝 공정에서 공간들 사이의 갭 및 스페이서의 형성을 위해 맨드렐 패턴들 사이에 필요한 공간을 남길 수 있다. 맨드렐 마스크 레이아웃의 맨드렐 패턴 및 공간의 크기는, SADP 공정 및/또는 SAB 패터닝 공정 중 반도체 기판 상에 형성되는 스페이서 사이의 갭, 스페이서, 및 맨드렐의 크기에 대한 예상되는 변화에 기초하여 조정될 수 있다.
도 1의 블록(130)에서, 반도체 기판의 비맨드렐 영역의 스페이서 사이의 갭 아래에 형성된 제2 마스크로, 금속 라인을 절단하기 위한 맨드렐 마스크 레이아웃에 기초한 제2 마스크에 대한 비맨드렐 블록 마스크 레이아웃이 생성될 수 있다. 제2 마스크는 비맨드렐 블록 마스크일 수 있다. 비맨드렐 블록 마스크 레이아웃은 도 4에 도시된 바와 같이 복수의 제1 블록 패턴(40)과 복수의 제1 밀도 보조 패턴(50)을 포함할 수 있다.
도 4는 본 발명의 몇몇 실시예들에 따른 비맨드렐 블록 마스크 레이아웃을 나타내는 개략적인 평면도이다.
도 4를 참조하면, 비맨드렐 블록 마스크 레이아웃의 공간 영역(22)은 반도체 기판의 스페이서와 대응될 수 있다. 또한, 비맨드렐 블록 마스크 레이아웃의 공간 영역(32)은 반도체 기판의 비맨드렐 공간의 스페이서 사이의 갭과 대응될 수 있다. 공간 영역(11)은 반도체 기판의 맨드렐 금속 라인(90A)과 대응되거나, 반도체 기판의 맨드렐과 대응될 수 있다. 동시에, 비맨드렐 블록 마스크 레이아웃의 공간 영역(22, 32, 11) 각각은, 맨드렐 마스크 레이아웃의 공간 영역(21, 31, 10)과 대응될 수 있다.
제1 블록 패턴(40)은 비맨드렐 금속 라인을 절단하기 위한 것이고, 비맨드렐 금속 라인(90B)을 분리하기 위한 라인 갭(80B)에 대응될 수 있다. 도 4에서, 제1 블록 패턴(40)은 비맨드렐 영역의 두 개의 스페이서(공간 영역(32)) 사이의 갭과 중첩되고, 반도체 기판에 형성될 두 개의 스페이서(공간 영역(22)) 각각의 폭의 약 절반 정도와 중첩되도록 도시되어 있다. 그러나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
예를 들어, 제1 블록 패턴(40)은 제1 방향(X 방향)으로 연장되어, 두 개의 스페이서(공간 영역(32)) 사이의 갭의 양 측에 두 개의 맨드렐(공간 영역(11)) 및 두 개(최대 4개)의 스페이서(공간 영역(22))와 중첩되거나, 또는 두 개의 스페이서(공간 영역(32)) 사이의 갭과 중첩될 수 있다. 오버레이(overlay)를 피하기 위해, 제1 블록 패턴(40)의 연장은, 두 개의 스페이서 사이의 갭(공간 영역(32))의 양 측에 두 개의 맨드렐(공간 영역(11)) 및 두 개(최대 4개)의 스페이서(공간 영역(22))와 중첩되거나, 또는 두 개의 스페이서(공간 영역(32)) 사이의 갭과 중첩되는 것 사이에서 선택될 수 있다.
제1 밀도 보조 패턴(50)을 생성하기 위한 첫번째 단계는, 복수의 맨드렐 패턴(10)을 맨드렐 마스크 레이아웃에 위치시킨 다음, 맨드렐 마스크 레이아웃의 복수의 맨드렐 패턴(10)과 중첩되도록 비맨드렐 블록 마스크 레이아웃의 복수의 제1 밀도 보조 패턴(50)을 생성하는 것을 포함할 수 있다. 즉, 제1 밀도 보조 패턴(50)은, 비맨드렐 블록 마스크 레이아웃의 공간 영역(11)과 중첩되도록 생성될 수 있다. 복수의 제1 밀도 보조 패턴(50)은 비맨드렐 영역의 스페이서 사이의 갭(공간 영역(32))과 대응되는 영역과 중첩되지 않을 수 있다.
비맨드렐 블록 마스크 레이아웃의 복수의 제1 밀도 보조 패턴(50) 각각은 복수의 맨드렐(공간 영역(11))과 각각 대응되는 맨드렐과 중첩될 수 있고, 대응되는 맨드렐의 양측벽 상의 두 개의 스페이서(공간 영역(22)) 각각의 폭의 적어도 절반과 중첩될 수 있다. 또한, 비맨드렐 블록 마스크 레이아웃의 복수의 제1 밀도 보조 패턴(50) 각각은, 반도체 기판에 형성된 대응되는 맨드렐과 함께, 제2 방향(Y 방향)을 따라 연장될 수 있다. 제1 밀도 보조 패턴(50)은 공간 영역(11)에서만 중첩되거나, 또는 공간 영역(11)의 양측면 상의 공간 영역(22)의 전체 폭 및 공간 영역(11)과 중첩될 수 있다.
오버레이를 피하기 위해, 제1 밀도 보조 패턴(50)은 두 개의 공간 영역(22)의 폭 전체가 아닌, 폭의 일부와 중첩되도록 하는 것이 바람직할 수 있다. 또한, 비맨드렐 마스크 레이아웃에 대한 패턴 밀도를 증가시키기 위해, 제1 밀도 보조 패턴(50)이 두 개의 공간 영역(22) 각각의 폭의 적어도 절반과 중첩되도록 하는 것이 바람직할 수 있다.
복수의 제1 블록 패턴(40) 및 복수의 제1 밀도 보조 패턴(50)의 조합은, 비맨드렐 블록 마스크 레이아웃에서 약 30% 이상, 바람직하게는 약 40% 이상의 패턴 밀도를 가질 수 있다. 복수의 제1 블록 패턴(40) 및 복수의 제1 밀도 보조 패턴(50)은 비맨드렐 블록 마스크 레이아웃에 균일하게 분포될 수 있다. 여기서 균일하게 분포되었다고 하는 것은, 특정 영역의 패턴의 특별한 집적(aggregation)이 없음을 나타내기 위한 것으로, 패턴이 특정 순서로 엄격하게 배열되어야 한다는 것을 의미하는 것은 아니다.
패턴의 균일한 분포는, 최적화 및 시뮬레이션을 통한 컴퓨터 지원 패턴 설계를 통해 얻을 수 있다. 이와 유사하게, 패턴 밀도를 최대화 하기 위해, 최적화 및 시뮬레이션을 통해 패턴의 균일 분포를 얻을 수도 있다. 패턴의 균일한 분포는, 비맨드렐 블록 마스크에 대해 보다 나은 균일성을 제공할 수 있다. 또한, 마스크가 EUV 마스크일 때, 균일하게 분포된 제1 블록 패턴 및 제1 밀도 보조 패턴은 EUV 마스크의 결함 수준을 감소시킬 수 있다.
도 1의 블록(140)에서, 반도체 기판 상의 맨드렐 영역에 형성된 금속 라인을 절단하기 위한 맨드렐 마스크 레이아웃에 기초한 제3 마스크에 대한 맨드렐 블록 마스크가 형성될 수 있다. 맨드렐 블록 마스크 레이아웃은 도 5에 도시된 바와 같이, 복수의 제2 밀도 보조 패턴(70) 및 복수의 제2 블록 패턴(60)을 포함할 수 있다.
도 5는 본 발명의 몇몇 실시예들에 따른 맨드렐 블록 마스크 레이아웃을 나타내는 개략적인 평면도이다.
도 5를 참조하면, 맨드렐 블록 마스크 레이아웃의 공간 영역(23)은 반도체 기판 상의 스페이서와 대응될 수 있다. 맨드렐 블록 마스크 레이아웃의 공간 영역(33)은 반도체 기판 상의 비맨드렐 영역의 스페이서들 사이의 갭과 대응될 수 있다. 공간 영역(12)은 반도체 기판 상의 맨드렐 금속 라인(90A)과 대응되거나, 반도체 기판 상의 맨드렐과 대응될 수 있다. 동시에, 맨드렐 블록 마스크 레이아웃의 공간 영역(23, 33, 12) 각각은, 맨드렐 마스크 레이아웃의 공간 영역(21, 31, 10) 각각과 대응될 수 있다.
제2 블록 패턴(60)은 맨드렐 금속 라인을 절단하기 위한 것일 수 있다. 제2 블록 패턴(60)은 맨드렐 금속 라인(90A)을 분리(절단)하기 위한 라인 갭(80A)과 대응될 수 있다.
도 5에서, 제2 블록 패턴(60)이 맨드렐(공간 영역(12))과 중첩되고, 반도체 기판 상에 형성될 두 개의 스페이서(공간 영역(23)) 각각의 폭의 약 절반과 중첩되도록 도시된다. 그러나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 예를 들어, 제2 블록 패턴(60)은 제1 방향(X 방향)으로 연장되어, 맨드렐(공간 영역(12))의 양 측 상의 두 개의 스페이서들 사이의 두 개의 갭(공간 영역(33)) 및 두 개의 스페이서(최대 네 개)(공간 영역(23))와 중첩될 수 있다. 또는, 제2 블록 패턴(60)은 맨드렐(공간 영역(12))과만 중첩될 수도 있다. 오버레이를 피하기 위해, 제2 블록 패턴(60)의 연장은, 제1 방향(X 방향)으로 연장되어, 맨드렐(공간 영역(12))의 양 측 상의 두 개의 스페이서들 사이의 두 개의 갭(공간 영역(33)) 및 두 개의 스페이서(최대 네 개)(공간 영역(23))와 중첩되는 경우와 맨드렐(공간 영역(12))과만 중첩되는 경우 사이에서 선택될 수 있다.
제2 밀도 보조 패턴(70)을 생성하기 위한 첫번째 단계는 맨드렐 마스크 레이아웃에 복수의 맨드렐 패턴(10)을 위치시키는 것일 수 있다. 그 후, 복수의 제2 밀도 보조 패턴(70)은 맨드렐 마스크 레이아웃의 복수의 맨드렐 패턴(10)과 중첩되지 않도록 맨드렐 블록 마스크 레이아웃에 생성될 수 있다. 즉, 제2 밀도 보조 패턴(70)은 비맨드렐 영역의 스페이서 사이의 갭(공간 영역(33))과 대응되는 영역과 적어도 일부가 중첩되도록 생성될 수 있다. 맨드렐 블록 마스크 레이아웃의 제2 밀도 보조 패턴(70) 각각은 스페이서들 사이의 갭 중 비맨드렐 영역의 갭(공간 영역(33))과 중첩되고, 비맨드렐 영역의 갭과 인접한 두 개의 스페이서(공간 영역(23)) 각각의 폭의 적어도 절반과 중첩될 수 있으며, 반도체 기판 상에 형성될 비맨드렐 영역의 갭(공간 영역(33))을 따라 제2 방향(Y 방향)으로 연장될 수 있다.
제2 밀도 보조 패턴(70)은 단지 공간 영역(33)과만 중첩되거나, 또는 공간 영역(33)과 중첩되고, 공간 영역(33)의 양 측 상의 공간 영역(23)의 전체 폭만큼 중첩될 수도 있다.
오버레이를 피하기 위해, 제2 밀도 보조 패턴(70)은 두 개의 공간 영역(23)의 전체 폭 보다는 두 개의 공간 영역(23)의 폭의 일부와 중첩되는 것이 바람직할 수 있다. 또한, 맨드렐 마스크 레이아웃에 대한 패턴 밀도를 증가시키기 위해, 제2 밀도 보조 패턴(70)은 두 개의 공간 영역(23) 각각의 폭의 적어도 절반과 중첩되는 것이 바람직할 수 있다.
복수의 제2 블록 패턴(60) 및 복수의 제2 밀도 보조 패턴(70)의 조합은, 맨드렐 블록 마스크 레이아웃에서 약 30% 또는 그 이상, 바람직하게는 약 40% 또는 그 이상의 패턴 밀도를 가질 수 있다.
복수의 제2 블록 패턴(60) 및 복수의 제2 밀도 보조 패턴(70)은 맨드렐 블록 마스크 레이아웃에서 균일하게 분포될 수 있다. 패턴의 균일한 분포는, 최적화 및 시뮬레이션을 통한 컴퓨터 지원 패턴 설계를 통해 얻을 수 있다. 패턴의 균일한 분포는, 맨드렐 블록 마스크에 대해 보다 나은 균일성을 제공할 수 있다. 또한, 마스크가 EUV 마스크일 때, 균일하게 분포된 제2 블록 패턴 및 제2 밀도 보조 패턴은 EUV 마스크의 결함 수준을 감소시킬 수 있다. 본 발명의 기술적 사상에 따른 맨드렐 마스크, 비맨드렐 블록 마스크 및 맨드렐 블록 각각은 독립적으로 딥 UV 마스크, 예를 들어, 193nm 포토 마스크 또는 EUV 마스크일 수 있다.
본 발명의 몇몇 실시예들에 따른 자기 정렬된 블록 패터닝 방법은 이하에서 설명하는 제1 내지 제9 단계들을 포함할 수 있다.
제1 단계에서, 기판이 제공될 수 있다. 기판은 유전체 층 상의 제1 하드 마스크 층 및 제2 하드 마스크 층을 포함할 수 있다. 이 때, 제2 하드 마스크 층은 제1 하드 마스크 층 상에 형성될 수 있다.
제2 단계에서, 제2 하드 마스크 층 상에, 복수의 맨드렐 및 복수의 스페이서가 형성될 수 있다. 두 개의 스페이서는, 두 개의 인접한 스페이서 사이에 형성된 비맨드렐 갭 및 맨드렐 각각의 측벽 상에 형성될 수 있다.
제3 단계에서, 맨드렐과 중첩되는 제1 더미 포토 레지스트 패턴 및 비맨드렐 금속 라인을 절단하기 위한 제1 블록 포토 레지스트 패턴을 형성하기 위해, 비맨드렐 블록 마스크를 이용한 비맨드렐 블록 포토 리소그라피 공정이 수행될 수 있다. 비맨드렐 블록 마스크는, 제1 블록 마스크 패턴 및 제1 밀도 보조 마스크 패턴을 포함할 수 있다.
제4 단계에서, 식각 공정을 통해, 제1 블록 포토 레지스트 패턴과 중첩되지 않는 비맨드렐 갭에 의해 노출된 제2 하드 마스크 층이 제거될 수 있다.
제5 단계에서, 비맨드렐 갭과 중첩되는 제2 더미 포토 레지스트 패턴 및 맨드렐 금속 라인을 절단하기 위한 제2 블록 포토 레지스트 패턴을 형성하기 위해, 맨드렐 블록 마스크를 이용한 맨드렐 블록 포토 리소그라피 공정이 수행될 수 있다. 맨드렐 블록 마스크는 제2 블록 마스크 패턴 및 제2 밀도 보조 마스크 패턴을 포함할 수 있다.
제6 단계에서, 식각 공정을 통해, 제2 블록 포토 레지스트 패턴과 중첩되지 않는 맨드렐에 의해 노출된 제2 하드 마스크 층이 제거될 수 있다. 제7 단계에서, 제1 하드 마스크 층을 식각하여 유전체 층 내에 리세스를 형성하고, 남아있는 모든 층들은 제거될 수 있다. 제8 단계에서, 금속 층은 리세스를 채우도록 증착될 수 있다.
제9 단계에서, 금속 층은 유전체 층의 상면을 노출(expose)시키도록 평탄화되어, 맨드렐 금속 라인 및 비맨드렐 금속 라인이 형성될 수 있다. 제1 밀도 보조 마스크 패턴은 제1 더미 포토 레지스트 패턴을 인쇄하기 위한 것이고, 제2 밀도 보조 마스크 패턴은 제2 더미 포토 레지스트 패턴을 인쇄하기 위한 것일 수 있다. 제1 블록 마스크 패턴 및 제1 밀도 보조 마스크 패턴의 조합은, 비맨드렐 블록 마스크에서 약 30% 또는 그 이상의 패턴 밀도를 가질 수 있다. 또한, 제2 블록 마스크 패턴 및 제2 밀도 보조 마스크 패턴의 조합은, 맨드렐 블록 마스크에서 약 30% 또는 그 이상의 패턴 밀도를 가질 수 있다.
제1 내지 제9 단계들의 순서는, 전술된 순서가 바람직할 수 있다. 그러나, 본 발명의 기술적 사상은, 상기 제시된 순서 또는 그 제시된 순서로 이들 단계를 수행하는 것에 제한되는 것은 아니다. 많은 단계들은 또한, 전술된 단계들 후, 전술된 단계들 사이 또는 전술된 단계 전에, 기판에 대해 적용될 수 있다.
도 6은 본 발명의 몇몇 실시예들에 따른 자기 정렬된 블록 패터닝 방법을 설명하기 위한 순서도이다. 도 7 내지 도 16은 본 발명의 몇몇 실시예들에 따른 자기 정렬된 블록 패터닝 방법을 설명하기 위한 중간 단계 도면들이다.
도 6의 단계(610)에서, 유전체 층 상의 제1 하드 마스크 층 및 제2 하드 마스크 층을 포함하는 기판이 제공될 수 있다. 도 7에 도시된 바와 같이, 유전체 층(101), 제1 하드 마스크 층(102) 및 제2 하드 마스크 층(103)은, 기판(100) 상에 순차적으로 적층될 수 있다.
기판(100)은 임의의 적합한 기판일 수 있으며, 예를 들어, 반도체 물질, 비반도체 물질 또는 실리콘 상의 저마늄 또는 SOI(Silicon On Insulator)와 같은 멀티레이어(multilayers)를 포함하는 그들의 조합을 포함할 수 있다. 반도체 물질은, 예를 들어, 실리콘(Si), 저마늄(Ge), 실리콘 저마늄(SiGe), 실리콘 카바이드(SiC), 갈륨 나이트라이드(GaN) 또는 갈륨 아스나이드(GaAs)를 포함할 수 있다. 비반도체 물질은, 예를 들어, 실리콘 옥사이드(SiO2), 알루미늄(Al), 알루미늄 옥사이드(Al2O3), 세라믹(ceramic), 쿼츠(quartz), 또는 구리(Cu)를 포함할 수 있다.
몇몇 실시예에서, 기판(100)은 p 형 또는 n 형이 되도록 불순물로 도핑된 반도체 기판일 수 있다. p 형으로 도핑된 실리콘 기판에서, Si 기판은 예를 들어, 보론(B), 알루미늄(Al), 갈륨(Ga) 및 인듐(In)과 같은 p 형 도펀트로 도핑될 수 있다. n 형으로 도핑된 실리콘 기판에서, Si 기판은 예를 들어, 안티몬(Sb), 비소(As) 및 인(P)과 같은 n 형 도펀트로 도핑될 수 있다.
기판(100)은 하나 이상의 반도체 층 또는 구조체를 포함할 수 있다. 또한, 기판(100)은 반도체 장치의 활성(active) 또는 작동 가능한 부분을 포함할 수 있다. 반도체 장치의 활성 또는 작동 가능한 부분은, 트랜지스터를 포함할 수 있다.
유전체 층(101)은 TEOS(tetraethyl ortho silicate), 실리콘 나이트라이드(Si3N4), 실리콘 옥사이드(SiO2), 실리콘 옥시나이트라이드(SiON), 나노다공성 실리카(nanoporous silica), HSQ(hydrogensilsesquioxanes), Teflon-AF (polytetrafluorethylene 또는 PTFE), FSG(silicon oxyfluoride), 탄소 도핑된 SiO2(SiCO), SiCOH(hydrogenated silicon oxycarbide), 또는 다른 저유전율(low k) 또는 울트라 저유전율(ultra-low k (ULK)) 유전체 물질들을 포함할 수 있다. 울트라 저유전율 유전체 물질은 약 2.5 또는 그 보다 낮은 유전 상수를 갖는 유전체 물질일 수 있다. 울트라 저유전율 유전체 물질은 예를 들어, porous SiCOH를 포함할 수 있다. 유전체 층(101)의 물질은, 울트라 저유전율 유전체 물질인 것이 바람직할 수 있다. 반도체 장치의 활성 또는 작동 가능한 부분은 기판(100)으로부터 유전체 층(101)까지 연장될 수 있다.
제1 하드 마스크 층(102)은 실리콘 옥사이드, 실리콘 나이트라이드, 실리콘 옥시나이트라이드, 보론 나이트라이드, 메탈(metal), 메탈 나이트라이드(metal nitride), 및/또는 메탈 옥사이드(metal oxide)를 포함할 수 있다. 제1 하드 마스크 층(102)은, 약 8nm 내지 약 40nm의 범위의 두께를 가질 수 있다. 제1 하드 마스크 층(102)의 바람직한 물질은, 실리콘 옥시나이트라이드일 수 있다.
제2 하드 마스크 층(103)은, 제1 하드 마스크 층(102)의 물질과 상이한 물질을 포함할 수 있다. 제2 하드 마스크 층(103)은, 후속하는 식각 공정에서의 식각 선택성을 위해, 제1 하드 마스크 층(102)의 식각 특성과 상이한 식각 특성을 가질 수 있다. 제2 하드 마스크 층(103)은 실리콘 옥사이드, 실리콘 나이트라이드, 티타늄 나이트라이드, 보론 나이트라이드, 비정질 탄소, 메탈, 및/또는 메탈 옥사이드를 포함할 수 있다. 제2 하드 마스크 층(103)은 약 8nm 내지 약 50nm의 범위의 두께를 가질 수 있다. 제2 하드 마스크 층(103)의 바람직한 물질은, 티타늄 나이트라이드일 수 있다.
제1 하드 마스크 층(102) 및 제2 하드 마스크 층(103)은 다양한 증착 공정을 통해 기판(100) 상에 형성될 수 있다. 다양한 증착 공정은, 본 발명의 기술적 사상이 이에 제한되는 것은 아니나, 예를 들어, PVD(physical vapor deposition), CVD(chemical vapor deposition), ALD(atomic layer deposition), 전기화학적 증착(electrochemical deposition) 및 스핀 코팅(spin coating)을 포함할 수 있다. 제2 하드 마스크 층(103)은, 제1 하드 마스크 층(102) 상에 증착될 수 있다.
도 6의 단계(620)에서, 제2 하드 마스크 층 상의 스페이서 및 맨드렐이 형성될 수 있다. 맨드렐(201) 및 스페이서(202)를 형성하는 공정은 도 7 내지 도 9에 도시된다. 제2 하드 마스크 층(103) 상에 복수의 맨드렐(201) 및 복수의 스페이서(202)를 형성하는 것은, 이하에서 설명하는 제1 내지 제7 단계들을 포함할 수 있다.
제1 단계에서, 제2 하드 마스크 층(103) 상에 맨드렐 층(200)이 증착될 수 있다. 제2 단계에서, 맨드렐 층(200) 상에, 포토 레지스트 층이 스핀 코팅될 수 있다. 제3 단계에서, 맨드렐 마스크를 이용하여, 포토 레지스트 층을 노출시킬 수 있다. 제4 단계에서, 노출된 포토 레지스트 층에 베이킹 및 현상 공정을 수행하여, 맨드렐 포토 레지스트 패턴(290)을 형성할 수 있다. 제5 단계에서, 맨드렐 포토 레지스트 패턴(290)의 이미지를 맨드렐 층으로 전사하여, 복수의 맨드렐(201)이 형성될 수 있다. 제6 단계에서, 개구부에 의해 노출된 제2 하드 마스크 층(103)의 상면과, 복수의 맨드렐(201)의 상면 및 측면을 덮는 스페이서 물질 층이 형성될 수 있다. 제7 단계에서, 제2 하드 마스크 층(103)의 상면 및 복수의 맨드렐(201)의 상면의 스페이서 물질 층을 제거하고, 각 맨드렐(201)의 양측벽 상에 형성된 스페이서 물질 층의 일부를 제거할 수 있다.
제1 내지 제7 단계들의 순서는, 전술된 순서가 바람직할 수 있다. 그러나, 본 발명의 기술적 사상은, 상기 제시된 순서 또는 그 제시된 순서로 이들 단계를 수행하는 것에 제한되는 것은 아니다. 많은 단계들은 또한, 전술된 단계들 후, 전술된 단계들 사이 또는 전술된 단계 전에, 기판에 대해 적용될 수 있다.
제2 하드 마스크 층(103) 상에 증착된 맨드렐 층(200)은, 예를 들어, 비정질 실리콘을 포함할 수 있다. 맨드렐 층(200)을 패터닝하기 위해, 예를 들어, ArF (193 nm) 딥(deep) UV (DUV) 침지(immersion) 리소그래피와 같은 리소그래피 공정이 이용될 수 있다. 포토 리소그래피 공정은, 기판을 준비하는 단계, 포토 레지스트를 코팅하는 단계, 베이킹 단계, 노출 단계 및 현상 단계를 포함할 수 있다.
패터닝 공정은 포지티브 톤 현상 공정을 갖는 포지티브 톤 레지스트를 이용하거나, 용제 현상제(solvent-based developer)를 이용하여 NTD(negative tone development) 공정을 이용할 수 있다. 포토 리소그래피 공정 후, 맨드렐 포토 레지스트 패턴(290)은, 도 7에 도시된 바와 같이 맨드렐 층(200) 상에 형성될 수 있다.
포토 레지스트 패턴(290)의 이미지는, RIE(reactive ion etching) 공정을 통해 맨드렐 층(200)으로 전사되어, 도 8에 도시된 바와 같이 복수의 맨드렐(201)을 형성할 수 있다. 도 8은 제2 하드 마스크 층(103) 상에 형성된 복수의 맨드렐(201)을 나타내는 단면도이다.
도 9에 도시된 바와 같이 맨드렐(201)의 측벽 상에 복수의 스페이서(202)를 형성하기 위해, 스페이서 물질 층은 개구부에 의해 노출된 제2 하드 마스크 층(103)의 상면과, 복수의 맨드렐(201)의 상면 및 측면을 덮도록 형성될 수 있다. 스페이서 물질 층은, 예를 들어, ALD 공정과 같은 증착 공정을 이용하여, 기판 상에 컨포말하게 증착될 수 있다.
스페이서 물질 층은, 예를 들어, 실리콘 옥사이드, 실리콘 나이트라이드, 티타늄 나이트라이드, 보론 나이트라이드, 비정질 탄소, 메탈, 및/또는 메탈 옥사이드를 포함할 수 있다. 스페이서 물질 층은 약 4nm 내지 약 20nm 범위의 두께를 가질 수 있다. 스페이서 물질 층의 두께는, 패터닝될 피처(feature)의 크기에 비례할 수 있다.
복수의 맨드렐(201) 및 제2 하드 마스크 층(103)의 상면 상의 스페이서 물질 층은, 예를 들어, 이방성 RIE 공정을 이용하여 제거될 수 있다. 또한, 각 맨드렐(201)의 양측벽 상의 스페이서 물질 층의 일부는, 예를 들어, 경사각을 갖는 이온 빔에 의해 제거될 수 있다. 따라서, 복수의 맨드렐(201) 및 복수의 스페이서(202)는 제2 하드 마스크 층(103) 상에 형성될 수 있고, 이 때 두 개의 스페이서(202)는 각 맨드렐(201)의 양측벽 상에 형성될 수 있다. 스페이서(202)가 각 맨드렐(201)의 양측벽 상에 형성됨으로 인해, 비맨드렐 갭(203)은 두 개의 인접한 스페이서(202) 사이에 형성될 수 있다.
도 6의 단계(630)에서, 제1 블록 포토 레지스트 패턴 및 제1 더미 포토 레지스트 패턴을 형성하기 위해, 비맨드렐 블록 마스크를 이용한 비맨드렐 블록 포토 리소그래피 공정이 수행될 수 있다.
도 10에 도시된 바와 같이, 제1 블록 포토 레지스트 패턴(491)은 비맨드렐 금속 라인을 절단하기 위해 형성될 수 있다. 또한, 제1 더미 포토 레지스트 패턴(492)은 맨드렐(201)과 중첩될 수 있다. 비맨드렐 블록 마스크는 제1 블록 마스크 패턴과 제1 밀도 보조 마스크 패턴을 포함할 수 있다.
비맨드렐 블록 포토 리소그래피 공정을 수행하는 것은, 이하에서 설명하는 제1 내지 제5 단계들을 포함할 수 있다.
제1 단계에서, 스핀 온 하드 마스크 층(300)은, 스핀 코팅을 통해 복수의 맨드렐(201), 복수의 스페이서(202), 및 제2 하드 마스크 층(103)을 덮도록 형성될 수 있다.
제2 단계에서, 실리콘 함유 중간층(301)은, 스핀 온 하드 마스크 층(300) 상에 증착될 수 있다. 하부 반사 방지 코팅층(302)은, 실리콘 함유 중간층(301) 상에 증착될 수 있다.
제3 단계에서, 포토 레지스트 층은, 하부 반사 방지 코팅층(302) 상에 스핀 코팅될 수 있다.
제4 단계에서, 비맨드렐 블록 마스크를 이용하여 포토 레지스트 층을 노출시킬 수 있다.
제5 단계에서, 노출된 포토 레지스트 층을 베이킹 및 현상하여, 비맨드렐 금속 라인을 절단하기 위한 제1 블록 포토 레지스트 패턴(491) 및 맨드렐(201)과 중첩되는 제1 더미 포토 레지스트 패턴(492)을 형성할 수 있다.
제1 내지 제5 단계들의 순서는, 전술된 순서가 바람직할 수 있다. 그러나, 본 발명의 기술적 사상은, 상기 제시된 순서 또는 그 제시된 순서로 이들 단계를 수행하는 것에 제한되는 것은 아니다. 많은 단계들은 또한, 전술된 단계들 후, 전술된 단계들 사이 또는 전술된 단계 전에, 기판에 대해 적용될 수 있다.
스핀 온 하드 마스크 층(300)은 평탄화 층으로 작용할 수 있으며, 높은 탄소 함량을 가질 수 있다.
실리콘 함유 중간층(301)은 스핀 온 하드 마스크 층(300) 상에, 예를 들어, CVD 공정 또는 PVD 공정에 의해 증착될 수 있다. 실리콘 함유 중간층(301)은 예를 들어, 실리콘 옥사이드, 실리콘 나이트라이드 또는 실리콘 옥시나이트라이드를 포함할 수 있다.
실리콘 함유 중간층(301) 상의 하부 반사 방지 코팅층(302)은, 노출 파장에서 강한 흡수력을 가질 수 있고, 높은 에치 레이트(etch rate)를 가질 수 있다. 대안적으로, 실리콘 함유 반사 방지 코팅층은, CVD 또는 PVD를 통한 실리콘 함유 중간층(301) 및 스핀 코팅을 통한 하부 반사 방지 코팅층(302) 대신 스핀 온 하드 마스크 층(300)의 상면 상에 스핀 코팅될 수도 있다.
포토 레지스트 층을 노출하는데에 이용되는 비맨드렐 블록 마스크는, 도 4에 도시된 마스크 레이아웃을 포함할 수 있다. 포토 레지스트 층의 노출은, 예를 들어, ArF (193 nm) 딥(deep) UV (DUV) 침지(immersion) 리소그래피와 같은 리소그래피 공정을 이용하여 수행되거나, EUV 리소그래피를 이용하여 수행될 수 있다.
도 4의 제1 블록 패턴(40)은, 도 10의 제1 블록 포토 레지스트 패턴(491)을 인쇄하는데 이용될 수 있다. 다만, 도 4의 제1 블록 패턴(40)은 두 개의 스페이서(도 4의 공간 영역(22)) 각각의 폭의 약 절반과 중첩되도록 제1 방향(X 방향)으로 연장되는 반면, 도 10의 제1 블록 포토 레지스트 패턴(491)은 두 개의 스페이서(202) 및 두 개의 맨드렐(201) 각각의 폭의 약 절반과 중첩되도록 더 연장될 수 있다. 여기서, 도 4의 공간 영역(11)은 도 10의 맨드렐(201)과 대응되고, 도 4의 공간 영역(22)은 도 10의 스페이서(202)와 대응되며, 도 4의 공간 영역(32)은 도 10의 비맨드렐 갭(203)과 대응될 수 있다.
도 4의 제1 밀도 보조 패턴(50)은, 도 10의 제1 더미 포토 레지스트 패턴(492)을 인쇄하는데에 이용될 수 있다. 다만, 도 4의 제2 방향(Y 방향)에서의 중앙에서 제1 방향(X 방향)을 따라 보면, 도 4의 제1 블록 패턴(40) 및 제1 밀도 보조 패턴(50)의 제1 방향(X 방향)으로의 배치는, 도 10에서 제1 방향(X 방향)으로 제1 블록 포토 레지스트 패턴(491) 및 제1 더미 포토 레지스트 패턴(492)이 배치되는 것과 반대될 수 있다.
도 4의 제1 밀도 보조 패턴(50)은, 맨드렐(도 4의 공간 영역(11))과 대응되는 영역 및 비맨드렐 블록 마스크 레이아웃에서 맨드렐(도 4의 공간 영역(11))의 양측벽 상의 두 개의 스페이서(도 4의 공간 영역(22))과 대응되는 영역 각각의 폭의 적어도 절반과 중첩될 수 있다. 반면, 도 10의 제1 더미 포토 레지스트 패턴(492)은, 맨드렐(201) 및 기판(100) 상의 맨드렐(201)의 양측벽 상에 형성된 두 개의 스페이서(202) 각각의 폭의 적어도 절반과 중첩될 수 있다.
도 10의 제1 더미 포토 레지스트 패턴(492)은, 맨드렐(201) 및 맨드렐(201)의 양측벽 상에 형성된 두 개의 스페이서(202) 각각의 폭의 전부 또는 일부와 중첩될 수 있으나, 비맨드렐 갭(203)과는 중첩되지 않을 수 있다.
도 4의 제1 블록 패턴(40) 및 제1 밀도 보조 패턴(50)의 조합이 비맨드렐 블록 마스크에서 약 30% 또는 그 이상의 패턴 밀도를 갖기 때문에, 도 10의 제1 블록 포토 레지스트 패턴(491) 및 제1 더미 포토 레지스트 패턴(492)의 조합도 또한, 기판(100) 상에서 약 30% 또는 그 이상의 패턴 밀도를 가질 수 있다. 바람직한 패턴 밀도는, 예를 들어, 약 40% 또는 그 이상일 수 있다.
도 6의 단계(640)에서, 제1 블록 포토 레지스트 패턴과 중첩되지 않는 비맨드렐 갭에 의해 노출된 제2 하드 마스크 층은 제거될 수 있다.
도 11을 참조하면, 제1 블록 포토 레지스트 패턴(491)과 중첩되지 않는 비맨드렐 갭(203)에 의해 노출된 제2 하드 마스크 층(103)은 제거될 수 있다. 또한, 제1 블록 포토 레지스트 패턴(491) 아래의 스핀 온 하드 마스크 층의 부분(300A) 및 제2 하드 마스크 층(103)은 남아있을 수 있다. 제1 더미 포토 레지스트 패턴(492) 아래의 스핀 온 하드 마스크 층의 부분(300B)도 또한 남아있을 수 있다.
제1 블록 포토 레지스트 패턴(491)과 중첩되지 않는 비맨드렐 갭(203)에 의해 노출된 제2 하드 마스크 층(103)의 제거는, 이하에서 설명하는 제1 내지 제4 단계들을 포함할 수 있다.
제1 단계에서, 제1 블록 포토 레지스트 패턴(491) 및 제1 더미 포토 레지스트 패턴(492)에 의해 덮여지지 않은 하부 반사 방지 코팅층(302)이 제거될 수 있다.
제2 단계에서, 제1 블록 포토 레지스트 패턴(491) 및 제1 더미 포토 레지스트 패턴(492)의 이미지는, 실리콘 함유 중간층(301)으로 전사될 수 있다.
제3 단계에서, 이미징된 실리콘 함유 중간층(301)을 식각 마스크로 하는 방향성 식각 공정이 스핀 온 하드 마스크 층(300)에 대해 수행되어, 스핀 온 하드 마스크 층(300)의 일부가 제거될 수 있다.
제4 단계에서, 남아있는 스핀 온 하드 마스크 층의 부분(300A, 300B), 스페이서(202) 및 맨드렐(201)을 식각 마스크로 하는 방향성 식각 공정이 제2 하드 마스크 층(103)에 대해 수행되어, 제2 하드 마스크 층(103)의 일부가 제거될 수 있다. 이 때, 제1 하드 마스크 층(102)은 식각 정지막으로 기능할 수 있다.
하부 반사 방지 코팅층(302)은 높은 식각율을 갖기 때문에, 노출된 부분은 O2 에천트를 이용하거나, 또는 예를 들어, N2, H2, NH3 또는 그들의 조합과 같은 환원성 플라즈마를 이용하여 단시간에 쉽게 제거될 수 있다.
실리콘 함유 중간층(301)을 제거하기 위해, 예를 들어, CF4, CHF3,CH2F2, CH3F 또는 그들의 조합과 같은 불소 함유 에천트가 이용될 수 있다. 실리콘 함유 중간층(301)을 식각한 후, 식각 화학물은 O2 에천트를 이용하거나, 또는 예를 들어, N2, H2, NH3 또는 그들의 조합과 같은 환원성 플라즈마를 이용하여 탄소가 풍부한 스핀 온 하드 마스크 층(300)을 식각하도록 다시 변화될 수 있다. 스핀 온 하드 마스크 층(300)의 식각이 완료된 후, 제1 블록 포토 레지스트 패턴(491) 및 제1 더미 포토 레지스트 패턴(492)은 소모될 수 있고, 실리콘 함유 중간층(301)은 완전히 소모되거나, 완전히 소모되지 않을 수 있다.
제2 하드 마스크 층(103)이 티타늄 나이트라이드인 경우, 염소 기반 에천트를 이용한 방향성 RIE 공정은, 남아있는 스핀 온 하드 마스크 층의 부분(300A, 300B), 스페이서(202) 및 맨드렐(201)을 식각 마스크로 하고, 제1 하드 마스크 층(102)을 식각 정지막으로 이용하여, 비맨드렐 갭(203)에 의해 노출된 제2 하드 마스크 층(103)을 제거하기 위해 수행될 수 있다.
도 10 및 도 11에 도시된 바와 같이, 제1 더미 포토 레지스트 패턴(492)은 차단/절단 메커니즘에 관여하지 않고, 차단/절단 메커니즘을 방해하지 않을 수 있다. 즉, 도 4의 제1 밀도 보조 패턴(50)은 SAB 패터닝 공정을 간섭하지 않고, 패턴 밀도를 증가시키기 위해 비맨드렐 블록 마스크에 포함될 수 있다.
제1 블록 포토 레지스트 패턴(491)과 중첩되지 않는 비맨드렐 갭(203)에 의해 노출된 제2 하드 마스크 층(103)이 제거된 후, 남아있는 스핀 온 하드 마스크 층의 부분(300A, 300B)을 모두 제거하는 단계가 수행될 수 있다.
도 12에 도시된 바와 같이, SAB 패터닝 공정을 위한 제1 블록/차단 패턴(B1)은 제2 하드 마스크 층(103)으로 전사될 수 있다. 남아있는 스핀 온 하드 마스크 층의 부분(300A, 300B)을 모두 제거하는 것은, 애싱 단계(ashing step)를 포함하거나, 또는 레지스트 스트립 공정을 이용하는 스트리핑(stripping) 공정을 포함할 수 있다.
도 6의 단계(650)에서, 제2 블록 포토 레지스트 패턴 및 제2 더미 포토 레지스트 패턴을 형성하기 위한 맨드렐 블록 마스크를 이용하는 맨드렐 블록 포토 리소그래피 공정이 수행될 수 있다.
도 13의 제2 블록 포토 레지스트 패턴(591)은 맨드렐 금속 라인을 절단하기 위해 형성될 수 있다. 제2 더미 포토 레지스트 패턴(592)은, 비맨드렐 갭(203)과 중첩될 수 있다.
맨드렐 블록 마스크는 제2 블록 마스크 패턴과 제2 밀도 보조 마스크 패턴을 포함할 수 있다. 맨드렐 블록 포토 리소그래피 공정을 수행하는 것은, 이하에서 설명하는 제1 내지 제5 단계들을 포함할 수 있다.
제1 단계에서, 복수의 맨드렐(201), 복수의 스페이서(202) 및 제2 하드 마스크 층(103)을 덮도록 스핀 온 하드 마스크 층(300)을 스핀 코팅할 수 있다. 제2 단계에서, 스핀 온 하드 마스크 층(300) 상에, 실리콘 함유 중간층(301)이 증착될 수 있다. 제3 단계에서, 실리콘 함유 중간층(301) 상에, 하부 반사 방지 코팅층(302)이 증착될 수 있다. 제4 단계에서, 하부 반사 방지 코팅층(302) 상에, 포토 레지스트 층이 스핀 코팅될 수 있다. 제5 단계에서, 맨드렐 블록 마스크를 이용하여, 포토 레지스트 층을 노출시킬 수 있다. 노출된 포토 레지스트 층을 베이킹 및 현상하여, 맨드렐 금속 라인을 절단하는 제2 블록 포토 레지스트 패턴(591) 및 비맨드렐 갭(203)과 중첩되는 제2 더미 포토 레지스트 패턴(592)을 형성할 수 있다.
제1 내지 제5 단계들의 순서는, 전술된 순서가 바람직할 수 있다. 그러나, 본 발명의 기술적 사상은, 상기 제시된 순서 또는 그 제시된 순서로 이들 단계를 수행하는 것에 제한되는 것은 아니다. 많은 단계들은 또한, 전술된 단계들 후, 전술된 단계들 사이 또는 전술된 단계 전에, 기판에 대해 적용될 수 있다.
포토 레지스트 층을 노출하는데 이용되는 맨드렐 블록 마스크는, 도 5에 도시된 마스크 레이아웃을 포함할 수 있다. 포토 레지스트 층을 노출하는 것은, 예를 들어, ArF (193 nm) 딥(deep) UV (DUV) 침지(immersion) 리소그래피와 같은 리소그래피 공정을 이용하여 수행되거나, EUV 리소그래피를 이용하여 수행될 수 있다.
도 5의 제2 블록 패턴(50)은, 도 13의 제2 블록 포토 레지스트 패턴(591)을 인쇄하는데에 이용될 수 있다. 다만, 도 5의 제2 블록 패턴(50)은, 제1 방향(X 방향)을 따라, 두 개의 스페이서(도 5의 공간 영역(23)) 각각의 폭의 약 절반과 중첩되도록 연장될 수 있다. 반면, 도 13의 제2 블록 포토 레지스트 패턴(591)은 두 개의 비맨드렐 갭(203) 각각의 폭의 약 절반과 중첩되도록 더 연장될 수 있다.
도 5의 공간 영역(12, 23, 33) 각각은, 도 13의 맨드렐(201), 스페이서(202) 및 비맨드렐 갭(203) 각각과 대응될 수 있다.
도 5의 제2 밀도 보조 패턴(70)은 맨드렐 블록 마스크 레이아웃에서 비맨드렐 갭(도 5의 공간 영역(33))과 대응되는 영역과 중첩되고, 비맨드렐 갭(도 5의 공간 영역(33))의 양측벽 상의 두 개의 스페이서(도 5의 공간 영역(23))와 대응되는 영역 각각의 폭의 적어도 절반과 중첩될 수 있다.
반면, 도 13의 제2 더미 포토 레지스트 패턴(592)은, 기판(100) 상의 비맨드렐 갭(203) 및 비맨드렐 갭(203)의 양측의 두 개의 스페이서(202) 각각의 폭의 적어도 절반과 중첩될 수 있다. 도 13의 제2 더미 포토 레지스트 패턴(592)은, 비맨드렐 갭(203)과 중첩되고, 비맨드렐 갭(203)의 양측의 두 개의 스페이서(202) 각각의 폭의 전부 또는 일부와 중첩될 수 있으나, 맨드렐(201)과는 중첩되지 않을 수 있다.
도 5의 제2 블록 패턴(60) 및 제2 밀도 보조 패턴(70)은 맨드렐 블록 마스크 레이아웃에서 약 30% 이상의 패턴 밀도를 갖기 때문에, 도 13의 제2 블록 포토 레지스트 패턴(591) 및 제2 더미 포토 레지스트 패턴(592)의 조합도 또한, 기판(100)에서 약 30% 이상의 패턴 밀도를 가질 수 있다. 바람직한 패턴 밀도는 약 40% 이상일 수 있다.
도 6의 단계(660)에서, 제2 블록 포토 레지스트 패턴과 중첩되지 않는 맨드렐 아래의 제2 하드 마스크 레이어는 제거될 수 있다.
도 14는 제거된 제2 블록 포토 레지스트 패턴(591)과 중첩되지 않는 맨드렐(201) 아래의 제2 하드 마스크 층(103), 스핀 온 하드 마스크 층(300C)의 부분, 제2 블록 포토 레지스트 패턴(591) 아래의 맨드렐(201) 및 제2 하드 마스크 층(103), 및 비맨드렐 영역에 남아있는 스핀 온 하드 마스크 층의 부분(300D, 300E)을 나타내는 단면도이다.
제2 블록 포토 레지스트 패턴(591)과 중첩되지 않는 맨드렐(201) 아래의 제2 하드 마스크 층(103)의 제거는, 이하에서 설명하는 제1 내지 제5 단계들을 포함할 수 있다.
제1 단계에서, 제2 블록 포토 레지스트 패턴(591) 및 제2 더미 포토 레지스트 패턴(592)에 의해 덮여지지 않는 하부 반사 방지 코팅층(302)이 제거될 수 있다. 제2 단계에서, 제2 블록 포토 레지스트 패턴(591) 및 제2 더미 포토 레지스트 패턴(592) 각각의 이미지는, 실리콘 함유 중간층(301)에 전사될 수 있다. 제3 단계에서, 이미징된 실리콘 함유 중간층(301)을 식각 마스크로 이용하여, 맨드렐(201)의 상면이 노출될 때까지 스핀 온 하드 마스크 층(300)을 제거하기 위한 방향성 식각 공정이 수행될 수 있다. 제4 단계에서, 노출된 맨드렐(201)을 제거하기 위한 방향성 식각 공정이 수행될 수 있다.
제5 단계에서, 남아있는 스핀 온 하드 마스크 층의 부분(300C, 300D, 300E), 및 스페이서(202)를 식각 마스크로 이용하여, 맨드렐(201) 아래의 제2 하드 마스크 층(103)을 제거하기 위한 방향성 식각 공정이 수행될 수 있다. 이 때, 제1 하드 마스크 층(102)은, 식각 정지막으로 기능할 수 있다.
이 공정에서 맨드렐 풀(mandrel pull)으로 지칭될 수 있는, 남아있는 스핀 온 하드 마스크 층의 부분(300C)에 의해 덮여지지 않은 맨드렐(201)을 제거하는 것은, 스핀 온 하드 마스크 층(300)에 대해 선택적인 임의의 식각 공정을 수행하는 것이 포함될 수 있다.
맨드렐(201)이 비정질 실리콘인 경우, 맨드렐(201)은 예를 들어, Cl2/HBr/CF4/O2 및/또는 HBr/O2와 같은 에천트를 이용한 RIE 공정에 의해 식각될 수 있다.
제2 하드 마스크 층(103)이 티타늄 질화물을 포함하는 경우, 염소계 에천트를 이용하는 방향성 RIE 공정은, 남아있는 스핀 온 하드 마스크 층의 부분(300C, 300D, 300E), 및 스페이서(202)를 식각 마스크로 하여, 제거되는 맨드렐(201) 아래의 제2 하드 마스크 층(103)을 제거하는데에 이용될 수 있다. 이 때, 제1 하드 마스크 층(102)은 식각 정지막으로 기능할 수 있다.
도 13 및 도 14에 도시된 바와 같이, 제2 더미 포토 레지스트 패턴(592)은 블록/차단 매커니즘에 관여하지 않고, 블록/차단 공정을 간섭하지 않을 수 있다. 즉, 제2 밀도 보조 패턴(70)은 SAB 패터닝 공정을 간섭하지 않고, 패턴 밀도를 증가시키기 위해, 비맨드렐 블록 마스크에 통합될 수 있다.
도 14에 도시된 바와 같이, SAB 패터닝 공정을 위한 제2 블록/차단 패턴(B2)은 제2 하드 마스크 층(103)으로 전사될 수 있다. 제2 블록 포토 레지스트 패턴(591)과 중첩되지 않는 맨드렐(201) 아래의 제2 하드 마스크 층(103)을 제거한 후, 남아있는 스핀 온 하드 마스크 층의 부분(300C, 300D, 300E), 스페이서(202) 및 남아있는 맨드렐(201) 모두를 제거하는 공정이 수행될 수 있다. 적어도, 남아있는 스핀 온 하드 마스크 층의 부분(300C, 300D, 300E)은 모두 제거되어야 한다.
도 6의 단계(670)에서, 유전체 층 내에 리세스는, 제1 하드 마스크 층을 관통하여 식각함으로써 형성될 수 있다.
도 15는 제1 하드 마스크 층(102) 및 제2 하드 마스크 층(103)을 관통하여, 유전체 층(101) 내에 형성되는 리세스(901, 902)를 나타내는 단면도이다.
제1 하드 마스크 층(102)이 실리콘 옥시나이트라이드인 경우, 제2 하드 마스크 층(103)을 식각 마스크로 이용하여 제1 하드 마스크 층(102)이 관통되도록 식각하기 위해, 불소를 함유하는 에천트, 예를 들어, CF4, CHF3, CH2F2, CH3F, CHF3 + CO 또는 그들의 조합이 이용될 수 있다.
도 15에서, 리세스(901)는 맨드렐(201)에 의해 정의될 수 있고, 리세스(902)는 비맨드렐 갭(203)에 의해 정의될 수 있다. 블로킹된 비맨드렐 갭(203)에 의해 정의된 제1 블록/차단 패턴(B1), 및 블로킹된 맨드렐(201)에 의해 정의된 제2 블록/차단 패턴(B2)에 의해 블로킹되어 있던 영역에는, 리세스가 형성되지 않을 수 있다. 리세스 형성 후, 모든 남아있는 제1 하드 마스크 층(102) 및 다른 층들은 제거될 수 있다.
도 6의 단계(680)에서, 금속 층은 리세스를 채우도록 증착될 수 있고, 금속 라인을 형성하도록 평탄화될 수 있다.
도 16은 유전체 층(101) 내에 형성된 금속 라인(90A, 90B)을 나타내는 단면도이다. 도 16에 도시된 바와 같이, 맨드렐 금속 라인(90A)은 맨드렐(201)에 의해 정의되는 리세스(901) 내에 형성될 수 있고, 비맨드렐 금속 라인(90B)은 비맨드렐 갭(203)에 의해 정의되는 리세스(902) 내에 형성될 수 있다.
금속 층은, 예를 들어, 금 (Au), 티타늄 (Ti), 구리 (Cu),은 (Ag), 알루미늄 (Al), 텅스텐 (W), 코발트(Co), 크롬 (Cr), 몰리브덴 (Mo), 지르코늄 (Zr), 니켈 (Ni), 탄탈륨 (Ta), 백금 (Pt) 또는 그들의 합금을 포함할 수 있다. 금속 층은 다중 층일 수 있고, 금속이 유전체 층(101)으로 확산되는 것을 방지하기 위해 예를 들어, 티타늄, 티타늄 나이트라이드, 탄탈륨, 탄탈륨 나이트라이드, 텅스텐 나이트라이드 및/또는 그들의 임의의 조합을 포함하는 하나 이상의 배리어 층을 포함할 수 있다.
도전성 물질은 다양한 증착 공정을 이용하여 리세스(901, 902)를 채우도록 형성될 수 있다. 다양한 증착 공정은, 예를 들어, PVD(physical vapor deposition), CVD(chemical vapor deposition), ALD(atomic layer deposition), ECD(electrochemical deposition), 전기 도금, 무전해 도금 및 스핀 코팅을 포함할 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.
금속 층의 평탄화는 예를 들어, CMP(chemical mechanical polishing) 공정과 같은 평탄화 공정에 의해 수행될 수 있다. 금속 층의 평탄화는, 유전체 층(101)의 상면이 노출될 때까지 수행될 수 있고, 이로써 유전체 층(101) 내에 맨드렐 금속 라인(90A) 및 비맨드렐 금속 라인(90B)이 형성될 수 있다.
상술한 바와 같이, 본 발명의 기술적 사상에 따른 예시적인 실시예들은, SAB 패터닝 공정을 위한 밀도 보조 패턴을 포함하는 마스크 레이아웃을 생성하는 방법을 제공할 수 있다. 본 발명의 기술적 사상에 따른 마스크 레이아웃은 30% 이상 또는 40% 이상의 패턴 밀도를 갖기 때문에, 본 발명의 기술적 사상에 따른 마스크 레이아웃을 갖는 마스크는 더 나은 균일성을 가질 수 있다. 또한, EUV 마스크의 경우, 본 발명의 기술적 사상에 따른 마스크 레이아웃을 갖는 EUV 마스크는 더 나은 균일성 및 낮은 결함 수준을 가질 수 있다.
본 발명의 기술적 사상에 따른 예시적인 실시예들은 또한, SAB 패터닝 공정으로 금속 라인을 제조하기 위한 레이아웃을 갖는 마스크를 이용하는 공정을 제공할 수 있으며, 마스크 상에 형성된 밀도 보조 패턴은 금속 라인의 절단 공정을 간섭하지 않는 더미 포토 레지스트 패턴만을 인쇄할 수 있다. 따라서, 제조된 금속 라인은 더 나은 균일성을 가질 수 있고, EUV 리소그라피가 본 발명의 기술적 사상에 따른 SAB 패터닝 공정에서 이용되는 경우, 제조된 금속 라인은 더 나은 균일성 및 낮은 결함 수준을 가질 수 있다.
이상 첨부된 도면을 참조하여 본 발명의 실시예들을 설명하였으나, 본 발명은 상기 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 제조될 수 있으며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명의 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
90A: 맨드렐 금속 라인 90B: 비맨드렐 금속 라인
10: 맨드렐 패턴 40: 제1 블록 패턴
50: 제1 밀도 보조 패턴 60: 제2 블록 패턴
70: 제2 밀도 보조 패턴

Claims (20)

  1. 반도체 기판 상에 형성될 금속 라인 패턴을 식별하고,
    복수의 맨드렐(mandrel) 패턴을 포함하고, SADP(self-aligned double patterning) 공정에서 상기 반도체 기판 상에 복수의 맨드렐을 형성하는 제1 마스크에 대한 맨드렐 마스크 레이아웃을, 상기 금속 라인 패턴에 기초하여 생성하고,
    복수의 제1 블록 패턴 및 복수의 제1 밀도 보조 패턴을 포함하고, 상기 반도체 기판 상에 비맨드렐 영역 내의 스페이서 사이의 갭에 의해 노출된 금속 라인을 절단하는 제2 마스크에 대한 비맨드렐 블록 마스크 레이아웃을, 상기 맨드렐 마스크 레이아웃에 기초하여 생성하고,
    복수의 제2 블록 패턴 및 복수의 제2 밀도 보조 패턴을 포함하고, 상기 반도체 기판 상에 맨드렐 영역 아래에 형성된 금속 라인을 절단하는 제3 마스크에 대한 맨드렐 블록 마스크 레이아웃을, 상기 맨드렐 마스크 레이아웃에 기초하여 생성하는 것을 포함하고,
    상기 스페이서는, 상기 SADP 공정에서, 상기 반도체 기판 상의 상기 복수의 맨드렐 각각의 양측벽 상에 형성되고,
    상기 복수의 제1 블록 패턴 및 상기 복수의 제1 밀도 보조 패턴의 조합은, 상기 비맨드렐 블록 마스크 레이아웃에서, 30% 이상의 패턴 밀도를 갖고,
    상기 복수의 제2 블록 패턴 및 상기 복수의 제2 밀도 보조 패턴의 조합은, 상기 맨드렐 블록 마스크 레이아웃에서, 30% 이상의 패턴 밀도를 갖는 마스크 레이아웃의 생성 방법.
  2. 제 1항에 있어서,
    상기 금속 라인 패턴은, 상기 맨드렐 영역 아래에 형성되는 복수의 맨드렐 금속 라인 및 상기 비맨드렐 영역 내의 상기 스페이서 사이의 상기 갭에 의해 노출된 복수의 비맨드렐 금속 라인을 포함하고,
    상기 복수의 맨드렐 금속 라인 및 상기 복수의 비맨드렐 금속 라인은, 제1 방향으로 서로 교대로 이격되게 배열되고, 제2 방향으로 서로 평행하게 연장되는 마스크 레이아웃의 생성 방법.
  3. 제 2항에 있어서,
    상기 맨드렐 마스크 레이아웃의 상기 복수의 맨드렐 패턴은, 상기 반도체 기판에 형성될 상기 복수의 맨드렐 금속 라인과 대응되고,
    상기 복수의 맨드렐 패턴은 상기 복수의 맨드렐 금속 라인을 형성하기 위한 이미지를 인쇄(print)하는데에 이용되는 마스크 레이아웃의 생성 방법.
  4. 제 1항에 있어서,
    상기 비맨드렐 블록 마스크 레이아웃을 생성하는 것은,
    상기 맨드렐 마스크 레이아웃에 상기 복수의 맨드렐 패턴을 위치시키고,
    상기 맨드렐 마스크 레이아웃의 상기 복수의 맨드렐 패턴과 중첩되도록, 상기 비맨드렐 블록 마스크 레이아웃의 상기 복수의 제1 밀도 보조 패턴을 생성하는 것을 포함하고,
    상기 복수의 제1 밀도 보조 패턴은, 상기 비맨드렐 영역 내의 상기 스페이서 사이의 상기 갭에 대응되는 영역과 중첩되지 않는 마스크 레이아웃의 생성 방법.
  5. 제 4항에 있어서,
    상기 비맨드렐 블록 마스크 레이아웃의 상기 복수의 제1 밀도 보조 패턴 각각은, 상기 복수의 맨드렐 중 어느 하나의 맨드렐의 양측벽 상에 형성되는 두 개의 스페이서 각각의 폭의 적어도 절반에 대응되는 영역 및 상기 복수의 맨드렐 중 어느 하나의 맨드렐과 대응되는 영역과 중첩되고,
    상기 복수의 제1 밀도 보조 패턴 각각은, 상기 반도체 기판 상에 형성될 상기 복수의 맨드렐 중 어느 하나의 맨드렐과 함께, 제2 방향을 따라 연장되는 마스크 레이아웃의 생성 방법.
  6. 제 1항에 있어서,
    상기 맨드렐 블록 마스크 레이아웃을 생성하는 것은,
    상기 맨드렐 마스크 레이아웃에 상기 복수의 맨드렐 패턴을 위치시키고,
    상기 맨드렐 마스크 레이아웃의 상기 복수의 맨드렐 패턴과 중첩되지 않도록, 상기 맨드렐 블록 마스크 레이아웃의 상기 복수의 제2 밀도 보조 패턴을 생성하는 것을 포함하는 마스크 레이아웃의 생성 방법.
  7. 제 6항에 있어서,
    상기 맨드렐 블록 마스크 레이아웃의 상기 복수의 제2 밀도 보조 패턴 각각은, 상기 비맨드렐 영역 내의 상기 스페이서 사이의 상기 갭에 대응되는 영역 및 상기 비맨드렐 영역의 상기 스페이서 사이의 상기 갭의 양측벽 상에 형성되는 두 개의 스페이서 각각의 폭의 적어도 절반에 대응되는 영역과 중첩되고,
    상기 복수의 제2 밀도 보조 패턴 각각은, 상기 반도체 기판 상에 형성될 상기 비맨드렐 영역의 상기 스페이서 사이의 상기 갭과 함께, 제2 방향으로 연장되는 마스크 레이아웃의 생성 방법.
  8. 제 1항에 있어서,
    상기 복수의 제1 블록 패턴 및 상기 복수의 제1 밀도 보조 패턴의 조합은, 상기 비맨드렐 블록 마스크 레이아웃에서, 40% 이상의 패턴 밀도를 갖고,
    상기 복수의 제2 블록 패턴 및 상기 복수의 제2 밀도 보조 패턴의 조합은, 상기 맨드렐 블록 마스크 레이아웃에서, 40% 이상의 패턴 밀도를 갖는 마스크 레이아웃의 생성 방법.
  9. 제 1항에 있어서,
    상기 복수의 제1 블록 패턴 및 상기 복수의 제1 밀도 보조 패턴은, 상기 비맨드렐 블록 마스크 레이아웃에서 균일하게 분포되고,
    상기 복수의 제2 블록 패턴 및 상기 복수의 제2 밀도 보조 패턴은, 상기 맨드렐 블록 마스크 레이아웃에서 균일하게 분포되는 마스크 레이아웃의 생성 방법.
  10. 제 1항에 있어서,
    상기 제1 마스크, 상기 제2 마스크, 및 상기 제3 마스크는, EUV 마스크 및 193nm 포토마스크 중 하나에서 각각 독립적으로 선택되는 것인 마스크 레이아웃의 생성 방법.
  11. 유전체 층 상의 제1 하드 마스크 층 및 제2 하드 마스크 층을 포함하는 기판을 제공하되, 상기 제2 하드 마스크 층은 상기 제1 하드 마스크 층 상에 형성되고,
    상기 제2 하드 마스크 층 상에, 복수의 맨드렐 및 복수의 스페이서를 형성하되, 상기 복수의 스페이서 중 두 개의 스페이서는 상기 복수의 맨드렐 각각의 양측벽 상에 형성되고, 상기 복수의 스페이서 중 인접하는 두 개의 스페이서 사이에는 비맨드렐 갭이 형성되고,
    제1 블록 패턴 및 제1 밀도 보조 패턴을 포함하는 비맨드렐 블록 마스크를 이용한 비맨드렐 블록 포토 리소그라피 공정을 수행하여, 상기 복수의 맨드렐 각각과 중첩되는 제1 더미 포토 레지스트 패턴, 및 비맨드렐 금속 라인을 절단하기 위한 제1 블록 포토 레지스트 패턴을 형성하고,
    식각 공정을 통해 상기 제1 블록 포토 레지스트 패턴과 중첩되지 않는 상기 비맨드렐 갭에 의해 노출된 상기 제2 하드 마스크 층의 부분을 제거하고,
    제2 블록 패턴 및 제2 밀도 보조 패턴을 포함하는 맨드렐 블록 마스크를 이용한 맨드렐 블록 포토 리소그라피 공정을 수행하여, 맨드렐 금속 라인을 절단하기 위한 제2 블록 포토 레지스트 패턴 및 상기 비맨드렐 갭과 중첩되는 제2 더미 포토 레지스트 패턴을 형성하고,
    식각 공정을 통해 상기 제2 블록 포토 레지스트 패턴과 중첩되지 않는 상기 맨드렐의 아래의 상기 제2 하드 마스크 층의 부분을 제거하고,
    상기 제1 하드 마스크 층을 식각하여 상기 유전체 층 내에 리세스를 형성하고, 상기 유전체 층 상에 남아있는 모든 층들을 제거하고,
    상기 리세스를 채우도록 금속 층을 증착하고,
    상기 유전체 층의 상면이 노출되도록 상기 금속 층을 평탄화하여, 상기 맨드렐 금속 라인 및 상기 비맨드렐 금속 라인을 형성하는 것을 포함하고,
    상기 제1 밀도 보조 패턴은 상기 제1 더미 포토 레지스트 패턴을 인쇄(print)하고,
    상기 제2 밀도 보조 패턴은 상기 제2 더미 포토 레지스트 패턴을 인쇄하고,
    상기 제1 블록 패턴 및 상기 제1 밀도 보조 패턴의 조합은, 상기 비맨드렐 블록 마스크에서, 30% 이상의 패턴 밀도를 갖고,
    상기 제2 블록 패턴 및 상기 제2 밀도 보조 패턴의 조합은, 상기 맨드렐 블록 마스크에서, 30% 이상의 패턴 밀도를 갖는 자기 정렬된 블록 패터닝 방법.
  12. 제 11항에 있어서,
    상기 제1 더미 포토 레지스트 패턴은 상기 맨드렐 및 상기 복수의 스페이서 중 적어도 하나와 중첩되고, 상기 비맨드렐 갭과 비중첩되고,
    상기 제2 더미 포토 레지스트 패턴은 상기 비맨드렐 갭 및 상기 복수의 스페이서 중 적어도 하나와 중첩되고, 상기 맨드렐과 비중첩되는 자기 정렬된 블록 패터닝 방법.
  13. 제 11항에 있어서,
    상기 제1 블록 패턴 및 상기 제1 밀도 보조 패턴의 조합은, 상기 비맨드렐 블록 마스크에서, 40% 이상의 패턴 밀도를 갖고,
    상기 제2 블록 패턴 및 상기 제2 밀도 보조 패턴의 조합은, 상기 맨드렐 블록 마스크에서, 40% 이상의 패턴 밀도를 갖는 자기 정렬된 블록 패터닝 방법.
  14. 제 11항에 있어서,
    상기 비맨드렐 블록 포토 리소그라피 공정을 수행하는 것은,
    상기 복수의 맨드렐, 상기 복수의 스페이서 및 상기 제2 하드 마스크 층을 덮도록 스핀 온 하드 마스크 층을 형성하고,
    상기 스핀 온 하드 마스크 층 상에, 실리콘 함유 중간층을 형성하고,
    상기 실리콘 함유 중간층 상에 하부 반사 방지 코팅층을 형성하고,
    상기 하부 반사 방지 코팅층 상에 포토 레지스트 층을 형성하고,
    상기 비맨드렐 블록 마스크를 이용하여 상기 포토 레지스트 층을 노출시키고,
    상기 노출된 포토 레지스트 층을 베이킹(baking) 및 현상하여, 상기 비맨드렐 금속 라인을 절단하기 위한 상기 제1 블록 포토 레지스트 패턴 및 상기 복수의 맨드렐 각각과 중첩되는 상기 제1 더미 포토 레지스트 패턴을 형성하는 것을 포함하는 자기 정렬된 블록 패터닝 방법.
  15. 제 14항에 있어서,
    상기 제1 블록 포토 레지스트 패턴과 중첩되지 않는 상기 비맨드렐 갭 아래의 상기 제2 하드 마스크 층의 부분을 제거하는 것은,
    상기 제1 블록 포토 레지스트 패턴 및 상기 제1 더미 포토 레지스트 패턴과 중첩되지 않는 상기 하부 반사 방지 코팅층의 부분을 제거하고,
    상기 제1 블록 포토 레지스트 패턴 및 상기 제1 더미 포토 레지스트 패턴의 이미지를, 상기 실리콘 함유 중간층으로 전사하고,
    상기 이미지화된 실리콘 함유 중간층을 식각 마스크로 이용하여, 방향성 식각 공정으로 상기 스핀 온 하드 마스크 층의 일부를 제거하고,
    남아있는 상기 스핀 온 하드 마스크 층의 나머지, 상기 스페이서 및 상기 복수의 맨드렐을 식각 마스크로 이용하고, 상기 제1 하드 마스크 층을 식각 정지막으로 이용하여, 방향성 식각 공정으로 상기 비맨드렐 갭에 의해 노출된 상기 제2 하드 마스크 층의 부분을 제거하는 것을 포함하는 자기 정렬된 블록 패터닝 방법.
  16. 제 11항에 있어서,
    상기 제1 블록 포토 레지스트 패턴과 중첩되지 않는 상기 비맨드렐 갭의 아래의 상기 제2 하드 마스크 층의 부분을 제거한 후, 상기 맨드렐 블록 포토 리소그라피 공정을 수행하기 전에,
    스핀 온 하드 마스크 층을 제거하는 것을 더 포함하는 자기 정렬된 블록 패터닝 방법.
  17. 제 11항에 있어서,
    상기 제2 하드 마스크 층 상에 상기 복수의 맨드렐 및 상기 복수의 스페이서를 형성하는 것은,
    상기 제2 하드 마스크 층 상에 맨드렐 층을 형성하고,
    상기 맨드렐 층 상에 포토 레지스트 층을 형성하고,
    맨드렐 마스크를 이용하여 상기 포토 레지스트 층을 노출시키고,
    상기 노출된 포토 레지스트 층을 베이킹(baking) 및 현상하여, 맨드렐 포토 레지스트 패턴을 형성하고,
    상기 맨드렐 포토 레지스트 패턴의 이미지를 상기 맨드렐 층으로 전사하여, 상기 복수의 맨드렐을 형성하고,
    상기 복수의 맨드렐의 상면, 상기 복수의 맨드렐의 측벽, 및 개구부 아래의 상기 제2 하드 마스크 층의 상면을 덮도록 스페이서 물질 층을 형성하고,
    상기 제2 하드 마스크 층의 상면 및 상기 복수의 맨드렐의 상면에 형성된 상기 스페이서 물질 층과, 상기 복수의 맨드렐 각각의 양측벽 상에 형성된 상기 스페이서 물질 층의 일부를 제거하여, 상기 복수의 스페이서를 형성하는 것을 포함하는 자기 정렬된 블록 패터닝 방법.
  18. 반도체 기판 상에 형성될 금속 라인 패턴을 식별하고,
    복수의 맨드렐(mandrel) 패턴을 포함하고, SAB(self-aligned block) 패터닝 공정에서 상기 반도체 기판 상에 복수의 맨드렐을 형성하는 맨드렐 마스크에 대한 맨드렐 마스크 레이아웃을, 상기 금속 라인 패턴에 기초하여 생성하고,
    복수의 제1 블록 패턴 및 복수의 제1 밀도 보조 패턴을 포함하고, 상기 반도체 기판 상에 비맨드렐 영역 내의 스페이서 사이의 갭에 의해 노출된 금속 라인을 절단하는 비맨드렐 블록 마스크에 대한 비맨드렐 블록 마스크 레이아웃을, 상기 맨드렐 마스크 레이아웃에 기초하여 생성하고,
    복수의 제2 블록 패턴 및 복수의 제2 밀도 보조 패턴을 포함하고, 상기 반도체 기판 상에 맨드렐 영역 아래에 형성된 금속 라인을 절단하는 맨드렐 블록 마스크에 대한 맨드렐 블록 마스크 레이아웃을, 상기 맨드렐 마스크 레이아웃에 기초하여 생성하는 것을 포함하고,
    상기 스페이서는, 상기 SAB 패터닝 공정에서, 상기 반도체 기판 상의 상기 복수의 맨드렐 각각의 양측벽 상에 형성되고,
    상기 복수의 제1 블록 패턴 및 상기 복수의 제1 밀도 보조 패턴의 조합은, 상기 비맨드렐 블록 마스크 레이아웃에서 40% 이상의 패턴 밀도를 갖고,
    상기 복수의 제2 블록 패턴 및 상기 복수의 제2 밀도 보조 패턴의 조합은, 상기 맨드렐 블록 마스크 레이아웃에서 40% 이상의 패턴 밀도를 갖는 마스크 레이아웃의 생성 방법.
  19. 제 18항에 있어서,
    상기 비맨드렐 블록 마스크 레이아웃을 생성하는 것은,
    상기 맨드렐 마스크 레이아웃 내에 상기 복수의 맨드렐 패턴을 위치시키고,
    상기 맨드렐 마스크 레이아웃의 상기 복수의 맨드렐 패턴과 중첩되도록, 상기 비맨드렐 블록 마스크 레이아웃에 상기 복수의 제1 밀도 보조 패턴을 생성하고,
    상기 복수의 제1 밀도 보조 패턴은, 상기 비맨드렐 영역 내의 상기 스페이서 사이의 상기 갭에 대응되는 영역과 중첩되지 않는 마스크 레이아웃의 생성 방법.
  20. 제 18항에 있어서,
    상기 맨드렐 블록 마스크 레이아웃을 생성하는 것은,
    상기 맨드렐 마스크 레이아웃 내에 상기 복수의 맨드렐 패턴을 위치시키고,
    상기 맨드렐 마스크 레이아웃의 상기 복수의 맨드렐 패턴과 중첩되지 않도록, 상기 맨드렐 블록 마스크 레이아웃의 상기 복수의 제2 밀도 보조 패턴을 생성하는 것을 포함하는 마스크 레이아웃의 생성 방법.
KR1020170125939A 2016-12-05 2017-09-28 마스크 레이아웃의 생성 방법, 자기 정렬된 블록 패터닝 방법, 및 집적 회로의 제조 방법 KR102196718B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662430056P 2016-12-05 2016-12-05
US62/430,056 2016-12-05
US15/623,862 2017-06-15
US15/623,862 US9941164B1 (en) 2016-12-05 2017-06-15 Self-aligned block patterning with density assist pattern

Publications (2)

Publication Number Publication Date
KR20180064273A KR20180064273A (ko) 2018-06-14
KR102196718B1 true KR102196718B1 (ko) 2020-12-30

Family

ID=61801295

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170125939A KR102196718B1 (ko) 2016-12-05 2017-09-28 마스크 레이아웃의 생성 방법, 자기 정렬된 블록 패터닝 방법, 및 집적 회로의 제조 방법

Country Status (2)

Country Link
US (1) US9941164B1 (ko)
KR (1) KR102196718B1 (ko)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10593549B2 (en) * 2017-02-27 2020-03-17 Imec Vzw Method for defining patterns for conductive paths in a dielectric layer
US10312103B2 (en) * 2017-02-28 2019-06-04 International Business Machines Corporation Alternating hardmasks for tight-pitch line formation
US10103022B2 (en) 2017-03-20 2018-10-16 International Business Machines Corporation Alternating hardmasks for tight-pitch line formation
US10600687B2 (en) * 2017-04-19 2020-03-24 Tokyo Electron Limited Process integration techniques using a carbon layer to form self-aligned structures
TW201921498A (zh) * 2017-09-27 2019-06-01 美商微材料有限責任公司 選擇性氧化鋁蝕刻的使用
CN109920730B (zh) * 2017-12-13 2021-04-20 联华电子股份有限公司 一种图案化方法
US10529586B2 (en) * 2018-05-25 2020-01-07 Nanya Technology Corporation Method of manufacturing semiconductor device
EP3618103A1 (en) * 2018-08-30 2020-03-04 IMEC vzw A patterning method
US11417525B2 (en) * 2018-10-08 2022-08-16 Globalfoundries U.S. Inc. Multiple patterning with mandrel cuts defined by block masks
US11061315B2 (en) * 2018-11-15 2021-07-13 Globalfoundries U.S. Inc. Hybrid optical and EUV lithography
KR20200086147A (ko) 2019-01-08 2020-07-16 삼성전자주식회사 집적 회로 및 그것의 레이아웃 설계 방법
EP3767664A1 (en) * 2019-07-18 2021-01-20 Imec VZW Self-aligned layer patterning
US10998193B1 (en) * 2020-01-22 2021-05-04 International Business Machines Corporation Spacer-assisted lithographic double patterning
US11177160B2 (en) * 2020-03-24 2021-11-16 International Business Machines Corporation Double patterned lithography using spacer assisted cuts for patterning steps
CN112017970B (zh) * 2020-07-24 2022-09-20 中国科学院微电子研究所 自对准金属层的制造方法、半导体器件及电子设备
JP7418306B2 (ja) * 2020-08-11 2024-01-19 東京エレクトロン株式会社 パターン形成方法
US20220189771A1 (en) * 2020-12-10 2022-06-16 Applied Materials, Inc. Underlayer film for semiconductor device formation
US11848209B2 (en) * 2021-02-26 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning semiconductor devices and structures resulting therefrom
KR20220138921A (ko) 2021-04-06 2022-10-14 삼성전자주식회사 반도체 소자의 제조방법
US20230065397A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for manufacturing thereof
US20240087892A1 (en) * 2022-09-09 2024-03-14 Tokyo Electron Limited Double Patterning Method of Patterning a Substrate

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101963755B (zh) * 2009-06-26 2012-12-19 罗门哈斯电子材料有限公司 自对准间隔物多重图形化方法
US9685332B2 (en) * 2014-10-17 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Iterative self-aligned patterning
US9536778B2 (en) 2015-04-06 2017-01-03 Globalfoundries Inc. Self-aligned double patterning process for metal routing

Also Published As

Publication number Publication date
KR20180064273A (ko) 2018-06-14
US9941164B1 (en) 2018-04-10

Similar Documents

Publication Publication Date Title
KR102196718B1 (ko) 마스크 레이아웃의 생성 방법, 자기 정렬된 블록 패터닝 방법, 및 집적 회로의 제조 방법
US10020196B2 (en) Methods of forming etch masks for sub-resolution substrate patterning
US9831117B2 (en) Self-aligned double spacer patterning process
US10103032B2 (en) Methods of forming etch masks for sub-resolution substrate patterning
US11107682B2 (en) Method for patterning a substrate using a layer with multiple materials
US20140083972A1 (en) Pattern forming method
US9437447B2 (en) Method for patterning a substrate for planarization
US10770295B2 (en) Patterning method
US9916986B2 (en) Single or mutli block mask management for spacer height and defect reduction for BEOL
US9564371B2 (en) Method for forming semiconductor device
KR20210139474A (ko) 다중라인 패터닝을 사용하는 기판 공정 방법
JP7362268B2 (ja) 多色自己整合接点の選択的エッチング
CN109983564B (zh) 亚分辨率衬底图案化的方法
US20230118565A1 (en) Hybrid method for forming semiconductor interconnect structure
CN111834213A (zh) 半导体器件及其形成方法
WO2023236103A1 (en) Method of metal integration for fabricating integrated device
TWI845833B (zh) 形成圖案的方法和製造半導體裝置的方法
CN112670168B (zh) 半导体结构的形成方法、晶体管
US20240063018A1 (en) Method of fabricating semiconductor device
TW202322353A (zh) 半導體結構及其形成方法
CN114649206A (zh) 制造半导体器件的方法
CN112687528A (zh) 半导体结构及其形成方法
CN111668091A (zh) 半导体器件及其形成方法

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant