KR102172073B1 - 기판 수납 장치 및 상기 기판 수납 장치를 이용한 기판 처리 장치 - Google Patents

기판 수납 장치 및 상기 기판 수납 장치를 이용한 기판 처리 장치 Download PDF

Info

Publication number
KR102172073B1
KR102172073B1 KR1020180116507A KR20180116507A KR102172073B1 KR 102172073 B1 KR102172073 B1 KR 102172073B1 KR 1020180116507 A KR1020180116507 A KR 1020180116507A KR 20180116507 A KR20180116507 A KR 20180116507A KR 102172073 B1 KR102172073 B1 KR 102172073B1
Authority
KR
South Korea
Prior art keywords
gas
discharge
substrate
purge gas
unit
Prior art date
Application number
KR1020180116507A
Other languages
English (en)
Other versions
KR20200036633A (ko
Inventor
손덕현
김제호
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020180116507A priority Critical patent/KR102172073B1/ko
Priority to CN201910644672.9A priority patent/CN110970334B/zh
Priority to US16/538,067 priority patent/US11631606B2/en
Publication of KR20200036633A publication Critical patent/KR20200036633A/ko
Application granted granted Critical
Publication of KR102172073B1 publication Critical patent/KR102172073B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • H01L21/67393Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67303Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • B08B5/02Cleaning by the force of jets, e.g. blowing-out cavities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67379Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67386Closed carriers characterised by the construction of the closed carrier

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

기판 수납 장치 및 상기 기판 수납 장치를 이용한 기판 처리 장치가 제공된다. 상기 기판 수납 장치는 기판의 출입을 위한 반출입구를 구비하고, 반입된 기판의 적재 공간을 제공하는 하우징과, 상기 하우징에 결합되어 상기 적재 공간을 서로 격리된 복수의 분리 공간으로 분할하는 분리막과, 상기 기판의 세정을 위한 퍼지 가스를 상기 적재 공간으로 공급하는 가스 공급부와, 상기 적재 공간에 수용된 퍼지 가스를 배출하는 가스 배출부, 및 상기 복수의 분리 공간별로 상기 퍼지 가스의 공급 및 상기 퍼지 가스의 배출을 제어하는 제어부를 포함한다.

Description

기판 수납 장치 및 상기 기판 수납 장치를 이용한 기판 처리 장치{Substrate storing apparatus, and apparatus for treating substrate using the same}
본 발명은 기판 수납 장치 및 상기 기판 수납 장치를 이용한 기판 처리 장치에 관한 것이다.
반도체 장치 또는 디스플레이 장치를 제조할 때에는, 사진, 식각, 애싱, 이온주입, 박막증착, 세정 등 다양한 공정이 실시된다. 여기서, 사진공정은 도포, 노광, 그리고 현상 공정을 포함한다. 기판 상에 감광액을 도포하고(즉, 도포 공정), 감광막이 형성된 기판 상에 회로 패턴을 노광하며(즉, 노광 공정), 기판의 노광처리된 영역을 선택적으로 현상한다(즉, 현상 공정).
다양한 공정이 하나의 공정 설비에서 수행될 수 있다. 이를 위하여, 해당 설비는 서로 다른 공정을 수행하는 복수의 공정 모듈을 구비할 수 있다. 공정이 완료된 기판은 설비에서 인출되어 캐리어에 수용된 상태로 다른 공정 설비로 이동될 수 있다.
공정 모듈에서 공정이 진행되면서 기판에 이물질이 부착될 수 있다. 이에, 공정이 완료된 기판은 사이드 스토리지에 적재되어 이물질이 제거된 이후에 캐리어에 수용될 수 있다. 또한, 사이드 스토리지는 공정이 수행되기 이전에 기판을 적재하여 이물질을 제거할 수도 있다.
본 발명이 해결하고자 하는 과제는, 서로 다른 상태를 갖는 기판에 대한 효율적인 이물질 제거를 수행하는 기판 수납 장치 및 상기 기판 수납 장치를 이용한 기판 처리 장치를 제공하는 것이다.
본 발명의 과제들은 이상에서 언급한 과제로 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 과제를 달성하기 위한 본 발명의 기판 수납 장치의 일 면(aspect)은, 기판의 출입을 위한 반출입구를 구비하고, 반입된 기판의 적재 공간을 제공하는 하우징과, 상기 하우징에 결합되어 상기 적재 공간을 서로 격리된 복수의 분리 공간으로 분할하는 분리막과, 상기 기판의 세정을 위한 퍼지 가스를 상기 적재 공간으로 공급하는 가스 공급부와, 상기 적재 공간에 수용된 퍼지 가스를 배출하는 가스 배출부, 및 상기 복수의 분리 공간별로 상기 퍼지 가스의 공급 및 상기 퍼지 가스의 배출을 제어하는 제어부를 포함한다.
상기 분리막은 상기 적재 공간을 상측 공간 및 하측 공간으로 분할한다.
상기 분리막은 상기 하우징에 결합되거나 상기 하우징에서 결합 해제 가능하다.
상기 복수의 분리 공간 각각은 동일한 공정을 거치거나 동일한 상태를 갖는 기판을 수용한다.
상기 가스 공급부 및 상기 가스 배출부는 상기 복수의 분리 공간별로 각각 복수 개가 구비되고, 상기 제어부는 상기 복수의 분리 공간별로 구비된 복수의 가스 공급부 및 복수의 가스 배출부를 개별적으로 제어한다.
상기 제어부는, 상기 복수의 분리 공간 각각이 퍼지 가스로 충진된 상태가 유지되도록 하는 충진 모드, 및 상기 복수의 분리 공간 각각이 배기되도록 하는 배기 모드 중 하나의 모드로 상기 복수의 분리 공간에 대한 가스 흐름이 형성되도록 상기 복수의 가스 공급부 및 상기 복수의 가스 배출부를 제어한다.
상기 제어부는 상기 복수의 분리 공간 각각에 구비된 복수의 가스 공급부의 동작 개수 및 복수의 가스 배출부의 동작 개수를 조절하여 상기 충진 모드 또는 상기 배기 모드를 구현한다.
상기 가스 공급부는 제1 공급부 및 제2 공급부를 포함하고, 상기 제어부는, 상기 충진 모드에서 상기 제1 공급부 및 상기 제2 공급부를 통하여 퍼지 가스가 공급되도록 하고, 상기 배기 모드에서 상기 제2 공급부를 통한 퍼지 가스의 공급을 차단한 상태에서 상기 제1 공급부를 통하여 퍼지 가스가 공급되도록 한다.
상기 제1 공급부는 상기 제2 공급부에 비하여 상기 반출입구에 가깝게 위치된다.
상기 제1 공급부 및 상기 제2 공급부 각각은, 상기 적재 공간으로 공급되는 퍼지 가스의 이송 경로를 제공하는 가스 공급 라인과, 상기 가스 공급 라인을 따라 이송되는 퍼지 가스의 이송량을 조절하는 가스 공급 밸브, 및 상기 가스 공급 라인을 통하여 이송된 퍼지 가스를 상기 적재 공간으로 분사하는 분사 노즐을 포함한다.
상기 가스 배출부는 제1 배출부 및 제2 배출부를 포함하고, 상기 제어부는, 상기 배기 모드에서 상기 제1 배출부 및 상기 제2 배출부를 통하여 퍼지 가스가 배출되도록 하고, 상기 충진 모드에서 상기 제2 배출부를 통한 퍼지 가스의 배출을 차단한 상태에서 상기 제1 배출부를 통하여 퍼지 가스가 배출되도록 한다.
상기 제1 배출부는 상기 제2 배출부에 비하여 상기 반출입구에 가깝게 위치된다.
상기 제1 배출부 및 상기 제2 배출부 각각은, 상기 적재 공간에서 배출되는 퍼지 가스의 이송 경로를 제공하는 가스 배출 라인과, 상기 가스 배출 라인을 따라 이송되는 퍼지 가스의 이송량을 조절하는 가스 배출 밸브, 및 상기 적재 공간에 수용된 퍼지 가스를 수집하여 상기 가스 배출 라인으로 가이드하는 배출구를 포함한다.
상기 가스 배출부는 제3 배출부를 더 포함하되, 상기 제1 배출부 및 상기 제2 배출부의 배출구는 상기 분리 공간의 상면 또는 하면에 배치되고, 상기 제3 배출부의 배출구는 상기 분리 공간의 후면에 배치된다.
본 발명의 기판 처리 장치의 일 면(aspect)은, 적어도 하나의 공정 유닛을 구비하여 입력된 기판에 대한 제조 공정을 수행하는 공정 모듈과, 상기 공정 모듈로 기판을 삽입하고, 상기 공정 모듈에서 제조 공정이 완료된 기판을 인출하는 인덱스 모듈, 및 상기 공정 모듈로 삽입되는 기판 또는 상기 공정 모듈에서 인출된 기판을 적재하여 세정 작업을 수행하는 기판 수납 장치를 포함하되, 상기 기판 수납 장치는 서로 다른 상태를 갖는 기판을 분리하여 적재하기 위한 복수의 분리 공간을 포함한다.
상기 기판 수납 장치는, 상기 기판의 적재 공간을 제공하는 하우징, 및 상기 하우징에 결합되어 상기 적재 공간을 상기 복수의 분리 공간으로 분할하는 분리막을 포함한다.
상기 분리막은 상기 적재 공간을 상측 공간 및 하측 공간으로 분할한다.
상기 분리막은 상기 하우징에 결합되거나 상기 하우징에서 결합 해제 가능하다.
상기 복수의 분리 공간 각각은 동일한 공정을 거치거나 동일한 상태를 갖는 기판을 수용한다.
상기 기판 수납 장치는, 상기 기판의 세정을 위한 퍼지 가스를 상기 적재 공간으로 공급하는 가스 공급부와, 상기 적재 공간에 수용된 퍼지 가스를 배출하는 가스 배출부, 및 상기 복수의 분리 공간별로 상기 퍼지 가스의 공급 및 상기 퍼지 가스의 배출을 제어하는 제어부를 포함한다.
상기 제어부는, 상기 복수의 분리 공간 각각이 퍼지 가스로 충진된 상태가 유지되도록 하는 충진 모드, 및 상기 복수의 분리 공간 각각이 배기되도록 하는 배기 모드 중 하나의 모드로 상기 복수의 분리 공간에 대한 가스 흐름이 형성되도록 상기 복수의 가스 공급부 및 상기 복수의 가스 배출부를 제어한다.
상기 기판 수납 장치는 사이드 스토리지(side storage)를 포함한다.
기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
도 1은 본 발명의 실시예에 따른 기판 처리 장치를 나타낸 도면이다.
도 2는 본 발명의 실시예에 따른 기판 수납 장치를 나타낸 도면이다.
도 3 및 도 4는 본 발명의 실시예에 따른 기판 수납 장치의 하우징을 나타낸 도면이다.
도 5는 본 발명의 실시예에 따른 기판 수납 장치의 평면도이다.
도 6은 본 발명의 실시예에 따른 기판 수납 장치의 하우징에 가스 공급부가 설치된 것을 나타낸 도면이다.
도 7은 본 발명의 실시예에 따른 가스 공급부에 의하여 퍼지 가스가 분사되는 것을 나타낸 도면이다.
도 8은 본 발명의 실시예에 따른 분리막의 평면도이다.
도 9는 본 발명의 실시예에 따른 기판 수납 장치의 하우징에 가스 배출부가 설치된 것을 나타낸 도면이다.
도 10 내지 도 13은 본 발명의 실시예에 따른 기판 수납 장치의 퍼지 가스 이동을 나타낸 도면이다.
이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명한다. 본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시 예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 게시되는 실시 예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 단지 본 실시 예들은 본 발명의 게시가 완전하도록 하고, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.
소자(elements) 또는 층이 다른 소자 또는 층의 "위(on)" 또는 "상(on)"으로 지칭되는 것은 다른 소자 또는 층의 바로 위뿐만 아니라 중간에 다른 층 또는 다른 소자를 개재한 경우를 모두 포함한다. 반면, 소자가 "직접 위(directly on)" 또는 "바로 위"로 지칭되는 것은 중간에 다른 소자 또는 층을 개재하지 않은 것을 나타낸다.
공간적으로 상대적인 용어인 "아래(below)", "아래(beneath)", "하부(lower)", "위(above)", "상부(upper)" 등은 도면에 도시되어 있는 바와 같이 하나의 소자 또는 구성 요소들과 다른 소자 또는 구성 요소들과의 상관관계를 용이하게 기술하기 위해 사용될 수 있다. 공간적으로 상대적인 용어는 도면에 도시되어 있는 방향에 더하여 사용시 또는 동작시 소자의 서로 다른 방향을 포함하는 용어로 이해되어야 한다. 예를 들면, 도면에 도시되어 있는 소자를 뒤집을 경우, 다른 소자의 "아래(below)" 또는 "아래(beneath)"로 기술된 소자는 다른 소자의 "위(above)"에 놓여질 수 있다. 따라서, 예시적인 용어인 "아래"는 아래와 위의 방향을 모두 포함할 수 있다. 소자는 다른 방향으로도 배향될 수 있고, 이에 따라 공간적으로 상대적인 용어들은 배향에 따라 해석될 수 있다.
비록 제1, 제2 등이 다양한 소자, 구성요소 및/또는 섹션들을 서술하기 위해서 사용되나, 이들 소자, 구성요소 및/또는 섹션들은 이들 용어에 의해 제한되지 않음은 물론이다. 이들 용어들은 단지 하나의 소자, 구성요소 또는 섹션들을 다른 소자, 구성요소 또는 섹션들과 구별하기 위하여 사용하는 것이다. 따라서, 이하에서 언급되는 제1 소자, 제1 구성요소 또는 제1 섹션은 본 발명의 기술적 사상 내에서 제2 소자, 제2 구성요소 또는 제2 섹션일 수도 있음은 물론이다.
본 명세서에서 사용된 용어는 실시예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 "포함한다(comprises)" 및/또는 "포함하는(comprising)"은 언급된 구성요소, 단계, 동작 및/또는 소자는 하나 이상의 다른 구성요소, 단계, 동작 및/또는 소자의 존재 또는 추가를 배제하지 않는다.
다른 정의가 없다면, 본 명세서에서 사용되는 모든 용어(기술 및 과학적 용어를 포함)는 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 공통적으로 이해될 수 있는 의미로 사용될 수 있을 것이다. 또 일반적으로 사용되는 사전에 정의되어 있는 용어들은 명백하게 특별히 정의되어 있지 않는 한 이상적으로 또는 과도하게 해석되지 않는다.
이하, 첨부한 도면들을 참조하여 본 발명의 실시예들을 상세히 설명하기로 하며, 첨부 도면을 참조하여 설명함에 있어 도면 부호에 상관없이 동일하거나 대응하는 구성 요소는 동일한 참조번호를 부여하고 이에 대한 중복되는 설명은 생략하기로 한다.
도 1은 본 발명의 실시예에 따른 기판 처리 장치를 나타낸 도면이다.
도 1을 참조하면, 기판 처리 장치(10)는 인덱스 모듈(11), 로딩 모듈(12) 및 공정 모듈(13)을 포함하여 구성된다.
인덱스 모듈(11), 로딩 모듈(12) 및 공정 모듈(13)은 일렬로 배치될 수 있다. 이하, 인덱스 모듈(11), 로딩 모듈(12) 및 공정 모듈(13)이 배열된 방향을 제1 방향(X)이라 하고, 상부에서 바라볼 때 제1 방향(X)에 수직한 방향을 제2 방향(Y)이라 하며, 제1 방향(X)과 제2 방향(Y)을 포함한 평면에 수직인 방향을 제3 방향(Z)이라 한다.
인덱스 모듈(11)은 공정 모듈(13)로 기판을 삽입하고, 공정 모듈(13)에서 제조 공정이 완료된 기판을 인출하는 역할을 수행한다. 인덱스 모듈(11)과 공정 모듈(13)의 사이에는 로딩 모듈(12)이 구비될 수 있다. 인덱스 모듈(11)은 로딩 모듈(12)을 통하여 공정 모듈(13)로 기판을 삽입하거나 로딩 모듈(12)에서 기판을 인출할 수 있다.
인덱스 모듈(11)은 기판이 수납된 캐리어(110)로부터 기판을 로딩 모듈(12)로 이송시키고, 로딩 모듈(12)에서 배출되는 기판을 캐리어(110)에 수납시킬 수 있다. 인덱스 모듈(11)은 로드 포트(100), 이송 프레임(200) 및 기판 수납 장치(300)를 포함할 수 있다.
로드 포트(100)에는 캐리어(110)가 안착될 수 있다. 캐리어(110)에는 복수의 기판이 수납될 수 있다. 로드 포트(100)는 복수 개가 제공될 수 있으며, 복수의 로드 포트(100)는 제2 방향(Y)으로 일렬로 배치될 수 있다.
캐리어(110)는 기판의 가장자리를 지지하는 슬롯(미도시)을 구비할 수 있다. 슬롯은 제3 방향(Z)을 따라 복수 개가 구비될 수 있다. 이에, 복수의 기판이 제3 방향(Z)을 따라 서로 이격된 상태로 캐리어(110)의 내부에서 적층될 수 있다. 캐리어(110)로는 전면 개방 일체형 포드(Front Opening Unified Pod; FOUP)가 이용될 수 있다.
이송 프레임(200)은 캐리어(110), 기판 수납 장치(300) 및 로딩 모듈(12) 간에 기판을 이송시키는 역할을 수행한다. 이송 프레임(200)은 인덱스 레일(210) 및 인덱스 로봇(400)을 포함할 수 있다.
인덱스 레일(210)은 제2 방향(Y)에 평행하게 길게 배치될 수 있다. 인덱스 로봇(400)은 인덱스 레일(210)상에 설치되며, 인덱스 레일(210)을 따라 제2 방향(Y)으로 이동할 수 있다. 인덱스 로봇(400)은 인덱스 베이스(410), 인덱스 몸체(420) 및 인덱스 암(430)을 포함할 수 있다. 인덱스 베이스(410)는 인덱스 레일(210)을 따라 이동 가능하도록 인덱스 레일(210)에 설치될 수 있다. 인덱스 몸체(420)는 인덱스 베이스(410)에 결합하여 인덱스 베이스(410)와 함께 인덱스 레일(210)을 따라 이동할 수 있다. 또한, 인덱스 몸체(420)는 인덱스 베이스(410)에 대하여 제3 방향(Z)을 따라 이동할 수 있으며, 제3 방향(Z)에 평행한 회전축을 중심으로 회전할 수도 있다.
인덱스 암(430)은 직접적으로 기판을 지지하여 기판을 이송시키는 역할을 수행한다. 이를 위하여, 인덱스 암(430)은 상호 간의 각도 조절 또는 길이 조절이 가능한 복수의 관절을 포함할 수 있다. 도 1은 하나의 인덱스 암(430)이 인덱스 몸체(420)에 구비된 것을 도시하고 있으나 복수의 인덱스 암(430)이 인덱스 몸체(420)에 구비될 수도 있다. 복수의 인덱스 암(430)이 구비된 경우 복수의 인덱스 암(430) 중 일부는 로딩 모듈(12)에서 캐리어(110)로 기판을 이송시킬 때 이용되고, 다른 일부는 캐리어(110)에서 로딩 모듈(12)로 기판을 이송시킬 때 이용될 수 있다. 복수의 인덱스 암(430)이 서로 구별된 이송 작업을 수행함에 따라 공정 전의 기판에서 발생된 파티클이 공정 후의 기판에 부착되는 것이 방지될 수 있다.
기판 수납 장치(300)는 공정 모듈(13)에서 처리된 기판을 임시로 보관하는 역할을 수행한다. 또한, 기판 수납 장치(300)는 기판에 대한 세정 작업을 수행할 수 있다. 세정 작업은 기판의 표면에 부착되어 있는 퓸을 제거하는 작업과 기판을 건조시키는 작업을 포함할 수 있다.
기판 수납 장치(300)는 복수 개가 구비될 수 있다. 예를 들어, 기판 수납 장치(300)는 2개가 구비되어 이송 프레임(200)의 양측에 배치될 수 있다. 또는, 기판 수납 장치(300)는 이송 프레임(200)의 일측에 1개만 배치될 수도 있다.
로딩 모듈(12)은 공정 모듈(13)의 이송 챔버(600)와 이송 프레임(200)의 사이에 배치될 수 있다. 로딩 모듈(12)은 이송 챔버(600)와 이송 프레임(200) 간에 기판이 이송되기 전에 기판이 머무르는 공간을 제공할 수 있다. 로딩 모듈(12)은 로드락 챔버(510) 및 언로드락 챔버(520)를 포함할 수 있다. 로드락 챔버(510) 및 언로드락 챔버(520)는 그 내부 공간의 분위기가 진공 분위기 또는 상압 분위기로 전환 가능하도록 제공될 수 있다.
로드락 챔버(510)는 인덱스 모듈(11)에서 공정 모듈(13)로 이송되는 기판을 임시로 수용하는 역할을 수행한다. 로드락 챔버(510)를 사이에 두고 인덱스 모듈(11) 및 공정 모듈(13)에는 도어(221, 611)가 구비될 수 있다. 이하, 인덱스 모듈(11)에 구비된 도어를 제1 인덱스 도어(221)라 하고, 공정 모듈(13)에 구비된 도어를 제1 이송 도어(611)라 한다.
로드락 챔버(510)에 기판이 반입되면 제1 인덱스 도어(221) 및 제1 이송 도어(611)가 닫히고 로드락 챔버(510)의 내부 공간은 인덱스 모듈(11) 및 공정 모듈(13)에 대해 밀폐될 수 있다. 밀폐된 이후에 로드락 챔버(510)의 내부 공간은 상압 분위기에서 진공 분위기로 전환될 수 있다. 그리고, 제1 인덱스 도어(221)가 닫힌 상태에서 제1 이송 도어(611)가 열리면서 로드락 챔버(510)의 기판은 반출되어 이송 챔버(600)로 이송될 수 있다.
언로드락 챔버(520)는 공정 모듈(13)에서 인덱스 모듈(11)로 이송되는 기판을 임시로 수용하는 역할을 수행한다. 언로드락 챔버(520)를 사이에 두고 인덱스 모듈(11) 및 공정 모듈(13)에는 도어(222, 612)가 구비될 수 있다. 이하, 인덱스 모듈(11)에 구비된 도어를 제2 인덱스 도어(222)라 하고, 공정 모듈(13)에 구비된 도어를 제2 이송 도어(612)라 한다.
언로드락 챔버(520)에 기판이 반입되면 제2 인덱스 도어(222) 및 제2 이송 도어 제2 이송 도어(612)가 닫히고 언로드락 챔버(520)의 내부 공간은 인덱스 모듈(11) 및 공정 모듈(13)에 대해 밀폐될 수 있다. 밀폐된 이후에 언로드락 챔버(520)의 내부 공간은 진공 분위기에서 상압 분위기로 전환될 수 있다. 그리고, 제2 이송 도어 제2 이송 도어(612)가 닫힌 상태에서 제2 인덱스 도어(222)가 열리면서 언로드락 챔버(520)의 기판은 반출되어 인덱스 모듈(11)로 이송될 수 있다.
공정 모듈(13)은 이송 챔버(600) 및 공정 유닛(700)을 포함할 수 있다. 공정 유닛(700)은 복수 개가 구비될 수 있다.
이송 챔버(600)는 로드락 챔버(510), 언로드락 챔버(520) 및 공정 유닛(700) 간의 기판 중계를 위한 공간을 제공할 수 있다. 이를 위하여, 로드락 챔버(510), 언로드락 챔버(520) 및 공정 유닛(700)은 이송 챔버(600)의 가장자리를 따라 배치될 수 있다. 도 1은 오각형의 형상을 갖는 이송 챔버(600)의 가장자리를 따라 로드락 챔버(510), 언로드락 챔버(520) 및 3개의 공정 유닛(700)이 배치된 것을 도시하고 있다. 본 발명의 이송 챔버(600)의 형상이 오각형에 한정되는 것은 아니며, 이송 챔버(600)의 형상은 공정 유닛(700)의 수에 따라 달라질 수 있다.
이송 챔버(600)의 내부에는 이송 로봇(800)이 구비될 수 있다. 이송 로봇(800)은 로드락 챔버(510), 언로드락 챔버(520) 및 공정 유닛(700) 간에 기판을 이송시키는 역할을 수행한다. 예를 들어, 이송 로봇(800)은 로드락 챔버(510)에서 반출된 기판을 이동시켜 공정 유닛(700)에 반입시키고, 공정 유닛(700)에서 반출된 기판을 이동시켜 언로드락 챔버(520)에 반입시킬 수 있다.
이송 로봇(800)은 이송 베이스(810), 이송 몸체(820) 및 이송 암(830)을 포함할 수 있다. 이송 베이스(810)는 이송 챔버(600)의 중심에 배치될 수 있다. 이송 몸체(820)는 이송 베이스(810)에 대하여 제3 방향(Z)을 따라 이동할 수 있으며, 제3 방향(Z)에 평행한 회전축을 중심으로 회전할 수도 있다.
이송 암(830)은 직접적으로 기판을 지지하여 기판을 이송시키는 역할을 수행한다. 이를 위하여, 이송 암(830)은 상호 간의 각도 조절 또는 길이 조절이 가능한 복수의 관절을 포함할 수 있다. 이에, 이송 암(830)은 제1 방향(X) 및 제2 방향(Y)을 포함하는 평면에 평행한 이동을 수행할 수 있다. 도 1은 하나의 이송 암(830)이 이송 몸체(820)에 구비된 것을 도시하고 있으나 복수의 이송 암(830)이 이송 몸체(820)에 구비될 수도 있다. 복수의 이송 암(830)이 구비된 경우 복수의 이송 암(830) 중 일부는 로드락 챔버(510)에서 공정 유닛(700)으로 기판을 이송시킬 때 이용되고, 다른 일부는 공정 유닛(700)에서 언로드락 챔버(520)로 기판을 이송시킬 때 이용될 수 있다.
공정 유닛(700)은 기판에 대한 특정 작업을 수행할 수 있다. 예를 들어, 공정 유닛(700)은 기판에 대한 식각 또는 증착 처리를 수행할 수 있다. 공정 모듈(13)은 복수의 공정 유닛(700)을 포함할 수 있으며, 각 공정 유닛(700)별로 동일하거나 서로 다른 작업을 수행할 수 있다.
도 2는 본 발명의 실시예에 따른 기판 수납 장치를 나타낸 도면이고, 도 3 및 도 4는 본 발명의 실시예에 따른 기판 수납 장치의 하우징을 나타낸 도면이다.
도 2 내지 도 4를 참조하면, 기판 수납 장치(300)는 하우징(1100), 분리막(1200), 가스 공급부(1310a, 1310b, 1320a, 1320b), 가스 배출부(1410a, 1410b, 1420a, 1420b, 1430a, 1430b) 및 제어부(1500)를 포함하여 구성된다.
하우징(1100)은 반입된 기판의 적재 공간(S1, S2)을 제공하는 역할을 수행한다. 하우징(1100)은 제3 방향(Z)으로 긴 형상을 가질 수 있다. 적재 공간(S1, S2)으로 반입된 복수의 기판은 제3 방향(Z)으로 적재될 수 있다.
기판의 출입을 위하여 하우징(1100)은 일면이 개방된 반출입구(OP)를 구비할 수 있다. 반출입구(OP)는 이송 프레임(200)에 마주하는 방향으로 형성될 수 있다. 반출입구(OP)를 통하여 하우징(1100)의 적재 공간(S1, S2)과 이송 프레임(200) 간의 기판 이송이 수행될 수 있다.
하우징(1100)의 내부에는 기판을 지지하는 지지부(1110)가 구비될 수 있다. 지지부(1110)는 하우징(1100)의 내부의 서로 마주보는 양측면에 구비될 수 있다. 반출입구(OP)에서 하우징(1100)의 내부를 바라볼 때 하우징(1100)의 왼쪽 내측면 및 오른쪽 내측면에 지지부(1110)가 구비될 수 있는 것이다. 또한, 하우징(1100)의 뒤쪽 내측면에 지지부(1110)가 구비될 수도 있다.
지지부(1110)는 복수 개가 일정 간격을 두고 상하 방향으로 나열될 수 있다. 또한, 일측 내측면의 특정 높이에 배치된 지지부(1110)는 다른 내측면의 동일 높이에 배치된 지지부(1110)를 가질 수 있다. 즉, 적재 공간(S1, S2)에 반입된 기판은 동일 높이를 갖는 복수의 지지부(1110)에 의하여 지지될 수 있는 것이다. 또한, 상하 방향으로 나열된 지지부(1110)간의 간격은 동일할 수 있다. 이에, 하우징(1100)에 반입된 기판은 동일한 간격으로 적재될 수 있다.
분리막(1200)은 하우징(1100)에 결합되어 적재 공간(S1, S2)을 서로 격리된 복수의 분리 공간(S1, S2)으로 분할하는 역할을 수행한다. 분리막(1200)은 넓은 면이 제1 방향(X) 및 제2 방향(Y)을 포함하는 평면에 평행하도록 제공되어 하우징(1100)에 결합될 수 있다. 분리막(1200)이 하우징(1100)에 결합됨에 따라 적재 공간(S1, S2)은 상측 공간(S1) 및 하측 공간(S2)으로 분할될 수 있다.
분리막(1200)은 하우징(1100)에 결합되거나 하우징(1100)에서 결합 해제될 수 있다. 사용자는 작업 환경을 고려하여 하우징(1100)에 분리막(1200)을 결합시키거나 하우징(1100)에서 분리막(1200)을 제거할 수 있다. 또한, 하우징(1100)에 결합되는 분리막(1200)의 결합 위치에 따라 상측 공간(S1) 및 하측 공간(S2)의 크기가 달라질 수 있다. 사용자는 작업 환경을 고려하여 분리막(1200)의 결합 위치를 결정할 수 있다. 또는, 하우징(1100)과 분리막(1200)은 일체형으로 제공될 수도 있다.
분리막(1200)의 가장자리와 하우징(1100)의 내측면은 견고하게 밀착할 수 있다. 분리막(1200)의 가장자리와 하우징(1100)의 내측면 간에 틈이 제거될 수 있는 것이다. 이에 따라, 하우징(1100)의 상측 공간(S1)과 하측 공간(S2) 간의 가스 이동이 방지될 수 있다.
가스 공급부(1310a, 1310b, 1320a, 1320b)는 세정 작업을 위한 퍼지 가스를 적재 공간(S1, S2)으로 공급하는 역할을 수행한다. 가스 배출부(1410a, 1410b, 1420a, 1420b, 1430a, 1430b)는 적재 공간(S1, S2)에 수용된 퍼지 가스를 배출하는 역할을 수행한다. 적재 공간(S1, S2)으로 공급된 퍼지 가스에 의하여 기판이 건조되거나 기판에서 퓸이 분리될 수 있다. 공급된 퍼지 가스와 기판에서 분리된 퓸은 가스 배출부(1410a, 1410b, 1420a, 1420b, 1430a, 1430b)를 통하여 적재 공간(S1, S2)에서 배출될 수 있다.
가스 공급부(1310a, 1310b, 1320a, 1320b) 및 가스 배출부(1410a, 1410b, 1420a, 1420b, 1430a, 1430b)는 복수의 분리 공간(S1, S2)별로 각각 복수 개가 구비될 수 있다. 즉, 하나의 분리 공간(S1, S2)에 대하여 복수의 가스 공급부(1310a, 1310b, 1320a, 1320b) 및 복수의 가스 배출부(1410a, 1410b, 1420a, 1420b, 1430a, 1430b)가 구비되고, 다른 하나의 분리 공간(S1, S2)에 대하여 복수의 가스 공급부(1310a, 1310b, 1320a, 1320b) 및 복수의 가스 배출부(1410a, 1410b, 1420a, 1420b, 1430a, 1430b)가 구비될 수 있는 것이다.
이하, 가스 공급부(1310a, 1310b, 1320a, 1320b)가 제1 공급부(1310a, 1310b) 및 제2 공급부(1320a, 1320b)를 포함하고, 가스 배출부(1410a, 1410b, 1420a, 1420b, 1430a, 1430b)가 제1 배출부(1410a, 1410b), 제2 배출부(1420a, 1420b) 및 제3 배출부(1430a, 1430b)를 포함하는 것을 위주로 설명하기로 한다. 제1 공급부(1310a, 1310b)는 제2 공급부(1320a, 1320b)에 비하여 반출입구(OP)에 가깝게 위치되고, 제1 배출부(1410a, 1410b)는 제2 배출부(1420a, 1420b)에 비하여 반출입구(OP)에 가깝게 위치될 수 있다.
제1 공급부(1310a, 1310b) 및 제2 공급부(1320a, 1320b)는 적재 공간(S1, S2)에 퍼지 가스를 공급할 수 있다. 본 발명에서 퍼지 가스는 비활성 가스이거나 에어일 수 있다. 제1 공급부(1310a, 1310b) 및 제2 공급부(1320a, 1320b)에 의하여 공급된 퍼지 가스에 의하여 적재 공간(S1, S2)은 양압을 갖게 되고, 기판에 부착된 퓸이 기판에서 분리될 수 있다. 또한, 적재 공간(S1, S2)이 양압을 가짐에 따라 외부의 이물질이 반출입구(OP)를 통하여 적재 공간(S1, S2)으로 유입되는 것이 방지될 수 있다.
제1 배출부(1410a, 1410b) 및 제2 배출부(1420a, 1420b)는 적재 공간(S1, S2)에 수용된 가스를 외부로 배출하는 역할을 수행한다. 적재 공간(S1, S2)에 수용된 퍼지 가스 및 퓸은 제1 배출부(1410a, 1410b) 및 제2 배출부(1420a, 1420b)를 통하여 외부로 배출될 수 있다.
제1 공급부(1310a, 1310b) 및 제2 공급부(1320a, 1320b) 각각은 가스 공급 라인(1311a, 1311b, 1321a, 1321b), 가스 공급 밸브(1312a, 1312b, 1322a, 1322b) 및 분사 노즐(1313a, 1313b, 1323a, 1323b)을 포함할 수 있다.
가스 공급 라인(1311a, 1311b, 1321a, 1321b)은 적재 공간(S1, S2)으로 공급되는 퍼지 가스의 이송 경로를 제공할 수 있다. 가스 공급 밸브(1312a, 1312b, 1322a, 1322b)는 가스 공급 라인(1311a, 1311b, 1321a, 1321b)을 따라 이송되는 퍼지 가스의 이송량을 조절하는 역할을 수행한다. 가스 공급 밸브(1312a, 1312b, 1322a, 1322b)는 제어부(1500)에 의하여 제어될 수 있다.
분사 노즐(1313a, 1313b, 1323a, 1323b)은 가스 공급 라인(1311a, 1311b, 1321a, 1321b)을 통하여 이송된 퍼지 가스를 적재 공간(S1, S2)으로 분사하는 역할을 수행한다.
제1 공급부(1310a, 1310b) 및 제2 공급부(1320a, 1320b)는 분리 공간(S1, S2)별로 구비될 수 있다. 이하, 상측 공간(S1)에 대하여 구비된 제1 공급부(1310a) 및 제2 공급부(1320a)를 상측 제1 공급부(1310a) 및 상측 제2 공급부(1320a)라 하고, 하측 공간(S2)에 대하여 구비된 제1 공급부(1310b) 및 제2 공급부(1320b)를 하측 제1 공급부(1310b) 및 하측 제2 공급부(1320b)라 한다.
제1 배출부(1410a, 1410b) 및 제2 배출부(1420a, 1420b) 각각은 가스 배출 라인(1411a, 1411b, 1421a, 1421b), 가스 배출 밸브(1412a, 1412b, 1422a, 1422b) 및 배출구(1413a, 1413b, 1423a, 1423b)를 포함할 수 있다.
가스 배출 라인(1411a, 1411b, 1421a, 1421b)은 적재 공간(S1, S2)에서 배출되는 퍼지 가스의 이송 경로를 제공할 수 있다. 가스 배출 밸브(1412a, 1412b, 1422a, 1422b)는 가스 배출 라인(1411a, 1411b, 1421a, 1421b)을 따라 이송되는 퍼지 가스의 이송량을 조절하는 역할을 수행한다. 가스 배출 밸브(1412a, 1412b, 1422a, 1422b)는 제어부(1500)에 의하여 제어될 수 있다.
배출구(1413a, 1413b, 1423a, 1423b)는 적재 공간(S1, S2)에 수용된 퍼지 가스를 수집하여 가스 배출 라인(1411a, 1411b, 1421a, 1421b)으로 가이드하는 역할을 수행한다.
가스 배출 라인(1411a, 1411b, 1421a, 1421b)에는 가압 펌프(1600)가 연결될 수 있다. 가압 펌프(1600)는 가스 배출 라인(1411a, 1411b, 1421a, 1421b)을 통하여 이송되는 가스를 가압할 수 있다. 가압 펌프(1600)에 의하여 퍼지 가스와 퓸의 이송이 보다 원활하게 수행될 수 있다.
제1 배출부(1410a, 1410b) 및 제2 배출부(1420a, 1420b)는 분리 공간(S1, S2)별로 구비될 수 있다. 이하, 상측 공간(S1)에 대하여 구비된 제1 배출부(1410a) 및 제2 배출부(1420a)를 상측 제1 배출부(1410a) 및 상측 제2 배출부(1420a)라 하고, 하측 공간(S2)에 대하여 구비된 제1 배출부(1410b) 및 제2 배출부(1420b)를 하측 제1 배출부(1410b) 및 하측 제2 배출부(1420b)라 한다.
가스 배출부(1410a, 1410b, 1420a, 1420b, 1430a, 1430b)는 제3 배출부(1430a, 1430b)를 포함할 수 있다. 제1 배출부(1410a, 1410b) 및 제2 배출부(1420a, 1420b)와 마찬가지로, 제3 배출부(1430a, 1430b)는 가스 배출 라인(1431a, 1431b), 가스 배출 밸브(1432a, 1432b) 및 배출구(1433a, 1433b)를 포함할 수 있다.
제3 배출부(1430a, 1430b)는 수평 방향으로의 배기를 구현하는 역할을 수행한다. 제3 배출부(1430a, 1430b)의 배출구(1433a, 1433b)는 하우징(1100)의 후면에 구비되는데, 공급된 퍼지 가스가 제3 배출부(1430a, 1430b)를 통하여 배출됨에 따라 퍼지 가스가 수평 방향으로 이동하면서 퓸의 제거가 수행될 수 있다.
제3 배출부(1430a, 1430b)의 동작은 선택적으로 수행될 수 있다. 예를 들어, 제1 배출부(1410a, 1410b) 및 제2 배출부(1420a, 1420b)만이 동작하거나, 제1 배출부(1410a, 1410b) 및 제2 배출부(1420a, 1420b)와 함께 제3 배출부(1430a, 1430b)가 동작하거나, 제1 배출부(1410a, 1410b) 및 제2 배출부(1420a, 1420b)의 동작이 해제된 상태에서 제3 배출부(1430a, 1430b)만이 동작할 수도 있다. 제3 배출부(1430a, 1430b)의 동작 여부는 분리 공간(S1, S2)에 적재된 기판의 상태에 따라 결정될 수 있다.
제어부(1500)는 복수의 분리 공간(S1, S2)별로 퍼지 가스의 공급 및 퍼지 가스의 배출을 제어하는 역할을 수행한다. 특히, 제어부(1500)는 복수의 분리 공간(S1, S2)별로 구비된 복수의 가스 공급부(1310a, 1310b, 1320a, 1320b) 및 복수의 가스 배출부(1410a, 1410b, 1420a, 1420b, 1430a, 1430b)를 개별적으로 제어할 수 있다.
복수의 분리 공간(S1, S2) 각각은 동일한 공정을 거치거나 동일한 상태를 갖는 기판을 수용할 수 있다. 예를 들어, 캐리어(110)에서 반출된 기판은 공정 유닛(700)을 통한 공정 처리가 수행되지 않은 것으로서 동일한 상태를 가질 수 있다. 또한, 특정 공정 유닛(700)에서 공정 처리가 수행된 기판은 동일한 상태를 가질 수 있다. 이에, 일측 분리 공간(S1, S2)은 공정 처리가 수행되지 않은 기판만을 수용할 수 있고, 다른 일측 분리 공간(S1, S2)은 특정 공정 유닛(700)에서의 공정 처리가 수행된 기판만을 수용할 수 있다.
서로 다른 상태를 갖는 기판에 대한 세정 작업은 각 기판의 상태에 맞게 수행되는 것이 바람직하다. 즉, 공정 처리가 수행되지 않은 기판, 제1 공정 처리가 수행된 기판 및 제2 공정이 수행된 기판에 대한 세정 작업은 서로 상이할 수 있는 것이다.
제어부(1500)에 의하여 복수의 분리 공간(S1, S2)별로 구비된 복수의 가스 공급부(1310a, 1310b, 1320a, 1320b) 및 복수의 가스 배출부(1410a, 1410b, 1420a, 1420b, 1430a, 1430b)가 개별적으로 제어됨에 따라 기판의 상태에 따른 세정 작업이 수행될 수 있게 된다.
구체적으로, 제어부(1500)는 충진 모드 및 배기 모드 중 하나의 작업 모드로 복수의 분리 공간(S1, S2)에 대한 가스 흐름이 형성되도록 복수의 가스 공급부(1310a, 1310b, 1320a, 1320b) 및 복수의 가스 배출부(1410a, 1410b, 1420a, 1420b, 1430a, 1430b)를 제어할 수 있다. 본 발명에서 충진 모드는 복수의 분리 공간(S1, S2) 각각이 퍼지 가스로 충진된 상태가 유지되도록 하는 모드를 나타내고, 배기 모드는 퍼지 가스의 충진이 유지되지 않은 상태에서 복수의 분리 공간(S1, S2) 각각이 배기되도록 하는 모드를 나타낸다.
제어부(1500)는 복수의 분리 공간(S1, S2) 각각에 구비된 복수의 가스 공급부(1310a, 1310b, 1320a, 1320b)의 동작 개수 및 복수의 가스 배출부(1410a, 1410b, 1420a, 1420b, 1430a, 1430b)의 동작 개수를 조절하여 충진 모드 또는 배기 모드를 구현할 수 있다. 예를 들어, 제어부(1500)는 가스 공급부(1310a, 1310b, 1320a, 1320b)의 동작 개수가 가스 배출부(1410a, 1410b, 1420a, 1420b, 1430a, 1430b)의 동작 개수보다 크게 형성되도록 함으로써 충진 모드를 구현하고, 가스 공급부(1310a, 1310b, 1320a, 1320b)의 동작 개수가 가스 배출부(1410a, 1410b, 1420a, 1420b, 1430a, 1430b)의 동작 개수보다 작게 형성되도록 함으로써 배기 모드를 구현할 수 있다.
예를 들어, 제어부(1500)는 충진 모드에서 제1 공급부(1310a, 1310b) 및 제2 공급부(1320a, 1320b)를 통하여 퍼지 가스가 공급되도록 하고, 배기 모드에서 제2 공급부(1320a, 1320b)를 통한 퍼지 가스의 공급을 차단한 상태에서 제1 공급부(1310a, 1310b)를 통하여 퍼지 가스가 공급되도록 할 수 있다. 또한, 제어부(1500)는 배기 모드에서 제1 배출부(1410a, 1410b) 및 제2 배출부(1420a, 1420b)를 통하여 퍼지 가스가 배출되도록 하고, 충진 모드에서 제2 배출부(1420a, 1420b)를 통한 퍼지 가스의 배출을 차단한 상태에서 제1 배출부(1410a, 1410b)를 통하여 퍼지 가스가 배출되도록 할 수 있다. 또한, 제어부(1500)는 선택적으로 배기 모드에서 제3 배출부(1430a, 1430b)를 통하여 퍼지 가스가 배출되도록 할 수 있다.
제어부(1500)는 분리 공간(S1, S2)별로 기판의 상태에 따른 작업 모드를 선택할 수 있으며, 각 분리 공간(S1, S2)에서는 충진 모드 또는 배기 모드에 따른 가스 흐름이 형성되어 세정 작업이 수행될 수 있다.
작업 모드는 기판이 적재 공간(S1, S2)에 적재되기 이전에 기판에 대한 공정 처리를 위해 사용되는 가스의 종류에 따라 결정될 수 있다. 예를 들어, 불소(F), 염소(Cl) 또는 브롬(Br)을 포함하는 가스에 의하여 공정 처리된 기판에 대해서는 배기 모드로 세정 작업이 수행될 수 있다. 한편, 암모니아(NH3)를 포함하는 가스에 의하여 공정 처리된 기판에 대해서는 충전 모드로 세정 작업이 수행될 수 있다.
또는, 작업 모드는 세정 목적에 따라 결정될 수 있다. 예를 들어, 기판에서 퓸을 제거하고자 하는 경우 배기 모드에 따른 세정 작업이 수행되고, 기판을 건조하고자 하는 경우 충진 모드에 따른 세정 작업이 수행될 수 있다. 기판 수납 장치(300)가 충진 모드로 동작하는 경우 적재 공간(S1, S2) 내의 수증기가 제거됨으로써 기판에 존재하는 이온 성분과 수증기 간의 반응이 억제될 수 있다.
하우징(1100)은 적재 공간(S1, S2)을 가열하는 히터(미도시)를 구비할 수 있다. 히터는 세정 작업이 수행되는 동안에 적재 공간(S1, S2)을 가열할 수 있다. 히터는 배기 모드에 비하여 충진 모드에서 더욱 높은 온도로 적재 공간(S1, S2)을 가열할 수 있다. 더욱 높은 온도로 가열됨에 따라 적재 공간(S1, S2)에서의 수증기 제거 효율이 향상될 수 있다.
이하, 도 5 내지 도 9를 통하여 기판 수납 장치(300)의 세부적인 구조를 설명하기로 한다.
도 5는 본 발명의 실시예에 따른 기판 수납 장치의 평면도이고, 도 6은 본 발명의 실시예에 따른 기판 수납 장치의 하우징에 가스 공급부가 설치된 것을 나타낸 도면이고, 도 7은 본 발명의 실시예에 따른 가스 공급부에 의하여 퍼지 가스가 분사되는 것을 나타낸 도면이고, 도 8은 본 발명의 실시예에 따른 분리막의 평면도이며, 도 9는 본 발명의 실시예에 따른 기판 수납 장치의 하우징에 가스 배출부가 설치된 것을 나타낸 도면이다.
도 5 내지 도 7을 참조하면, 가스 공급부(1310a, 1310b, 1320a, 1320b)의 분사 노즐(1313a, 1313b, 1323a, 1323b)은 적재 공간(S1, S2)의 내측을 향하여 퍼지 가스를 분사하도록 배치될 수 있다.
가스 공급 라인(1311a, 1311b, 1321a, 1321b)은 적재 공간(S1, S2)의 내부에서 상하 방향으로 길게 배치되고, 복수의 분사 노즐(1313a, 1313b, 1323a, 1323b)이 가스 공급 라인(1311a, 1311b, 1321a, 1321b)을 따라 배치될 수 있다. 제1 공급부(1310a, 1310b)의 가스 공급 라인(1311a, 1311b) 및 분사 노즐(1313a, 1313b)은 반출입구(OP)에 인접하여 배치되고, 제2 공급부(1320a, 1320b)의 가스 공급 라인(1321a, 1321b) 및 분사 노즐(1323a, 1323b)은 하우징(1100)의 후면에 인접하여 배치될 수 있다. 가스 공급 라인(1311a, 1311b, 1321a, 1321b)은 하우징(1100)의 표면을 따라 배치되어 하우징(1100)의 적재 공간(S1, S2)에 적재되는 기판에 간섭되지 않을 수 있다.
상측 제1 공급부(1310a) 및 상측 제2 공급부(1320a)의 가스 공급 라인(1311a, 1321a) 및 분사 노즐(1313a, 1323a)은 상측 공간(S1)까지 연장되고, 하측 제1 공급부(1310b) 및 하측 제2 공급부(1320b)의 가스 공급 라인(1311b, 1321b) 및 분사 노즐(1313b, 1323b)은 하측 공간(S2)까지 연장될 수 있다. 따라서, 상측 제1 공급부(1310a) 및 상측 제2 공급부(1320a)는 상측 공간(S1)에만 퍼지 가스를 공급할 수 있고, 하측 제1 공급부(1310b) 및 하측 제2 공급부(1320b)는 하측 공간(S2)에만 퍼지 가스를 공급할 수 있다.
제1 공급부(1310a, 1310b)의 분사 노즐(1313a, 1313b)은 반출입구(OP)의 정면에서 바라볼 때, 반출입구(OP)를 기준으로 양측에 배치될 수 있다. 이하, 제1 공급부(1310a, 1310b)의 가스 공급 라인(1311a, 1311b) 및 분사 노즐(1313a, 1313b)을 각각 제1 가스 공급 라인(1311a, 1311b) 및 제1 분사 노즐(1313a, 1313b)이라 한다. 도 7에 도시된 바와 같이, 양측에 배치된 제1 분사 노즐(1313a, 1313b)은 서로 마주하는 방향으로 퍼지 가스를 분사할 수 있다. 이 때, 제1 분사 노즐(1313a, 1313b)은 제2 방향(Y)에 평행한 방향으로 퍼지 가스를 분사할 수 있다. 또한, 제1 분사 노즐(1313a, 1313b)은 반출입구(OP)의 개방면에 평행한 방향으로 퍼지 가스를 분사하거나 반출입구(OP)의 개방면에 대한 예각의 방향으로 퍼지 가스를 분사할 수도 있다.
전술한 바와 같이, 제1 가스 공급 라인(1311a, 1311b)의 장축을 따라 복수의 제1 분사 노즐(1313a, 1313b)이 일정 간격으로 이격되어 배치될 수 있다. 인접한 제1 분사 노즐(1313a, 1313b)간의 간격은 하우징(1100)에 구비된 인접한 지지부(1110)간의 간격과 동일할 수 있다. 또한, 각 제1 분사 노즐(1313a, 1313b)은 대응하는 높이에 배치된 지지부(1110)에 지지되어 있는 기판으로 퍼지 가스를 분사할 수 있다. 이에, 동일 높이에 배치된 2개의 제1 분사 노즐(1313a, 1313b)이 하나의 기판으로 퍼지 가스를 분사할 수 있다.
제2 공급부(1320a, 1320b)의 분사 노즐(1323a, 1323b)은 하우징(1100)의 후면 양측에 배치될 수 있다. 이하, 제2 공급부(1320a, 1320b)의 가스 공급 라인(1321a, 1321b) 및 분사 노즐(1323a, 1323b)을 각각 제2 가스 공급 라인(1321a, 1321b) 및 제2 분사 노즐(1323a, 1323b)이라 한다. 도 7에 도시된 바와 같이, 양측에 배치된 제2 분사 노즐(1323a, 1323b)은 하우징(1100)의 내측 방향으로 퍼지 가스를 분사할 수 있다. 이 때, 제2 분사 노즐(1323a, 1323b)은 제2 방향(Y)에 평행한 방향으로 퍼지 가스를 분사할 수 있다.
전술한 바와 같이, 제2 가스 공급 라인(1321a, 1321b)의 장축을 따라 복수의 제2 분사 노즐(1323a, 1323b)이 일정 간격으로 이격되어 배치될 수 있다. 인접한 제2 분사 노즐(1323a, 1323b)간의 간격은 하우징(1100)에 구비된 인접한 지지부(1110)간의 간격과 동일할 수 있다. 또한, 각 제2 분사 노즐(1323a, 1323b)은 대응하는 높이에 배치된 지지부(1110)에 지지되어 있는 기판으로 퍼지 가스를 분사할 수 있다. 이에, 동일 높이에 배치된 2개의 제2 분사 노즐(1323a, 1323b)이 하나의 기판으로 퍼지 가스를 분사할 수 있다.
도 5, 도 8 및 도 9를 참조하면, 가스 배출부(1410a, 1410b, 1420a, 1420b, 1430a, 1430b)의 배출구(1413a, 1413b, 1423a, 1423b, 1433a, 1433b)는 적재 공간(S1, S2)의 퍼지 가스를 배출하도록 배치될 수 있다.
제1 배출부(1410a, 1410b) 및 제2 배출부(1420a, 1420b)의 배출구(1413a, 1413b, 1423a, 1423b)는 분리 공간(S1, S2)의 상면 또는 하면에 배치될 수 있다. 이를 위하여, 제1 배출부(1410a, 1410b) 및 제2 배출부(1420a, 1420b)의 배출구(1413a, 1413b, 1423a, 1423b)는 하우징(1100)의 천장면 또는 바닥면에 배치되거나, 분리막(1200)의 상부면 또는 하부면에 배치될 수 있다. 하우징(1100)의 천장면에 배치된 배출구는 상측 공간(S1)의 퍼지 가스를 배출시키고, 하우징(1100)의 바닥면에 배치된 배출구는 하측 공간(S2)의 퍼지 가스를 배출시킬 수 있다. 또한, 분리막(1200)의 상부면에 배치된 배출구(1413a, 1423a)는 상측 공간(S1)의 퍼지 가스를 배출시키고, 분리막(1200)의 하부면에 배치된 배출구(1413b, 1423b)는 하측 공간(S2)의 퍼지 가스를 배출시킬 수 있다. 배출구(1413a, 1413b, 1423a, 1423b)를 통하여 퍼지 가스와 함께 기판에서 분리된 퓸이 배출될 수 있다. 이하, 하우징(1100)의 바닥면 및 분리막(1200)의 상부면에 배출구(1413a, 1413b, 1423a, 1423b)가 구비된 것을 위주로 설명하기로 한다.
제1 배출부(1410a, 1410b)의 가스 배출 라인(1411a, 1411b) 및 배출구(1413a, 1413b)는 반출입구(OP)에 인접하여 배치되고, 제2 배출부(1420a, 1420b)의 가스 배출 라인(1421a, 1421b) 및 배출구(1423a, 1423b)는 하우징(1100)의 후면에 인접하여 배치될 수 있다.
상측 제1 배출부(1410a) 및 상측 제2 배출부(1420a)의 가스 배출 라인(1411a, 1421a)은 상측 공간(S1)까지 연장되고, 배출구(1413a, 1423a)는 분리막(1200)의 상부면에 배치될 수 있다. 하측 제1 배출부(1410b) 및 하측 제2 배출부(1420b)의 가스 배출 라인(1411b, 1421b)은 하측 공간(S2)까지 연장되고, 배출구(1413b, 1423b)는 하우징(1100)의 바닥면에 배치될 수 있다. 따라서, 상측 제1 배출부(1410a) 및 상측 제2 배출부(1420a)는 상측 공간(S1)의 퍼지 가스만을 배출시킬 수 있고, 하측 제1 배출부(1410b) 및 하측 제2 배출부(1420b)는 하측 공간(S2)의 퍼지 가스만을 배출시킬 수 있다.
이하, 제1 배출부(1410a, 1410b)의 가스 배출 라인(1411a, 1411b) 및 배출구(1413a, 1413b)를 각각 제1 가스 배출 라인(1411a, 1411b) 및 제1 배출구(1413a, 1413b)라 한다. 제1 배출구(1413a, 1413b)는 복수 개가 구비될 수 있다. 도 5 및 도 8에 도시된 바와 같이, 복수의 제1 배출구(1413a, 1413b)는 반출입구(OP)의 개방면에 평행하게 배치될 수 있다. 즉, 제1 배출구(1413a, 1413b)는 반출입구(OP)의 가장자리를 따라 나란히 배치될 수 있다. 반출입구(OP)를 통하여 외부로부터 유입된 이물질은 우선적으로 제1 배출구(1413a, 1413b)를 통하여 배출되기 때문에 기판에 이물질이 부착하는 것이 사전에 차단될 수 있다.
도 9를 참조하면, 제3 배출부(1430a, 1430b)의 배출구(1433a, 1433b)는 분리 공간(S1, S2)의 후면에 배치될 수 있다. 제3 배출부(1430a, 1430b)는 상측 제3 배출부(1430a) 및 하측 제3 배출부(1430b)를 포함할 수 있다.
상측 제3 배출부(1430a)의 가스 배출 라인(1431a)은 상측 공간(S1)까지 연장되고, 배출구(1433a)는 하우징(1100)의 후면에 배치될 수 있다. 하측 제3 배출부(1430b)의 가스 배출 라인(1431a)은 하측 공간(S2)까지 연장되고, 배출구(1433b)는 하우징(1100)의 후면에 배치될 수 있다. 따라서, 상측 제3 배출부(1430a)는 상측 공간(S1)의 퍼지 가스만을 배출시킬 수 있고, 하측 제3 배출부(1430b)는 하측 공간(S2)의 퍼지 가스만을 배출시킬 수 있다.
도 10 내지 도 13은 본 발명의 실시예에 따른 기판 수납 장치의 퍼지 가스 이동을 나타낸 도면이다.
도 10을 참조하면, 기판 수납 장치(300)는 충진 모드로 동작하여 기판에 대한 세정 작업을 수행할 수 있다.
충진 모드로 동작하기 위하여 제1 공급부(1310a, 1310b) 및 제2 공급부(1320a, 1320b)는 퍼지 가스를 공급하고, 제2 배출부(1420a, 1420b)를 통한 퍼지 가스의 배출이 차단된 상태에서 제1 배출부(1410a, 1410b)는 퍼지 가스를 배출할 수 있다. 적재 공간(S1, S2)으로 유입되는 퍼지 가스의 양이 적재 공간(S1, S2)에서 배출되는 퍼지 가스의 양보다 많기 때문에 기판 수납 장치(300)는 적재 공간(S1, S2)이 퍼지 가스로 충진된 상태에서 세정 작업을 수행할 수 있다. 예를 들어, 기판 수납 장치(300)는 기판에 대한 건조 작업을 수행할 수 있다.
도 11을 참조하면, 기판 수납 장치(300)는 배기 모드로 동작하여 기판에 대한 세정 작업을 수행할 수 있다.
배기 모드로 동작하기 위하여 제2 공급부(1320a, 1320b)를 통한 퍼지 가스의 공급이 차단된 상태에서 제1 공급부(1310a, 1310b)는 퍼지 가스를 공급하고, 제1 배출부(1410a, 1410b) 및 제2 배출부(1420a, 1420b)는 퍼지 가스를 배출할 수 있다. 적재 공간(S1, S2)으로 유입되는 퍼지 가스의 양이 적재 공간(S1, S2)에서 배출되는 퍼지 가스의 양보다 적기 때문에 기판 수납 장치(300)는 유입된 퍼지 가스를 신속하게 배출하여 세정 작업을 수행할 수 있다. 예를 들어, 기판 수납 장치(300)는 기판에서 퓸을 분리하는 작업을 수행할 수 있다.
도 12를 참조하면, 기판 수납 장치(300)는 충진 모드 및 배기 모드의 중간 모드로 동작하여 기판에 대한 세정 작업을 수행할 수 있다.
중간 모드로 동작하기 위하여 제1 공급부(1310a, 1310b) 및 제2 공급부(1320a, 1320b)는 퍼지 가스를 공급하고, 제1 배출부(1410a, 1410b) 및 제2 배출부(1420a, 1420b)는 퍼지 가스를 배출할 수 있다. 적재 공간(S1, S2)으로 유입되는 퍼지 가스의 양이 적재 공간(S1, S2)에서 배출되는 퍼지 가스의 양과 동일하거나 유사하기 때문에 기판 수납 장치(300)는 적재 공간(S1, S2)에 일정 양의 퍼지 가스를 충진한 상태에서 세정 작업을 수행할 수 있다.
도 13을 참조하면, 기판 수납 장치(300)는 제3 배출부(1430a, 1430b)가 이용된 배기 모드로 동작하여 기판에 대한 세정 작업을 수행할 수 있다.
배기 모드로 동작하기 위하여 제1 공급부(1310a, 1310b) 및 제2 공급부(1320a, 1320b)는 퍼지 가스를 공급하고, 제1 배출부(1410a, 1410b), 제2 배출부(1420a, 1420b) 및 제3 배출부(1430a, 1430b)는 퍼지 가스를 배출할 수 있다. 적재 공간(S1, S2)으로 유입되는 퍼지 가스의 양이 적재 공간(S1, S2)에서 배출되는 퍼지 가스의 양보다 적기 때문에 기판 수납 장치(300)는 유입된 퍼지 가스를 신속하게 배출하여 세정 작업을 수행할 수 있다.
한편, 도 10 내지 도 13은 상측 공간(S1) 및 하측 공간(S2)에 대한 작업 모드가 동일한 것을 도시하고 있으나, 적재된 기판의 상태에 따라 각 분리 공간(S1, S2)에 대한 작업 모드는 달라질 수 있다. 예를 들어, 상측 공간(S1)에서는 충진 모드로 세정 작업이 수행되고, 하측 공간(S2)에서는 배기 모드로 세정 작업이 수행될 수 있다.
이상과 첨부된 도면을 참조하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
10: 기판 처리 장치 11: 인덱스 모듈
12: 로딩 모듈 13: 공정 모듈
100: 로드 포트 200: 이송 프레임
300: 기판 수납 장치 400: 인덱스 로봇
510: 로드락 챔버 520: 언로드락 챔버
600: 이송 챔버 700: 공정 유닛
800: 이송 로봇 1100: 하우징
1200: 분리막
1310a, 1310b, 1320a, 1320b: 가스 공급부
1410a, 1410b, 1420a, 1420b, 1430a, 1430b: 가스 배출부
1500: 제어부 1600: 가압 펌프

Claims (22)

  1. 기판의 출입을 위한 반출입구를 구비하고, 반입된 기판의 적재 공간을 제공하는 하우징;
    상기 하우징에 결합되어 상기 적재 공간을 서로 격리된 복수의 분리 공간으로 분할하는 분리막;
    상기 기판의 세정을 위한 퍼지 가스를 상기 적재 공간으로 공급하는 가스 공급부;
    상기 적재 공간에 수용된 퍼지 가스를 배출하는 가스 배출부; 및
    상기 복수의 분리 공간별로 상기 퍼지 가스의 공급 및 상기 퍼지 가스의 배출을 제어하는 제어부를 포함하되,
    상기 가스 공급부 및 상기 가스 배출부는 상기 복수의 분리 공간별로 각각 복수 개가 구비되고,
    상기 제어부는 상기 복수의 분리 공간별로 구비된 복수의 가스 공급부 및 복수의 가스 배출부를 개별적으로 제어하고,
    상기 제어부는 상기 복수의 분리 공간 각각이 퍼지 가스로 충진된 상태가 유지되도록 하는 충진 모드, 및 상기 복수의 분리 공간 각각이 배기되도록 하는 배기 모드 중 하나의 모드로 상기 복수의 분리 공간에 대한 가스 흐름이 형성되도록 상기 복수의 가스 공급부 및 상기 복수의 가스 배출부를 제어하고,
    상기 제어부는 상기 충진 모드에서 상기 복수의 가스 공급부 중 제1 공급부 및 제2 공급부를 통하여 퍼지 가스가 공급되도록 하고, 상기 배기 모드에서 상기 제2 공급부를 통한 퍼지 가스의 공급을 차단한 상태에서 상기 제1 공급부를 통하여 퍼지 가스가 공급되도록 하는 기판 수납 장치.
  2. 제1 항에 있어서,
    상기 분리막은 상기 적재 공간을 상측 공간 및 하측 공간으로 분할하는 기판 수납 장치.
  3. 제1 항에 있어서,
    상기 분리막은 상기 하우징에 결합되거나 상기 하우징에서 결합 해제 가능한 기판 수납 장치.
  4. 제1 항에 있어서,
    상기 복수의 분리 공간 각각은 동일한 공정을 거치거나 동일한 상태를 갖는 기판을 수용하는 기판 수납 장치.
  5. 삭제
  6. 삭제
  7. 제1 항에 있어서,
    상기 제어부는 상기 복수의 분리 공간 각각에 구비된 복수의 가스 공급부의 동작 개수 및 복수의 가스 배출부의 동작 개수를 조절하여 상기 충진 모드 또는 상기 배기 모드를 구현하는 기판 수납 장치.
  8. 삭제
  9. 제1 항에 있어서,
    상기 제1 공급부는 상기 제2 공급부에 비하여 상기 반출입구에 가깝게 위치되는 기판 수납 장치.
  10. 제1 항에 있어서,
    상기 제1 공급부 및 상기 제2 공급부 각각은,
    상기 적재 공간으로 공급되는 퍼지 가스의 이송 경로를 제공하는 가스 공급 라인;
    상기 가스 공급 라인을 따라 이송되는 퍼지 가스의 이송량을 조절하는 가스 공급 밸브; 및
    상기 가스 공급 라인을 통하여 이송된 퍼지 가스를 상기 적재 공간으로 분사하는 분사 노즐을 포함하는 기판 수납 장치.
  11. 기판의 출입을 위한 반출입구를 구비하고, 반입된 기판의 적재 공간을 제공하는 하우징;
    상기 하우징에 결합되어 상기 적재 공간을 서로 격리된 복수의 분리 공간으로 분할하는 분리막;
    상기 기판의 세정을 위한 퍼지 가스를 상기 적재 공간으로 공급하는 가스 공급부;
    상기 적재 공간에 수용된 퍼지 가스를 배출하는 가스 배출부; 및
    상기 복수의 분리 공간별로 상기 퍼지 가스의 공급 및 상기 퍼지 가스의 배출을 제어하는 제어부를 포함하되,
    상기 가스 공급부 및 상기 가스 배출부는 상기 복수의 분리 공간별로 각각 복수 개가 구비되고,
    상기 제어부는 상기 복수의 분리 공간별로 구비된 복수의 가스 공급부 및 복수의 가스 배출부를 개별적으로 제어하고,
    상기 제어부는 상기 복수의 분리 공간 각각이 퍼지 가스로 충진된 상태가 유지되도록 하는 충진 모드, 및 상기 복수의 분리 공간 각각이 배기되도록 하는 배기 모드 중 하나의 모드로 상기 복수의 분리 공간에 대한 가스 흐름이 형성되도록 상기 복수의 가스 공급부 및 상기 복수의 가스 배출부를 제어하고,
    상기 제어부는,
    상기 배기 모드에서 상기 복수의 가스 배출부 중 제1 배출부 및 제2 배출부를 통하여 퍼지 가스가 배출되도록 하고,
    상기 충진 모드에서 상기 제2 배출부를 통한 퍼지 가스의 배출을 차단한 상태에서 상기 제1 배출부를 통하여 퍼지 가스가 배출되도록 하는 기판 수납 장치.
  12. 제11 항에 있어서,
    상기 제1 배출부는 상기 제2 배출부에 비하여 상기 반출입구에 가깝게 위치되는 기판 수납 장치.
  13. 제11 항에 있어서,
    상기 제1 배출부 및 상기 제2 배출부 각각은,
    상기 적재 공간에서 배출되는 퍼지 가스의 이송 경로를 제공하는 가스 배출 라인;
    상기 가스 배출 라인을 따라 이송되는 퍼지 가스의 이송량을 조절하는 가스 배출 밸브; 및
    상기 적재 공간에 수용된 퍼지 가스를 수집하여 상기 가스 배출 라인으로 가이드하는 배출구를 포함하는 기판 수납 장치.
  14. 제13 항에 있어서,
    상기 가스 배출부는 제3 배출부를 더 포함하되,
    상기 제1 배출부 및 상기 제2 배출부의 배출구는 상기 분리 공간의 상면 또는 하면에 배치되고,
    상기 제3 배출부의 배출구는 상기 분리 공간의 후면에 배치되는 기판 수납 장치.
  15. 적어도 하나의 공정 유닛을 구비하여 입력된 기판에 대한 제조 공정을 수행하는 공정 모듈;
    상기 공정 모듈로 기판을 삽입하고, 상기 공정 모듈에서 제조 공정이 완료된 기판을 인출하는 인덱스 모듈; 및
    상기 공정 모듈로 삽입되는 기판 또는 상기 공정 모듈에서 인출된 기판을 적재하여 세정 작업을 수행하는 기판 수납 장치를 포함하되,
    상기 기판 수납 장치는,
    서로 다른 상태를 갖는 기판을 분리하여 적재하기 위한 복수의 분리 공간;
    상기 복수의 분리 공간별로 구비되어 상기 기판의 세정을 위한 퍼지 가스를 대응하는 분리 공간으로 공급하는 가스 공급부;
    상기 복수의 분리 공간별로 구비되어 대응하는 분리 공간에 수용된 퍼지 가스를 배출하는 가스 배출부; 및
    상기 복수의 분리 공간별로 구비된 가스 공급부의 제어 및 가스 배출부의 제어를 개별적으로 수행하는 제어부를 포함하되,
    상기 가스 공급부 및 상기 가스 배출부는 상기 복수의 분리 공간별로 각각 복수 개가 구비되고,
    상기 제어부는 상기 복수의 분리 공간별로 구비된 복수의 가스 공급부 및 복수의 가스 배출부를 개별적으로 제어하고,
    상기 제어부는 상기 복수의 분리 공간 각각이 퍼지 가스로 충진된 상태가 유지되도록 하는 충진 모드, 및 상기 복수의 분리 공간 각각이 배기되도록 하는 배기 모드 중 하나의 모드로 상기 복수의 분리 공간에 대한 가스 흐름이 형성되도록 상기 복수의 가스 공급부 및 상기 복수의 가스 배출부를 제어하고,
    상기 제어부는,
    상기 충진 모드에서 상기 복수의 가스 공급부 중 제1 공급부 및 제2 공급부를 통하여 퍼지 가스가 공급되도록 하고, 상기 복수의 가스 배출부 중 제2 배출부를 통한 퍼지 가스의 배출을 차단한 상태에서 상기 복수의 가스 배출부 중 제1 배출부를 통하여 퍼지 가스가 배출되도록 하고,
    상기 배기 모드에서 상기 제2 공급부를 통한 퍼지 가스의 공급을 차단한 상태에서 상기 제1 공급부를 통하여 퍼지 가스가 공급되도록 하고, 상기 제1 배출부 및 상기 제2 배출부를 통하여 퍼지 가스가 배출되도록 하는 기판 처리 장치.
  16. 제15 항에 있어서,
    상기 기판 수납 장치는,
    상기 기판의 적재 공간을 제공하는 하우징; 및
    상기 하우징에 결합되어 상기 적재 공간을 상기 복수의 분리 공간으로 분할하는 분리막을 포함하는 기판 처리 장치.
  17. 제16 항에 있어서,
    상기 분리막은 상기 적재 공간을 상측 공간 및 하측 공간으로 분할하는 기판 처리 장치.
  18. 제16 항에 있어서,
    상기 분리막은 상기 하우징에 결합되거나 상기 하우징에서 결합 해제 가능한 기판 처리 장치.
  19. 제16 항에 있어서,
    상기 복수의 분리 공간 각각은 동일한 공정을 거치거나 동일한 상태를 갖는 기판을 수용하는 기판 처리 장치.
  20. 삭제
  21. 삭제
  22. 제15 항에 있어서,
    상기 기판 수납 장치는 사이드 스토리지(side storage)를 포함하는 기판 처리 장치.
KR1020180116507A 2018-09-28 2018-09-28 기판 수납 장치 및 상기 기판 수납 장치를 이용한 기판 처리 장치 KR102172073B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020180116507A KR102172073B1 (ko) 2018-09-28 2018-09-28 기판 수납 장치 및 상기 기판 수납 장치를 이용한 기판 처리 장치
CN201910644672.9A CN110970334B (zh) 2018-09-28 2019-07-17 基板收纳装置及利用该基板收纳装置的基板处理装置
US16/538,067 US11631606B2 (en) 2018-09-28 2019-08-12 Substrate storage apparatus and apparatus for processing substrate using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020180116507A KR102172073B1 (ko) 2018-09-28 2018-09-28 기판 수납 장치 및 상기 기판 수납 장치를 이용한 기판 처리 장치

Publications (2)

Publication Number Publication Date
KR20200036633A KR20200036633A (ko) 2020-04-07
KR102172073B1 true KR102172073B1 (ko) 2020-10-30

Family

ID=69946563

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180116507A KR102172073B1 (ko) 2018-09-28 2018-09-28 기판 수납 장치 및 상기 기판 수납 장치를 이용한 기판 처리 장치

Country Status (3)

Country Link
US (1) US11631606B2 (ko)
KR (1) KR102172073B1 (ko)
CN (1) CN110970334B (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220150352A (ko) * 2020-03-06 2022-11-10 엔테그리스, 아이엔씨. 기판 컨테이너용 매니폴드
KR102479895B1 (ko) * 2020-07-03 2022-12-21 우범제 웨이퍼 수납용기
CN116159809A (zh) * 2022-12-28 2023-05-26 深圳市纳设智能装备有限公司 晶圆传输方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010129634A (ja) * 2008-11-26 2010-06-10 Tokyo Electron Ltd 基板の保管装置及び基板の処理装置
JP5295808B2 (ja) * 2009-02-09 2013-09-18 東京エレクトロン株式会社 パーティクル付着防止方法及び被処理基板の搬送方法
JP5398595B2 (ja) * 2010-03-04 2014-01-29 東京エレクトロン株式会社 基板収納装置
JP2012119626A (ja) * 2010-12-03 2012-06-21 Tokyo Electron Ltd ロードロック装置
KR101462241B1 (ko) * 2012-03-20 2014-11-20 (주)드림솔 웨이퍼용 개구통합형 포드의 퍼지장치
KR101448131B1 (ko) 2013-01-02 2014-10-07 (주) 세츠 퓸 제거 기능을 갖는 사이드 스토리지 챔버
JP2014195043A (ja) * 2013-02-27 2014-10-09 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法並びにガス給排方法
KR101524251B1 (ko) * 2013-06-11 2015-06-01 주식회사 테라세미콘 클러스터형 배치식 기판처리 시스템
KR101608829B1 (ko) 2014-01-22 2016-04-04 우범제 퓸 제거 장치
KR102164544B1 (ko) * 2014-01-22 2020-10-12 삼성전자 주식회사 가스 충진부를 구비하는 웨이퍼 스토리지 장치를 포함하는 반도체 제조 장치
JP6280837B2 (ja) * 2014-08-12 2018-02-14 東京エレクトロン株式会社 基板処理装置及び基板処理装置の基板載置部の雰囲気制御方法
KR101729754B1 (ko) 2015-03-10 2017-05-11 피코앤테라(주) 퓸 제거장치
KR101865636B1 (ko) * 2016-07-06 2018-06-08 우범제 웨이퍼 수납용기
KR101884857B1 (ko) * 2016-10-27 2018-08-02 세메스 주식회사 버퍼 유닛 및 이를 가지는 기판 처리 설비

Also Published As

Publication number Publication date
US20200105565A1 (en) 2020-04-02
CN110970334A (zh) 2020-04-07
CN110970334B (zh) 2023-09-15
KR20200036633A (ko) 2020-04-07
US11631606B2 (en) 2023-04-18

Similar Documents

Publication Publication Date Title
JP4410121B2 (ja) 塗布、現像装置及び塗布、現像方法
KR102172073B1 (ko) 기판 수납 장치 및 상기 기판 수납 장치를 이용한 기판 처리 장치
US7661894B2 (en) Coating and developing apparatus, and coating and developing method
JP4926433B2 (ja) 基板処理装置および基板処理方法
KR101970844B1 (ko) 액 처리 장치
WO2006028173A1 (ja) 塗布・現像装置、露光装置及びレジストパターン形成方法
KR102168381B1 (ko) 기판 처리 방법 및 기판 처리 장치
KR100929816B1 (ko) 배기유닛 및 방법, 그리고 상기 배기유닛을 구비하는 기판처리 장치
KR102113275B1 (ko) 버퍼 챔버용 웨이퍼 퍼지 장치
KR102669082B1 (ko) 기판을 처리하는 장치 및 기판을 반송하는 방법
US11971661B2 (en) Substrate processing apparatus and substrate processing method
US8031324B2 (en) Substrate processing apparatus with integrated cleaning unit
TWI681491B (zh) 基板處理裝置
US20080196658A1 (en) Substrate processing apparatus including a substrate reversing region
KR102288984B1 (ko) 기판 처리 장치 및 방법
KR102316618B1 (ko) 버퍼 유닛 및 이를 포함하는 기판 처리 장치
TW202046021A (zh) 具有清潔腔室的對準模組
KR102241606B1 (ko) 기판 처리 장치 및 방법
KR102243063B1 (ko) 액 공급 유닛, 기판 처리 장치, 그리고 기판 처리 방법
US11923216B2 (en) Apparatus and method for treating substrate including process chambers and transfer chamber
TWI841732B (zh) 用於對準遮罩和基板的方法
US20230213283A1 (en) Substrate processing apparatus
KR102594076B1 (ko) 기판 처리 장치 및 기판 처리 방법
US20230063639A1 (en) Heat treatment unit and substrate processing apparatus
KR102259066B1 (ko) 기판 처리 장치 및 방법

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant