KR102152487B1 - 엑스레이 계측을 사용하는 반도체 디바이스 오버레이를 측정하기 위한 방법들 및 장치 - Google Patents

엑스레이 계측을 사용하는 반도체 디바이스 오버레이를 측정하기 위한 방법들 및 장치 Download PDF

Info

Publication number
KR102152487B1
KR102152487B1 KR1020167014019A KR20167014019A KR102152487B1 KR 102152487 B1 KR102152487 B1 KR 102152487B1 KR 1020167014019 A KR1020167014019 A KR 1020167014019A KR 20167014019 A KR20167014019 A KR 20167014019A KR 102152487 B1 KR102152487 B1 KR 102152487B1
Authority
KR
South Korea
Prior art keywords
target
rays
illumination
overlay error
ray
Prior art date
Application number
KR1020167014019A
Other languages
English (en)
Other versions
KR20160077161A (ko
Inventor
안드레이 벨드만
마이클 에스. 베이크만
안드레이 브이. 쉬체그로프
월터 디. 미에허
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20160077161A publication Critical patent/KR20160077161A/ko
Application granted granted Critical
Publication of KR102152487B1 publication Critical patent/KR102152487B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70141Illumination system adjustment, e.g. adjustments during exposure or alignment during assembly of illumination system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/7045Hybrid exposures, i.e. multiple exposures of the same area using different types of exposure apparatus, e.g. combining projection, proximity, direct write, interferometric, UV, x-ray or particle beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)

Abstract

반도체 타겟 내의 오버레이 에러를 결정하기 위한 장치 및 방법들이 개시된다. 적어도 하나의 입사각(AOI)을 갖는 조명 엑스레이들에 대하여, 상관 모델이 획득되고, 상관 모델은 타겟의 오버레이 에러를, 조명 엑스레이들에 응답하여 타겟으로부터 산란된 엑스레이들에 대한 하나 이상의 회절 차수들 각각(또는 연속적인 회절 강도 분포)에 대한 변조 강도 파라미터와 상관시킨다. 제 1 타겟은 적어도 하나의 AOI를 갖는 조명 엑스레이들로 조명되고, 조명 엑스레이들에 응답하여 제 1 타겟으로부터 산란되는 엑스레이들이 수집된다. 제 1 타겟의 오버레이 에러는 하나 이상의 회절 차수들 각각(또는 연속적인 회절 강도 분포) 및 상관 모델에 대한, 제 1 타겟으로부터 수집된 엑스레이들의 변조 강도 파라미터에 기반하여 결정된다.

Description

엑스레이 계측을 사용하는 반도체 디바이스 오버레이를 측정하기 위한 방법들 및 장치{METHODS AND APPARATUS FOR MEASURING SEMICONDUCTOR DEVICE OVERLAY USING X-RAY METROLOGY}
본 출원은 벨드만 안드레이 외(Andrei Veldman et al.)에 의해 2013년 10월 28일에 출원된, 선출원 미국 가출원 제 61/896,230 호의 이익을 주장하며, 이 가출원은 모든 목적들을 위해 그 전체가 참조로서 본원에 포함된다.
본 발명은 일반적으로 반도체 계측에 대한 방법들 및 시스템들, 보다 구체적으로 오버레이 계측에 관한 것이다.
집적 회로들의 제조에 사용되는 포토리소그래피 또는 광학 리소그래피 시스템들이 얼마 동안 존재해 왔다. 그러한 시스템들은 제품 내의 매우 작은 세부부분들의 정밀한 제조 및 형성에 매우 효과적임을 증명해 왔다. 몇몇 포토리소그래피 시스템들에서, 회로 이미지는 광 또는 방사빔(예를 들어, UV 또는 자외선 광)을 통해 패턴을 전사(transfer)함으로써 기판 상에 쓰여진다. 예를 들어, 리소그래피 시스템은 회로 이미지를 레티클을 통하고, 조사(irradiation)에 민감한 재료, 예를 들어 포토레지스트로 코팅되는 실리콘 웨이퍼 상에 투사하는 광 또는 방사 소스를 포함할 수 있다. 노출된 포토레지스트는 일반적으로 현상(development) 후 예를 들어 퇴적 및/또는 에칭과 같은 이어지는 프로세싱 단계들 동안 웨이퍼의 층들을 마스킹하는 패턴을 형성한다.
대규모의 회로 집적 및 감소된 사이즈의 반도체 디바이스들로 인해, 레티클들 및 제조되는 디바이스들은 임계 치수 변화들 등과 같은 다른 임계 파라미터 변화들뿐만 아니라, 오버레이 에러들에 점점 민감해지고 있다. 이러한 변화들은, 보정되지 않는다면, 전기적 타이밍 에러들로 인해 최종 디바이스가 희망하는 성능을 충족시키지 못하게 할 수 있다. 더욱 악화되면, 이러한 에러들은 최종 디바이스들이 오작동하게 하고 수율에 악영향을 미치게 할 수 있다.
반도체 타겟 상의 오버레이 에러 등을 결정하기 위한 향상된 장치 및 기술들이 요구된다.
다음은 본 발명의 어떤 실시예들의 기본 이해를 제공하기 위한 본 개시의 단순화된 요약을 나타낸다. 이 요약은 본 개시의 광범위한 개요가 아니며, 본 발명의 핵심적인/중요한 엘리먼트들을 식별시키거나 또는 본 발명의 범위를 기술하지 않는다. 이 요약의 유일한 목적은 이후에 제시되는 보다 상세한 설명에 대한 서두로서 단순화된 형식으로 본원에 개시되는 일부 개념들을 제시하는 것이다.
일 실시예에서, 반도체 타겟 내의 오버레이 에러를 결정하기 위한 방법이 개시된다. 적어도 하나의 입사각(angle of incidence; AOI)을 갖는 조명 엑스레이들에 대하여, 상관 모델이 획득되고, 상관 모델은 타겟의 오버레이 에러를, 조명 엑스레이들에 응답하여 타겟으로부터 산란된 엑스레이들에 대한 하나 이상의 회절 차수들 각각 또는 연속적인 회절 강도 분포에 대한 변조 강도 파라미터와 상관시킨다. 제 1 타겟은 적어도 하나의 AOI를 갖는 조명 엑스레이들로 조명되고, 조명 엑스레이들에 응답하여 제 1 타겟으로부터 산란되는 엑스레이들이 수집된다. 제 1 타겟의 오버레이 에러는 하나 이상의 회절 차수들 각각 또는 연속적인 회절 강도 분포 및 상관 모델에 대한, 제 1 타겟으로부터 수집된 엑스레이들의 변조 강도 파라미터에 기반하여 결정된다.
특정 구현예에서, 변조 강도 파라미터는 Qz 내의 하나 이상의 회절 차수들 각각에 대한 강도 최소값(minimum)이며, Qz는 적어도 하나의 AOI의 함수로서 수집된 엑스레이들의 강도 측정값이다. 또 다른 양태에서, 상관 모델은 뉴럴(neural) 네트워크 또는 주성분(principal component) 분석에 의해 결정된다. 또 다른 예시에서, 제 1 타겟은 비주기적(aperiodic)이다.
특정 실시예에서, 오버레이 에러는 제 1 방향에 대해 결정되고, 방법은, 조명하기 위한 동작들을 반복하는 것과 제 1 방향과는 상이한 제 2 방향에 있어서의 제 2 오버레이 에러를 결정하는 것을 더 포함한다. 일 양태에서, 제 1 타겟은 복수의 상이한 AOI들에서의 조명 엑스레이들로 조명된다. 다른 양태에서, 상이한 AOI들에서 조명하는 것은 동시에 달성된다. 또 다른 양태에서, 상이한 AOI들에서 조명하는 것은 순차적으로 달성된다.
특정 예시에서, 제 1 타겟은 2개 이상의 수직으로 적층된 격자들을 포함한다. 또 다른 예시에서, 제 1 타겟은 디바이스 설계 규칙 사양(device design rule specification)을 충족시키는 피치(pitch) 및 임계 치수(critical dimension)값들을 갖도록 설계된다. 또 다른 양태에서, 제 1 타겟은 능동 디바이스 내에 및 다이 내(in-die) 영역에 위치된다. 특정 구현예들에서, 조명하는 것 및 수집하는 것은, T-SAXS(transmission small-angle x-ray scattering) 시스템, GI-SAXS(grazing incident small-angle x-ray scattering) 시스템, WAXS(wide angle x-ray scattering) 시스템, XRD(x-ray diffraction) 시스템, GIXRD(grazing incidence x-ray diffraction) 시스템, 또는 HRXRD(high resolution x-ray diffraction) 시스템에 의해 수행된다.
대안적인 실시예에서, 본 발명은 반도체 계측 시스템과 관련된다. 시스템은 엑스레이들을 생성하기 위한 엑스레이 소스 및 생성된 엑스레이들 중 일부를 수집하고, 복수의 상이한 입사각(AOI)들에서의 복수의 입사 빔들의 형태로 반도체 샘플 상의 특정 포커스 포인트를 향해 반사시키거나 굴절시키기 위한 조명 광학장치(optic)들을 포함한다. 시스템은 상이한 AOI에서의 샘플들 상의 입사 빔들에 응답하여 샘플로부터 산란되는 출력 엑스레이 빔들을 수집하기 위한 센서 및 위에서 설명된 방법 실시예들을 중 하나 이상을 수행하기 위해 구성되는 컨트롤러를 더 포함한다. 예를 들어, 컨트롤러는 (i) 적어도 하나의 입사각(AOI)을 갖는 조명 엑스레이들에 대하여, 타겟의 오버레이 에러를, 조명 엑스레이들에 응답하여 타겟으로부터 산란된 엑스레이들에 대한 하나 이상의 회절 차수들 각각(또는 연속적인 회절 강도 분포)에 대한 변조 강도 파라미터와 상관시키는 상관 모델을 획득하는 단계; (ii) 조명 광학장치들이 적어도 하나의 AOI를 갖는 조명 엑스레이들로 제 1 타겟을 조명하게 하는 단계와, 조명 엑스레이들에 응답하여 제 1 타겟으로부터 산란되는 엑스레이들을 수집하는 단계, (iii) 하나 이상의 회절 차수들 각각(또는 연속적인 회절 강도 분포) 및 상관 모델에 대한, 제 1 타겟으로부터 수집된 엑스레이들의 변조 강도 파라미터에 기반하여 제 1 타겟의 오버레이 에러를 결정하는 단계를 수행하기 위해 구성된다.
본 발명의 이러한 및 다른 양태들은 도면들을 참조하여 아래에서 더 설명된다.
도 1은 본 발명의 기술들이 본 발명의 일 실시예에 따라 구현될 수 있는 T-SAXS(transmission small angle x-ray scattering) 조명 시스템의 개략적인 측면도이다.
도 2a는 법선(normal) AOI에 대한 산란 벡터 Qx 또는 출력 회절 패턴을 도시한다.
도 2b는 본 발명의 일 실시예에 따른 비법선(non-normal) AOI에 대한 Qz 산란 벡터들을 도시한다.
도 2c는 본 발명의 일 실시예에 따른 Qx 및 Qz 축을 포함하는 2차원 공간으로의, 상이한 AOI들에 대한 엑스레이 회절 패턴들의 단순화된 매핑을 도시한다.
도 3a는 0 오버레이 에러를 갖는 타겟에 대해 Qz 및 Qx 축 상에 매핑된 복수의 AOI들에 대한 회절 패턴들을 도시한다.
도 3b는 0 오버레이 에러를 갖는 2개의 격자들의 측면도이다.
도 4a는 오버레이 에러를 갖는 타겟에 대한 Qz 및 Qx 축 상으로 매핑된 복수의 AOI들에 대한 회절 패턴들을 도시한다.
도 4b는 오버레이 에러를 갖는 2개의 격자들의 측면도이다.
도 5a 내지 도 5g는 본 발명의 특정 구현예에 따른 Qz의 함수로서 3개의 회절 차수들 각각 내의 강도 분포의 도표들이다.
도 6은 본 발명의 일 구현예에 따른 오버레이 에러의 함수로서 3개의 상이한 회절 차수들에 대한 Qz 최소 강도 값들의 도표를 도시한다.
도 7은 본 발명의 일 실시예에 따른 Qz 도표 내의 국부 변조의 변위를 사용하여 오버레이를 결정하기 위한 프로시저를 도시하는 흐름도이다.
도 8은 3개의 격자들의 측면도이다.
도 9는 본 발명의 일 실시예에 따른 T-SAXS(transmission small angle x-ray scattering) 계측 시스템의 개략도이다.
도 10은 본 발명의 대안적인 실시예에 따른 GI-SAXS(grazing incidence small-angle x-ray scattering) 시스템의 개략도이다.
이하의 설명에서, 본 발명의 완전한 이해를 제공하기 위해 많은 특정 상세사항들이 진술된다. 본 발명은 이러한 특정 상세사항들 중 일부 또는 모두 없이 실시될 수 있다. 다른 예시들에서, 불필요하게 본 발명을 모호하게 하지 않도록 잘 알려진 프로세스 동작들은 상세히 설명되지 않는다. 본 발명이 특정 실시예들과 관련하여 설명될 것이지만, 본 발명을 이러한 실시예들에 제한시키려는 의도가 없다는 점이 이해될 것이다.
본 발명의 어떤 실시예들은 SAXS(small angle x-ray scattering) 능력들을 갖는 산란계측(scatterometry) 장치와 관련하여 구현될 수 있다. 이러한 시스템은 향상된 측정 능력들을 갖는 고효율 엑스레이 조명 시스템과 커플링되는 고휘도(high brightness) 엑스레이 소스를 포함할 수 있다. SAXS 시스템은 오버레이, 피치, 임계 치수(critical dimension; CD)들, 높이, 측벽 각도(side wall angle; SWA), 선폭 거칠기(line-width roughness; LWR), 선가장자리 거칠기(line-edge roughness; LER), 피치 워크(pitch walk) 등과 같은 반도체 구조물들 내의 기하학적 파라미터들을 측정할 수 있다. 또한, 측정되는 피처들은 10 nm보다 작을 수 있다. 또한, 엑스레이 방사의 에너지 성질은 광학적으로 불투명한 박막들, 매립 구조물들, 높은 애스팩트비(high-aspect ratio) 구조물들 및 많은 박막층들을 포함하는 디바이스들로의 엑스레이들의 관통을 가능하게 한다.
도 1은 본 발명의 기술들이 본 발명의 일 실시예에 따라 구현될 수 있는 T-SAXS(transmission small angle x-ray scattering) 조명 시스템(100)의 개략적인 측면도이다. SAXS 시스템(100)은 샘플(108a) 상에 입사하고 샘플(108a)을 관통하는 엑스레이들을 생성하기 위한 적절한 엑스레이 광자 에너지들을 갖는 하나 이상의 엑스레이 소스들(102)을 포함할 수 있다. 일 실시예에서, 고휘도 엑스레이 소스는 고체 애노드(solid anode) 엑스레이 소스, 액체 금속 분사(liquid metal jet) 엑스레이 소스, 및 액체 액적(droplet) 엑스레이 소스, 또는 역컴프턴(Inverse-Compton) 엑스레이 소스와 같은 다른 광휘(bright) 엑스레이 소스들을 포함할 수 있다.
추가적으로, 시스템(100)은 입사 엑스레이들을 샘플(108)에 충돌하고 샘플(108)을 관통하도록 지향시키고 조절하기 위한 임의의 적절한 엑스레이 조명 광학장치(optic)들(101)을 포함할 수 있다. 예를 들어, 엑스레이 빔들은 엑스레이 조명 광학장치들(101)에 의해 시준되거나 포커싱되고/되거나 단파장화(monochromatize)될 수 있고 이어서 샘플(108) 상에 입사한다.
샘플(108a)은 상이한 AOI들을 얻기 위해 [예를 들어, 회전 방향(109)으로 스테이지를 통해] 경사질 수 있다. 샘플에 대한 방향들(109) 내의 상이한 경사 위치들은 조명 광학장치들(101)로부터 반사되는 특정 엑스레이들에 관하여 상이한 AOI들을 초래할 것이다. 예를 들어, 샘플(108b)은 제 1 위치 샘플(108a)에 관하여 제 2 경사 위치에서 도시된다.
산란된 엑스레이들(104)이 하나 이상의 엑스레이 검출기들(106)에 의해 수집될 수 있는 한편, 샘플 핸들러는 샘플(108a)을 홀딩하고, 샘플(108a)을 포지셔닝하고 정렬하기 위해 옮기고/옮기거나 회전시켜서 각도적으로 분해된 산란된 엑스레이들(104)을 생성한다.
검출기는 산란된 엑스레이들을 검출하고 결과적인 스펙트럼들 또는 이미지를 생성하기 위한 임의의 적절한 센서일 수 있다. 예시들로서, 센서는 포토다이오드 어레이, CCD(Charged Coupled Device), 이미지 플레이트, 하이브리드 픽셀 CCD 등 중 하나 이상을 포함할 수 있다. 검출기는 일반적으로 강도 신호를 생성하고, 이 강도 신호는 이어서 검출기[또는 컨트롤러(130)]에 의해 이미지로 변환될 수 있다.
본 발명의 어떤 실시예들은 오버레이 에러를 측정하기 위한 기술들을 포함한다. 이러한 기술들은 오버레이 에러가 측정될 반도체 디바이스 부분들의 수직 적층(vertical stacking)을 이용할 수 있다. 일반적으로, 수직 적층은, 반도체 웨이퍼의 평면에 관한 조명 엑스레이 빔에 대해 하나 이상의 입사 방향들에서 측정들이 이루어질 때, 강력하고 특유한 방식으로 엑스레이 회절된 신호에 영향을 미친다.
샘플의 기하학적 및 재료적 특성들을 포함하는 샘플들의 모델들을 생성하기 위해 모델 빌딩 및 분석 엔진이 사용될 수 있다. 광학 및 엑스레이 시뮬레이션들을 생성하기 위해 모델들이 사용될 수 있다. 광학 시뮬레이션들은, 예를 들어 상이한 편광(polarization)들, 타원계측(ellipsometric) 파라미터들, 위상 변경들 등에 대한 산란 및/또는 반사도(reflectivity)들과 같은, 광학 신호들을 산출하기 위해 푸는 맥스웰 방정식(Maxwell's equation)들에 기반하는 RCWA(rigorous coupled-wave analysis)에 기반할 수 있다. 엑스레이 산란 시뮬레이션들은 엑스레이 폼 팩터들에 기반할 수 있다.
Figure 112016050690584-pct00001
여기서 F는 폼 팩터이고, q는 산란 벡터이며, p(r)는 샘플의 전자 밀도이다. 이때 엑스레이 산란 강도는 참조로서 본원에 포함되는, 존스 알.엘. 외(R.L. Jones et. al.)에 의한 공개, "CD-SAXS를 이용한 밀도 높은 애스팩트비 패턴들 내의 단면 및 임계 치수 계측(Cross Section and Critical Dimension Metrology in Dense High Aspect Ratio Patterns with CD-SAXS)"에 또한 설명된 바와 같이,
Figure 112016050690584-pct00002
에 의해 주어진다.
분석 엔진은 샘플의 재료, 특성들뿐만 아니라 기하학을 결정하도록, 시뮬레이션된 엑스레이와 광학 산란을 측정된 데이터로 비교하는데 사용될 수 있다. 이러한 모델링 엔진은 본 발명의 실시예에서 사용될 수 있지만, 그러한 모델링 엔진은 필수적이지 않다. 본 발명의 어떤 실시예들은 구조물 및 구조물의 엑스레이들과의 상호작용을 완전하게 모델링하지 않고 사용될 수 있다. 그러한 엔진을 사용하는 시뮬레이션 결과들에 관한 아래의 설명들은 본 발명의 범위를 제한하려는 의도가 없다.
법선 입사 엑스레이 빔에 대해, 산란된 엑스레이들은 Qx 값으로 나타내어질 수 있다. 일반적으로, 법선 입사 AOI에 대한 검출기를 가로지르는 산란은 Qx로 나타내어질 수 있다. 도 2a는 법선 AOI에 대한 산란 벡터 Qx 또는 출력 회절 패턴을 도시한다. 입사 엑스레이 빔(202)은 주기(d)가 있는 격자를 갖는 샘플(204)을 통해 전송된다. 입사 엑스레이 빔(202)은 검출기(206) 상에 회절 패턴을 초래한다. 예를 들어, 회절 패턴은 0 차수(206a), 1st 차수(206b), 2nd 차수(206c)를 포함한다. 정반사(specular) 또는 0 차수와 산란된 비제로(non-zero) 차수 사이의 각도는 2θ로 나타내어진다. 도시되지 않았지만, 일반적으로 말하면, 0 차수로부터 더 멀리 떨어진 차수들에 대한 강도는 0 차수에 더 가까운 차수들보다 낮은 강도일 것이다.
상이한 AOI들에 대한 샘플의 [예를 들어, 방향(205)으로의] 회전은 이어서 Qx 및 Qz 축 모두에 관하여 매핑될 수 있는 상이한 회절 패턴들을 초래할 수 있다. 도 2b는 본 발명의 일 실시예에 따른 비법선 AOI에 대한 Qz 산란 벡터들을 도시한다. 도시된 바와 같이, 샘플(204)은 각도(Φ)(220)만큼 회전되어 검출기(206) 상에 회절 패턴(예를 들어, 226a, 226b, 226c)을 생성한다.
상이한 AOI들은 강도 및 회절 차수들의 관련 위치들 모두에 있어서, 검출기 상의 회절 패턴에 상이점들을 초래할 것이다. 예를 들어, 회절 차수들은 상이한 AOI들에 대한 상이한 강도들로 인해 더 확산되거나 또는 더 조밀해질 것이다.
도 2c는 본 발명의 일 실시예에 따른 Qx 및 Qz 축을 포함하는 2차원 공간으로의, 상이한 AOI들에 대한 엑스레이 회절 패턴들의 단순화된 매핑을 도시한다. 복수의 AOI들 각각에 대한 각각의 회절 패턴이 도시된다. 예를 들어, 제 1 AOI에 대한 회절 데이터 포인트들은 선(228a)을 따라 도시되는 한편, 제 2 AOI에 대한 회절 포인트들은 선(228b)을 따라 도시된다. 선(228a) 상의 데이터는 150°(Φ)(또는 AOI)에서의 회절 패턴에 대응할 수 있다. 마찬가지로, 제 3 및 제 4 AOI에 대한 회절 포인트들은 각각 선들(228c 및 228d)을 따라 도시된다. 법선 AOI 회절 포인트들은 법선 Qx 축에 대응하는 수평 선(226)을 따라 도시된다. 상이한 AOI들(Φ)에 대해, 상이한 회절 측정들은 부채꼴(fan)의 회전된 회절 데이터를 획득하기 위해 Qz 및 Qx 그래프 내에서 회전되고 도표화된다(plotted). 추가 설명으로서, Qx는 검출기 위치의 함수[또는 I(x)]로서 강도에 대응하는 한편, Qz는 법선 또는 Qz 축에 관한, 특정 AOI 각도에 대응하는 회전된 축을 따라 도표화되는, 검출기 위치의 함수[I(x)]로서 강도에 대응한다. 각각의 AOI에 대한 회절 데이터는 Qx-Qz 맵을 만들기 위해 각각의 대응하는 AOI 또는 레이에 대해 도표화된다.
오버레이 에러는 Qz 축으로 매핑될 때, 산란 데이터에 영향을 미칠 수 있다. 예를 들어, 차수들에 있어서의 보다 높은 주파수 변조는 0 오버레이 에러와 비교하여, 오버레이 에러가 존재할 때 Qz 축에 관하여 보여진다. 도 3a는 0 오버레이 에러를 갖는 타겟에 대해 Qz 축 상에 매핑된 복수의 AOI들에 대한 회절 패턴들을 도시한다. 도 3b는 0 오버레이 에러를 갖는 2개의 격자들(302 및 304)의 측면도이다. 즉, 격자들(302 및 304)은, 예를 들어 선(306)을 따라 정렬된다.
하나 이상의 비법선 입사 방향들에서 데이터가 수집되면, 도 3a에 도시된 바와 같이, 예를 들어 각각의 회절 차수 내의 수직 변조(Qz 축을 따라) (국부 최소값에 의해 분리된 국부 강도 최대값)가 관찰될 수 있다는 점에 유념하라.
Qz 방향에 있어서의 이러한 수직 변조들은 또한 오버레이의 양에 기반한다. 즉, 수직 변조들은 0 오버레이 에러에 대한 수직 변조와 비교하여, 오버레이 에러의 존재로 신장되고 압축된다. 예를 들어, 도 4a는 오버레이 에러(도 4b의 406)를 갖는 타겟에 대한 Qz 축 상으로 매핑된 복수의 AOI들에 대한 회절 패턴들을 도시한다. 도 4b에 도시된 바와 같이, 타겟은 오버레이 에러 양(406)만큼 서로에 대해 시프트된 격자들(402 및 404)로 형성된다. 도시된 바와 같이, 회절 데이터 중 몇몇은 0 오버레이 회절 데이터(예를 들어, 도 3a의 301)와 비교하여, Qz 변조에 있어서 변경되었다(예를 들어, 401). 도시된 바와 같이, 도 4a 내의 국부 최소값(회절 차수들 내의 변조)의 위치는 도 3a에 도시된 바와 같은 0 오버레이 에러에서의 그들의 위치에 관해, 오버레이 에러의 존재로 현저하게 시프트되었다. 구체적으로, Qz 데이터(401)의 특정 세트에 대한 수직 방향으로의 변조를 갖는 영역(420)은 도표 상의 영역(422)에 대응한다. 오버레이 에러(406)에 대한 Qz 데이터(401)의 이러한 특정 세트는 최소값 시프트를 도시하기 위해 0 오버레이 에러에 대한 Qz 데이터(301)의 대응하는 세트 옆에 도시된다.
이 예시에서, Qz 데이터의 각각의 수직 세트는 특정 변조들을 갖는 적어도 2개의 회절 차수들과 관련된다. 데이터(301)의 수직 세트에 대한 이러한 변조들은 0 오버레이일 때 정렬되는 상이한 회절 차수들에 대한 최소값을 갖는 한편, 데이터(401)의 수직 세트에 대한 변조들은 오버레이 에러의 존재로 서로에 대해 시프트된 상이한 회절 차수들에 대한 최소값을 갖는다.
이 국부 최소값 시프트를 더욱 명확하게 도시하기 위해, 다음의 도표들(도 5a 내지 도 5g)은 본 발명의 특정 구현예에 따른 Qz의 함수로서 3개의 회절 차수들(2, 3, 및 4) 각각 내의 강도 분포를 도시한다. 특정 국부 변조 최소값의 위치는 검은 원으로 마킹된다. 오버레이 에러의 양은 각 도표 위에 특정된다. 도시된 바와 같이, 오버레이 에러값은 상이한 회절 차수들에 대한 상이한 최소값 시프트 양들을 초래한다. 도 5a에 도시된 바와 같이, 0 오버레이 에러는 최소값 시프트(예를 들어, 502a)를 초래하지 않는다. 대조적으로, 상이한 최소값 시프트들(502b, 502c, 502d, 502e, 502f, 및 502g)은 도 5b 내지 도 5g에 도시된 바와 같이, 각각 상이한 오버레이 에러들 5 nm, 10 nm, 15 nm, 20 nm, 25 nm, 및 30 nm에 대해 3rd 차수와 4th 차수 사이에 존재한다. 상이한 최소값 시프트들은 또한 회절 차수들(예를 들어, 2nd 차수 및 3rd 차수)의 다른 쌍들 사이에 존재한다.
오버레이 에러는 비용이 많이 드는 완전한 시뮬레이션들을 수행하지 않고, 복수의 회절 차수들에 대한 변조 최소값을 오버레이 에러값과 상관시킴으로써 우수한 정밀도 및 정확도로 양적으로 결정될 수 있다. 도 6은 오버레이 에러의 함수로서 [2nd 차수(602a), 3rd 차수(602b), 및 4th 차수(602c)를 포함하는] 3개의 상이한 회절 차수들에 대한 Qz 최소 강도값들의 도표를 도시한다. 이 예시에서, 4th 회절 차수에 대한 최소값 위치는 오버레이 에러에 대해 보다 민감한 것으로 보인다. 상이한 오버레이 에러에 대한 변조 최소값의 변위(displacement)가 선형이기 때문에, 오버레이 에러는 그러한 최소값의 각각의 특정 변위로부터 결정될 수 있다. 예를 들어, 오버레이 에러는 주로 2개의 상이한 Qz 최소값들에 기반하여 산출될 수 있다. 따라서, 본 발명의 기술들은 작은 수의 측정들로부터 매우 정확한 오버레이 에러 결정을 가능하게 한다.
도 7은 본 발명의 일 실시예에 따른 Qz 도표 내의 국부 변조의 변위를 사용하여 오버레이를 결정하기 위한 프로시저(700)를 도시하는 흐름도이다. 초기에, 적어도 하나의 비법선 AOI에 대해, 하나 이상의 회절 차수(또는 연속적인 회절 강도 분포)들에 대해 최소 Qz 값들을 상관시키는 상관 모델을 획득하고, 오버레이 에러는 동작(702)에서 획득된다. 즉, 특정 타겟 구조물 및 적어도 하나의 AOI에 대한 상이한 오버레이 에러들에 대한 Qz 최소값 위치들이 모델링될 수 있다. 예를 들어, 상이한 오버레이 에러들을 갖는 특정 타겟 구조물 상의 복수의 AOI들에서의 입사 엑스레이들 및 결과적인 Qz 최소값들이 모델링될 수 있다.
보다 일반적인 말로, 타겟으로부터 산란되는 엑스레이들에 대한 복수의 회절 차수들 각각에 대한 임의의 적절한 강도 변조 파라미터는, 적어도 하나의 AOI를 갖는 조명 엑스레이들에 응답하여, 오버레이 에러와 상관될 수 있다. 본 예시에서, 오버레이 에러와 최소 강도 Qz 값들 사이의 상관성은 각각의 회절 차수에 대해 결정된다. 그러나, 상관성은 또한 각각의 최대값 등에 대해 결정될 수 있다.
타겟은 이어서 동작(702)에서, 복수의 AOI들에서의 복수의 입사 엑스레이 빔들로 조명될 수 있다. 또한 동작(704)에서, 입사 엑스레이 빔에 응답하여 타겟으로부터 산란되는 출력 엑스레이 빔들이 수집된다. 상이한 AOI들에 대한 출력 빔들은 특정 계측 도구의 능력들에 따라 순차적으로 또는 동시에 수집될 수 있다.
AOI들은 오버레이 에러에 대한 민감도를 최적화하고 오버레이 에러와 CD의 상관성을 최소화하도록 선택될 수 있다. 이러한 최적화는 임의의 적절한 모델링 기술을 통해 결정될 수 있다. 좁은 범위의 AOI들(또는 심지어 단일 비법선 AOI)이 선택될 수 있다. 이러한 최적화는 다수의 상이한 AOI들에서의 특정 오버레이 구조물들로부터의 산란을 모델링하고 오버레이에 대한 민감도가 가장 큰 AOI들의 범위를 선택함으로써 달성될 수 있다.
Qz 맵은 이어서 동작(706)에서, 타겟으로부터 산란된, 수집된 출력 엑스레이 빔들에 기반하여 결정될 수 있다. 오버레이 에러는 이어서 동작(708)에서, 상관 모델을 사용하여 회절 차수들의 하나 이상의 쌍들 사이의 Qz 최소값에 기반하여 결정될 수 있다. 다른 방식으로 말하면, 오버레이 에러는 Qz 공간 내의 2개 이상의 국부 최소값들에 기반하여 결정될 수 있다.
또한, 오버레이 에러를 갖는 국부 변조 최소값의 변위가 타겟 구조물의 다른 구조적 파라미터들뿐만 아니라 CD로부터 디커플링된다는 점이 발견되었다. 즉, CD 또는 다른 구조적 파라미터 변경들은 Qz 내의 높은 주파수 변조들로서 나타나지 않는다. CD 또는 다른 구조적 파라미터들을 갖는 오버레이 파라미터의 상관성이 본질적으로 0이기 때문에, 오버레이 에러는 그것들로부터 선형으로 독립적이다. 따라서, 오버레이 에러는 타겟 및 엑스레이 방사와의 상호작용의 완전한 모델링을 수행하기 보다는, 모델이 없는(model-free) 알고리즘적 접근, 예를 들어 뉴럴(neural) 네트워크를 사용하거나, 또는 오버레이 파라미터가 실질적으로 주성분들 중 하나인 주성분 분석을 사용함으로써 추출될 수 있다.
위에서 설명된 변조는 또한 오버레이 에러가 측정되고 있는 타겟의 2개의 부분들이 비주기적(aperiodic)(하나 이상의 방향들로)일지라도 발생할 것이다. 이 경우, 수평 Qx 축을 따르는 뚜렷한 회절 차수들이 없을지라도, 동일한 도표들의 수직 방향(Qz)으로의 변조가 여전히 발생할 것이며 최대값 및 최소값의 위치가 오버레이 에러로 변경될 것이다.
위에서 설명된 기술들은 또한 2개의 상이한 방향들(x 및 y)의 오버레이를 측정하는데 사용될 수 있다. 예를 들어, Qz, Qx 맵 내의 회절 패턴들을 수집하기 위해 초기에 웨이퍼가 축에 대해 회전(예를 들어, 109)될 수 있다. 상수 Qx에서의 Qz의 회절 패턴에 있어서의 변조는 x 방향으로의 오버레이 오프셋을 결정하는데 사용될 수 있다. 마찬가지로, 웨이퍼는 이어서 직교 각도에 대해 회전될 수 있고, 수집되는 회절 패턴은 이어서 Qz, Qy 맵에서 획득될 수 있다. 상수 Qy에서의 Qz의 회절 패턴에 있어서의 변조는 y 방향으로의 오버레이 오프셋을 결정할 것이다. 이러한 방식으로, x 및 y 오버레이 오프셋들 모두가 결정될 수 있다.
광학 오버레이 측정 방법들과 비교하여, Qz 최소값의 변위를 이용하는 기술들은 설계 규칙 피치(design rule pitch)(큰 피치 요건을 갖지 않아도 됨)에서의 측정을 가능하게 하므로, 실제 디바이스 오버레이를 더욱 더 충실히 반영한다. 실제로, 어떤 발명적 기술들은 보다 작은 피치들에 대해 더 잘 작동한다.
본 발명의 어떤 실시예들은 스크라이브 라인(scribe line)들 내의 특정 오버레이 타겟들뿐만 아니라, 능동 디바이스 영역 내의 디바이스 구조물들에 적용될 수 있다. 추가적으로, 네거티브 및 포지티브 오버레이 에러들 모두 (예를 들어, 네거티브 및 포지티브 오버레이 에러들을 최소값들과 같은 변조 파라미터들과 상관시킴으로써) 서로 구별될 수 있다. 본 발명의 어떤 실시예들은 또한 주기적인 타겟을 필요로 하지 않는다. SEM 오버레이와 비교하여, 본원에 설명된 엑스레이 오버레이 기술들은 다양한 깊이들에서의 타겟들에 대한 오버레이를 검출할 수 있고, 비파괴적(non-destructive)이다. 본원에 설명된 어떤 실시예들은 CD로부터 디커플링된 오버레이를 우수한 정확도 및 정밀도로 측정할 수 있다. 추가적으로, 외부 참조 CD 계측 또는 비용이 많이 들고 어려운 완전한 모델링이 필요되지 않는다. 위에서 설명된 기술들은 또한 CD 파라미터들 자체의 비상관화(de-correlation)를 초래하므로, 다른 엑스레이 CD 측정들을 향상시키고, 동시적 엑스레이 정보를 제공한다.
본 발명의 기술들이 오직 2개의 격자들 사이의 오버레이를 측정하는데 제한되지 않고, 또한 3개 이상의 격자들로 연장가능(예를 들어, 2개 이상의 격자들에 적용가능)하다는 점을 유념해야 한다. 3개의 격자들이 도 8에 도시된다. 3개 이상의 격자들을 가지면, 엑스레이 회절 차수들 내에서의 국부 변조 최소값은 3개의(또는 그 이상) 격자들에 의해 회절되는 방사의 보강(constructive) 및 상쇄(destructive) 간섭에 인한 것이다. 최소값의 변위는 (3개 이상의 격자들이 존재하는지의 여부에 따라) 단순히 2개 이상의 오버레이 에러 파라미터들과 상관될 수 있다. 3개의 격자들의 경우, 최소값 시프트는 최상위와 중간 격자들 사이의 제 1 오버레이 에러 및 중간과 최하위 격자들 사이의 제 2 오버레이 에러뿐만 아니라, 최상위와 최하위 격자들 사이의 제 3 오버레이 에러와 상관될 수 있다.
본 발명의 기술들은 다수의 AOI 엑스레이들로부터 생성되는 산란된 엑스레이들을 수집하도록 구성되는 임의의 적절한 엑스레이 계측 도구 상에 구현될 수 있다. 대안적인 실시예로서, 다수의 동시적 AOI 엑스레이들을 이용하는 시스템이 이용될 수 있다. 도 9는 본 발명의 일 실시예에 따른 SAXS 계측 시스템(900)의 개략도이다. 시스템(900)은 다수의 AOI을 갖는 엑스레이들을 생성하기 위한 임의의 적절한 조명 시스템(901)을 포함할 수 있다. 추가적으로, SAXS 시스템(900)은 샘플(958) 상에 입사되는 엑스레이들을 생성하기 위해 적절한 엑스레이 광자 에너지들로 전자 빔(962)에 의해 여기되는 애노드(952)를 포함하는 하나 이상의 고휘도 엑스레이 소스들(902)을 포함할 수 있다. 고휘도 엑스레이 소스는 고체 애노드 엑스레이 소스, 액체 금속 분사 엑스레이 소스, 및 액체 액적 엑스레이 소스, 또는 역컴프턴 엑스레이 소스와 같은 다른 광휘 엑스레이 소스들을 포함할 수 있다. 액체 금속 분사 엑스레이 소스의 예시적인 실시예들은 미국 특허 제 7,929,667 호에 설명되고, 이 미국 특허는 그 전체가 참조로서 본원에 포함된다.
도 9의 도시된 실시예에서, 엑스레이 빔들은 샘플(958) 상에 다수의 범위의 AOI를 생성하도록 다수의 AOI 조명기(926)에 의해 수집되고 반사되거나 또는 굴절될 수 있다. 다수의 AOI 조명기(926)는 임의의 적절한 형태를 취할 수 있다. 특정 구현예에서, 엑스레이들은 복수의 그레이징(grazing) 입사 엑스레이 미러들로부터 샘플(108) 상의 동일한 포인트를 향해 반사된다. 엑스레이 빔을 포커싱하고 단파장화하는 방법들 중 하나는 다수층 미러들의 사용을 통하는 것이다. 미러들은, 예를 들어 그레이징 미러들의 형태로, 대안적인 재료들의 얇은 층들의 적층 인터페이스에서의 웨이브들의 보강 간섭의 원리에 기반한다. 복수의 AOI들로 반사하도록 배열되는 그레이징 다수층 반사 미러들은 베이크만 마이클 에스. 외(Michael S. Bakeman et al.)에 의해 2014년 10월 15일에 출원된 미국 특허 출원 제 14/515,322 호에 더 설명되고, 이 미국 특허 출원은 참조로서 본원에 포함된다. 일반적으로, 그레이징 미러들은 브래그 관계(Bragg relation)를 충족시키고 Δθ 와이드인 2n(또는 φ) 부분들 내에 엑스레이들을 반사시키도록 치수화되고 배열될 수 있다. 즉, 각각의 수집 광학장치들(예를 들어, 그레이징 미러)은 엑스레이들의 특정 입체각(solid angle)을 수집하도록 포지셔닝되고 치수화될 수 있다. 특정 콘으로 생성되는 광 만이 각각의 그레이징 미러 상에 입사된다. 대안적인 실시예들에서, 다수의 AOI 조명기(926)는 상이한 AOI들에서의 다수의 빔들을 생성하기 위한 다수층 라우에(Laue) 렌즈들, 다수의 프레넬(Fresnel) 존 플레이트 렌즈들 또는 폴리카필러리(polycapillary) 광학장치들의 형태일 수 있다. 원리적으로, 상이한 AOI들을 얻기 위해 [브래그 프레넬 렌즈들, 곡면 결정(curved crystal)들, 월터(Wolter) 광학장치들 등과 같은] 임의의 타입의 광학장치들이 이용될 수 있다. 엑스레이 존 플레이트는 다수의 AOI들에서의 포커스 포인트로 엑스레이들을 굴절시키도록 인터리브(interleaved) 재료의 동심원들에 의해 형성될 수 있다. 구현예로서, 다수의 카필러리 엑스레이 광학장치들 또는 존 플레이트들은 샘플과 동시에 상호작용하는 다수의 시준 빔들을 생성하도록 하나 이상의 엑스레이 소스들 주위에 정렬될 수 있다.
조명 시스템(901)의 다른 양태들은 컴퓨터로 제어되고 모듈식일 수 있는 다수의 0th 차수 빔 블록들(예를 들어, 920)을 포함할 수 있다. 몇몇 경우들에서, 0th 차수 산란은 차단되지 않으면 검출기에 데미지를 줄 수 있는 연관된 큰 휘도를 가질 수 있다. 조명 시스템은 상이한 엑스레이 광학장치들이 변화하는 스팟 사이즈 및 다이버전스(divergence)의 빔들을 생산할 때, 특정 적용예들에 대해 상이하게 치수화되고 형성되는 블록들을 포함할 수 있다. 예를 들어, 엑스레이 광학장치가 단일 축에 있어서 낮은 다이버전스를 갖고 직교 축에 있어서 매우 큰 다이버전스를 갖는 경우, 0th 차수 빔 블록은, 한쪽 축에 있어서 낮은 다이버전스를 갖고 다른 축에 있어서 큰 다이버전스를 갖는 빔을 보상하기 위해, 원형 및 대칭형보다는 직사각형 또는 타원형일 수 있다. 마찬가지로, 상이한 AOI들에서의 다수의 빔들이 평행하게 웨이퍼 상에 입사될 때, 다수의 빔 블록들이 사용될 수 있고, 각각의 0th 차수 빔 블록은 그 특정 빔을 블록킹하기 위해 적절한 사이즈 및 형상을 갖는다. 빔 광학장치 구성에 따라, 상이한 사이즈들 및 형상들의 블록이 0th 차수 빔 경로의 내외로 이동(또는 내외로 회전)될 수 있다. 각각의 블록은 실질적으로 0th 차수 빔을 블록킹하는 재료로 형성될 수 있다. 예시적인 재료들은 납 및 텅스텐을 포함한다.
다른 향상점들은 스팟 사이즈를 동일하게 유지하거나 또는 상이한 입사각에 대한 빔 다이버전스를 제어하기 위해, 상이한 AOI들에서의 빔들에 대한 상이한 사이즈의 핀홀들(예들 들어, 922)을 포함하는 점이며, 이 핀홀들은 또한 컴퓨터로 제어되고 모듈식일 수 있다. 예를 들어, 단일 축에 있어서 낮은 다이버전스를 갖고 직교 축에 있어서 매우 큰 다이버전스를 갖는 엑스레이 광학장치들이 사용되는 경우, 핀홀들은, 한쪽 축에 있어서 낮은 다이버전스를 갖고 다른 축에 있어서 큰 다이버전스를 갖는 빔을 보상하기 위해, 원형 및 대칭형보다는 직사각형 또는 타원형일 수 있다. 마찬가지로, 상이한 AOI들에서의 다수의 빔들이 평행하게 웨이퍼 상에 입사될 때, 핀홀들의 다수의 세트들이 사용될 수 있고, 각각의 핀홀들의 세트는 그 특정 빔에 대한 희망하는 빔 사이즈 및 다이버전스를 생성하도록 적절한 사이즈 및 형상을 갖는다.
산란된 엑스레이들(904)은 엑스레이 검출기(906)에 의해 수집된다. 샘플 핸들러는 샘플(958)을 홀딩하고, 샘플(958)을 포지셔닝하고 정렬하기 위해 회전시키는 것뿐만 아니라 옮겨서 각도적으로 분해된 산란된 엑스레이(904)를 생성한다. 그러나, 다수의 AOI 조명기(926)가 다수의 AOI를 동시에 제공하기 때문에, 샘플은 상이한 AOI를 얻기 위해 (순차적으로) 경사질 필요가 없다. 결과적으로, 시스템(900)의 조명 및 수집 측부들은 함께 배열되고, 입사 엑스레이들을 제공하고, 다수의 동시적 AOI에서의 산란된 엑스레이들을 수집 및 검출하므로 쓰루풋에 있어서 상당한 향상을 얻는다.
다수의 AOI 조명기(926) 및 핀홀들 또는 어퍼처(aperture)들과 같은 임의의 연관된 성분들(922)은 [예를 들어, 컨트롤러(930)를 통해] 컴퓨터로 제어될 수 있고, 샘플 특성들에 기반하여 AOI들을 선택하는 능력을 가능하게 한다.
검출기는 산란된 엑스레이들을 검출하고 결과적 스펙트럼들 또는 이미지를 생성하기 위한 임의의 적절한 센서일 수 있다. 예시들로서, 센서는 포토다이오드 어레이, CCD(Charged Coupled Device), 이미지 플레이트, 하이브리드 픽셀 CCD 등 중 하나 이상을 포함할 수 있다. 검출기는 일반적으로 강도 신호를 생성하고, 이 강도 신호는 이어서 검출기[또는 컨트롤러(930)]에 의해 이미지로 변환될 수 있다.
단결정(single crystal)이 핀홀들 또는 어퍼처들의 가장자리들에 본딩되는 무산란(scatterless) 핀홀들 또는 어퍼처들이 빔의 기생적인(parasitic) 산란을 감소하기 위해 사용될 수 있다. 이 핀홀 배열은 SAXS 측정들의 배경 잡음을 감소시킬 수 있어서 신호 대 잡음비(signal to noise)를 증가시키므로 쓰루풋을 증가시킨다. 샘플 상의 절대적 광자 총수(count)를 얻기 위해 유리 탄소 또는 단순히 광자 카운팅 검출기와 같은 캘리브레이션 샘플이 이용될 수 있다. 캘리브레이션 결과들은 상대적 스케일보다는 절대적 스케일 상의 산란 강도 커브들을 획득하는데 사용될 수 있고, 이는 어떤 경우들에서 피팅(fitting)을 향상시키고 어떤 기하학적 파라미터들의 정밀도 및 정확도를 향상시킬 수 있다. 엑스레이 강도 캘리브레이션은 핀홀들 또는 어퍼처들에 마운팅되는 포토다이오드 또는 다른 검출기를 사용하여 달성될 수 있다. 마찬가지로, 엑스레이 강도 캘리브레이션은 0th 차수 빔 블록에 마운팅되는 포토다이오드 또는 다른 검출기를 사용하여 달성될 수 있다.
핀홀들의 상이한 사이즈 및 타입들은 조명 및/또는 수집 경로에 따라 동적으로 스위칭될 수 있다. 일 예시에서, 조명 광학장치들은 다이버전스 및 스팟 사이즈의 상한을 초래하는 배열을 갖도록 설계된다. 핀홀 또는 어퍼처 구조물들은 이때 특정 타겟 구조물들에 대해 보다 낮은 다이버전스 빔들 및 보다 작은 스팟 사이즈들을 얻기 위해, 조명 빔들의 부분들을 블록킹하는데 사용될 수 있다.
조명 및 수집 시스템들이 전송 SAXS에 관하여 본원에 설명되었지만, 이는 반도체 계측에 대한 그레이징 입사 SAXS(Grazing Incidence SAXS; GI-SAXS)와 함께 사용하기 위한 이 동일한 조명 및 수집 시스템들의 사용을 제한하지 않는다는 점을 유념해야 한다. 도 10은 본 발명의 대안적인 실시예에 따른 GI-SAXS 시스템의 개략도이다. 도시된 바와 같이, 엑스레이 소스(1002)는 조명 광학장치들(1004)에 의해 다수의 AOI들에서 샘플(1006) 상에 수집되고 반사되고/굴절되는 엑스레이들을 생성한다. 엑스레이들은 샘플(1006)로부터 검출기(1008) 상에 산란된다. 시스템은 또한 GI-SAXS 시스템의 다양한 성분들을 제어하기 위한 컨트롤러(1010)를 포함한다.
GI-SAXS 시스템은 광학장치들, 핀홀들, 슬릿들, 검출기들, 및 상이한 에너지들에 대해 각각 최적화된 빔 블록들의 다수의 세트들을 포함할 수 있다. T-SAXS와 대조적으로, GI-SAXS가 웨이퍼 기판을 관통하기 위한 보다 높은 에너지 엑스레이들을 필요로 하지 않기 때문에, 보다 낮은 에너지들을 포함하는 다수의 에너지들을 갖는 엑스레이 소스들은 인듐 갈륨 및 주석의 액체 금속 합금들을 사용할 수 있다.
다른 실시예에서, 곡면 결정들이 사용될 수 있다. 다수층 재료들로 이용가능한 보다 작은 주기들(d)을 제공하는 결정질 격자 평면들이 (<111>, <222>, <333> 등과 같이) 선택될 수 있다. 이러한 보다 작은 주기들로, 보다 큰 입사각들에서의 반사도가 증가된다. 매우 작은 결정질 격자 파라미터들에서, 법선에 매우 가까운 입사각들은 높은 반사도를 생성할 수 있다. 적절하게 선택된 격자 평면들을 갖는 2개의 곡면 결정들은 15keV의 엑스레이 에너지들에서의 높은 반사도를 갖는 슈바르츠실트(Schwarzschild) 오브젝티브를 생성하도록 형성될 수 있다. 예를 들어, 주(primary) 결정질 미러는 엑스레이들을 수신하고 엑스레이들을 보조(secondary) 결정질 미러들에 굴절시키도록 배열될 수 있고, 이어서 보조 결정질 미러들이 엑스레이들을 포커스 포인트에 굴절시킨다. 곡면 결정 슈바르츠실트 오브젝티브는 종래의 엑스레이 광학장치들보다 수집의 입체각(solid angle)을 증가시킬 수 있으므로, 샘플 상의 광자 플럭스를 증가시키고 쓰루풋을 증가시킨다.
예를 들어, 큐빅 결정들의 경우 격자 평면들 사이의 거리 d는 다음과 같이 주어진다.
Figure 112016050690584-pct00003
여기서 h, k 및 1은 밀러 지수(Miller indice)들이고 a는 결정의 격자 상수이다. 5.43Å의 격자 상수를 갖는 실리콘에 대해, <333> 평면의 d 간격은 1.045Å이다. Mo ka와 같은 종래의 엑스레이 소스에 대해, 파장(λ)은 0.71Å이다. 브래그 공식에 이 파장을 사용하면 다음과 같다.
Figure 112016050690584-pct00004
1.045Å의 d 간격이면, 제 1 브래그 반사계수(reflection)는 19.86°에 있다. 마찬가지로 크롬은 Mo ka 방사의 동일한 경우에 대해, <333> 평면의 d 간격에 0.56Å값 및 39.34°의 브래그 각도를 주는 2.91Å의 격자 상수를 갖는다. 이 경우, 실리콘 또는 크롬의 <333> 평면은 곡면 기판 상에 또는 평평한 (이어서 굴곡지는) 기판 상에 에피택셜방식으로 성장될 수 있다.
요컨대, 상이한 격자 평면 배향(orientation)을 갖는 상이한 결정 재료들은 상이한 d 간격들 및 특정 파장들에 대한 결과적인 AOI들을 제공하는데 사용될 수 있다. 따라서, 이러한 타입의 결정질 조명 시스템은 큰 수용 각도 범위들을 갖는 광학 시스템들에 사용될 수 있다.
다른 예시적인 시스템들은 광각 엑스레이 산란(wide angle x-ray scattering; WAXS) 시스템, 엑스레이 회절(x-ray diffraction; XRD) 시스템, 그레이징 입사 엑스레이 회절(grazing incidence x-ray diffraction; GIXRD) 시스템, 고해상도 엑스레이 회절(high resolution x-ray diffraction; HRXRD) 시스템 등을 포함한다.
본 개시 전반에 걸쳐 설명되는 다양한 단계들이 단일 프로세서 시스템 또는, 대안적으로 다수의 프로세서 시스템과 같은 컨트롤러에 의해 실행될 수 있다는 점을 인식해야 한다. 또한, 시스템의 상이한 서브시스템들은 본원에 설명되는 단계들 중 적어도 일부를 수행하기 위해 적절한 컴퓨터 시스템을 포함할 수 있다. 따라서, 이전에 언급된 설명은 본 발명을 제한하는 것으로 해석되어서는 안되며, 단지 예시적인 것이다. 또한, 하나 이상의 컨트롤러 시스템은 본원에 설명되는 방법 실시예들 중 임의의 실시예의 임의의 다른 단계(들)를 수행하도록 구성될 수 있다.
또한, 컨트롤러 시스템은 본 기술분야에 알려진 임의의 방식으로 검출기 시스템에 통신가능하게 커플링될 수 있다. 예를 들어, 컨트롤러 시스템은 검출기 시스템과 연관된 컴퓨팅 시스템들에 커플링될 수 있다. 다른 예시에서, 검출기 시스템은 컨트롤러 시스템에 커플링되는 단일 컴퓨터 시스템에 의해 직접 제어될 수 있다.
계측 시스템의 컨트롤러 시스템은 유선 및/또는 무선 부분들을 포함할 수 있는 전송 매체에 의해 시스템의 서브시스템들로부터 데이터 또는 정보를 수신하고/하거나 취득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 컨트롤러 시스템과 시스템의 다른 서브시스템들 사이의 데이터 링크로서 역할할 수 있다.
계측 시스템의 컨트롤러 시스템은 유선 및/또는 무선 부분들을 포함할 수 있는 전송 매체에 의해 다른 시스템들로부터 데이터 또는 정보(예를 들어, 측정 스펙트럼들 또는 이미지들, Qx 및 Qz 매핑 데이터, 통계적 결과들, 참조 또는 캘리브레이션 데이터, 트레이닝 데이터, 모델들, 추출된 피처들 또는 변환 결과들, 변환된 데이터세트들, 곡면 피팅들, 질적 및 양적 결과들 등)를 수신하고/하거나 취득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 컨트롤러 시스템과 다른 시스템들(예를 들어, 메모리 온보드 계측 시스템, 외부 메모리, 참조 측정 소스, 또는 다른 외부 시스템들) 사이의 데이터 링크로서 역할할 수 있다. 예를 들어, 컨트롤러 시스템은 데이터 링크를 통해 저장 매체(예를 들어, 내부 또는 외부 메모리)로부터 측정 데이터를 수신하도록 구성될 수 있다. 예를 들어, 검출 시스템을 사용하여 획득되는 스펙트럼들 결과들은 영구적인 또는 반영구적인 메모리 디바이스(예를 들어, 내부 또는 외부 메모리) 내에 저장될 수 있다. 이 점에서, 스펙트럼들 결과들은 온보드 메모리로부터 또는 외부 메모리 시스템으로부터 불러와질 수 있다. 또한, 컨트롤러 시스템은 전송 매체를 통해 다른 시스템들에 데이터를 송신할 수 있다. 예를 들어, 프로세서 시스템에 의해 결정되는 질적 및/또는 양적 결과들은 외부 메모리 내에 전달되고 저장될 수 있다. 이 점에서, 측정 결과들은 다른 시스템에 내보내질 수 있다.
컨트롤러 시스템은 비제한적인 예시로서, 본 기술분야에 알려진 개인용 컴퓨터 시스템, 메인프레인 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 임의의 다른 디바이스를 포함할 수 있다. 일반적으로, 용어 "프로세서 시스템"은 메모리 매체로부터의 명령어들을 실행하는 하나 이상의 프로세서들을 갖는 임의의 디바이스를 포괄하도록 넓게 정의될 수 있다. 본원에 설명되는 것들과 같은 방법들을 구현하는 프로그램 명령어들은 와이어, 케이블, 또는 무선 전송 링크와 같은 전송 매체를 통해 전송될 수 있다.
프로그램 명령어들은 컴퓨터로 판독가능한 매체(예를 들어, 메모리) 내에 저장될 수 있다. 예시적인 컴퓨터로 판독가능한 매체는 판독 전용(read-only) 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프를 포함한다.
컴퓨터의 알고리즘들은 컴퓨터의 하드웨어, 병렬화, 컴퓨터의 분배, 로딩 밸런싱(load-balancing), 다수의 서비스 지원, 동적 로딩 최적화 등의 설계 및 구현과 같은 하나 이상의 접근법들이 사용되는 계측 적용예를 위해 통상적으로 최적화된다. 알고리즘들의 상이한 구현들은 펌웨어, 소프트웨어, FPGA, 프로그램가능 광학장치들 성분들 등 내에 구성될 수 있다.
데이터 분석 및 피팅 단계는 다음의 목적들 즉, CD, SWA, 형상, 응력, 포커스/도스(dose), 오버레이, 및/또는 이들의 임의의 조합의 측정; 계측 시스템들의 모델링 및/또는 설계; 및 계측 타겟들의 모델링, 설계, 및/또는 최적화 중 하나를 추구하도록 사용될 수 있다.
본원에 제시된 본 발명의 어떤 실시예들은 일반적으로 반도체 계측 및 프로세스 제어의 분야를 다루며, 하드웨어, 알고리즘/소프트웨어 구현들 및 아키텍처들, 및 위에서 요약된 사용 사례들에 제한되지 않는다.
상술한 발명이 명확한 이해의 목적을 위해 다소 자세하게 설명되어 왔지만, 어떤 변경예 및 수정예들이 첨부된 청구범위의 범위 내에서 실행될 수 있다는 점이 명백해질 것이다. 본 발명의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 점을 유념해야 한다. 예를 들어, 기술들은 레티클들과 같은 반도체 웨이퍼들 외에 다른 타입들의 샘플들에 적용될 수 있다. 따라서, 본 실시예들은 제한적인 것으로서가 아닌 예시적인 것으로서 간주되어야 하며, 본 발명은 본원에 주어진 세부사항들에 제한되지 않는다.

Claims (22)

  1. 반도체 타겟 내의 오버레이 에러(overlay error)를 결정하기 위한 방법에 있어서,
    복수의 그 크기가 서로 다른 입사각(angle of incidence; AOI)들을 갖는 조명 엑스레이(illumination x-ray)들에 대해, 타겟의 오버레이 에러를, 상기 조명 엑스레이들에 응답하여 상기 타겟으로부터 산란된 엑스레이들의 각 AOI에 대한 강도 회절 패턴(intensity diffraction pattern)들과 상관시키는 상관 모델을 획득하는 단계;
    복수의 그 크기가 서로 다른 AOI들을 갖는 조명 엑스레이들을 사용하여 제 1 타겟을 조명하고, 상기 조명 엑스레이들에 응답하여 상기 제 1 타겟으로부터 산란된 엑스레이들의 각 AOI에 대한 강도 회절 패턴들을 수집하는 단계; 및
    상기 제 1 타겟으로부터 수집된 상기 엑스레이들의 복수의 강도 회절 패턴들 및 상기 상관 모델에 기반하여 상기 제 1 타겟의 오버레이 에러를 결정하는 단계를 포함하고,
    상기 오버레이 에러는, 상기 오버레이 에러를, 상기 제 1 타겟으로부터 수집된 강도 회절 패턴들의 복수의 회절 차수들의 Qz 축을 따르는 강도 최소값의 상대적 위치들과 상관시킴으로써 결정되고, 상기 Qz 축은 법선(normal) AOI에 대해 수집된 강도 회절 패턴에 대응하는 Qx 축에 수직이며, 상기 Qz 축은 Qx 축과 함께 Qz-Qx 공간을 형성하고, 상기 공간 내에서 복수의 강도 회절 패턴들이 각 AOI에 기반하여 부채꼴로 전개되는(fanned out) 것인, 반도체 타겟 내의 오버레이 에러를 결정하기 위한 방법.
  2. 제 1 항에 있어서, 상기 상관 모델은 뉴럴 네트워크 또는 주성분 분석(principal component analysis)에 의해 결정되는 것인, 방법.
  3. 제 1 항에 있어서, 상기 제 1 타겟은 비주기적(aperiodic)인 것인, 방법.
  4. 제 1 항에 있어서, 상기 오버레이 에러는 제 1 방향에 대해 결정되고, 상기 방법은, 조명하는 것과 상기 제 1 방향과는 상이한 제 2 방향에서의 제 2 오버레이 에러를 결정하는 것을 위한 동작들을 반복하는 것을 더 포함하는 것인, 방법.
  5. 제 1 항에 있어서, 상기 복수의 그 크기가 서로 다른 AOI들로 조명하는 것은 동시에 달성되는 것인, 방법.
  6. 제 1 항에 있어서, 상기 복수의 그 크기가 서로 다른 AOI들로 조명하는 것은 순차적으로 달성되는 것인, 방법.
  7. 제 1 항에 있어서, 상기 제 1 타겟은 2개 이상의 수직으로 적층된 격자(grating)들을 포함하는 것인, 방법.
  8. 제 1 항에 있어서, 상기 제 1 타겟은 디바이스 설계 규칙 사양(device design rule specification)들을 충족시키는 피치 및 임계 치수(critical dimension)값들을 갖도록 설계되는 것인, 방법.
  9. 제 8 항에 있어서, 상기 제 1 타겟은 능동 디바이스 내에 그리고 다이 내 영역(in-die area)에 위치되는 것인, 방법.
  10. 제 1 항에 있어서, 상기 조명하고 수집하는 단계는, T-SAXS(transmission small-angle x-ray scattering) 시스템, GI-SAXS(grazing incident small-angle x-ray scattering) 시스템, WAXS(wide angle x-ray scattering) 시스템, XRD(x-ray diffraction) 시스템, GIXRD(grazing incidence x-ray diffraction) 시스템, 또는 HRXRD(high resolution x-ray diffraction) 시스템에 의해 수행되는 것인, 방법.
  11. 반도체 계측 시스템에 있어서,
    엑스레이들을 생성하기 위한 엑스레이 소스;
    생성된 엑스레이들 중 일부를 수집하고 이를 복수의 그 크기가 서로 다른 입사각(AOI)들에서의 복수의 입사 빔들의 형태로 반도체 샘플 상의 특정 포커스 포인트를 향해 반사시키거나 굴절시키기 위한 조명 광학장치(illumination optic);
    상기 복수의 그 크기가 서로 다른 AOI들에서의 상기 샘플 상으로의 상기 입사 빔들에 응답하여 상기 샘플로부터 산란된 출력 엑스레이 빔들을 수집하기 위한 센서; 및
    컨트롤러를 포함하고, 상기 컨트롤러는,
    복수의 그 크기가 서로 다른 AOI들을 갖는 조명 엑스레이들에 대해, 타겟의 오버레이 에러를, 상기 조명 엑스레이들에 응답하여 상기 타겟으로부터 산란된 엑스레이들의 각 AOI에 대한 강도 회절 패턴들과 상관시키는 상관 모델을 획득하는 동작;
    상기 조명 광학장치가, 상기 조명 엑스레이들에 응답하여 제 1 타겟으로부터 산란되는 엑스레이들을 수집하는, 상기 복수의 그 크기가 서로 다른 AOI들을 갖는 조명 엑스레이들을 이용하여, 상기 제 1 타겟을 조명하게 하는 동작; 및
    상기 제 1 타겟으로부터 수집된 상기 엑스레이들의 복수의 강도 회절 패턴들 및 상기 상관 모델에 기반하여 상기 제 1 타겟의 오버레이 에러를 결정하는 동작을 수행하기 위해 구성되고,
    상기 오버레이 에러는, 상기 오버레이 에러를, 상기 제 1 타겟으로부터 수집된 강도 회절 패턴들의 복수의 회절 차수들의 Qz 축을 따르는 강도 최소값의 상대적 위치들과 상관시킴으로써 결정되고, 상기 Qz 축은 법선 AOI에 대해 수집된 강도 회절 패턴에 대응하는 Qx 축에 수직이며, 상기 Qz 축은 Qx 축과 함께 Qz-Qx 공간을 형성하고, 상기 공간 내에서 복수의 강도 회절 패턴들이 각 AOI에 기반하여 부채꼴로 전개되는 것인, 반도체 계측 시스템.
  12. 제 11 항에 있어서, 상기 조명 광학장치 및 상기 센서는 T-SAXS(transmission small-angle x-ray scattering) 시스템을 형성하도록 배열되는 것인, 반도체 계측 시스템.
  13. 제 11 항에 있어서, 상기 조명 광학장치 및 상기 센서는 GI-SAXS(grazing incident small-angle x-ray scattering) 시스템을 형성하도록 배열되는 것인, 반도체 계측 시스템.
  14. 제 11 항에 있어서, 상기 복수의 그 크기가 서로 다른 AOI들을 얻기 위해 상기 조명 광학장치에 관하여 포지셔닝 가능한 스테이지를 더 포함하는, 반도체 계측 시스템.
  15. 제 11 항에 있어서, 상기 상관 모델은 뉴럴 네트워크 또는 주성분 분석에 의해 결정되는 것인, 반도체 계측 시스템.
  16. 제 11 항에 있어서, 상기 복수의 그 크기가 서로 다른 AOI들로 조명하는 것은 동시에 달성되는 것인, 반도체 계측 시스템.
  17. 제 11 항에 있어서, 상기 복수의 그 크기가 서로 다른 AOI들로 조명하는 것은 순차적으로 달성되는 것인, 반도체 계측 시스템.
  18. 제 11 항에 있어서, 상기 제 1 타겟은 2개 이상의 수직으로 적층된 격자들을 포함하는 것인, 반도체 계측 시스템.
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
KR1020167014019A 2013-10-28 2014-10-28 엑스레이 계측을 사용하는 반도체 디바이스 오버레이를 측정하기 위한 방법들 및 장치 KR102152487B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361896230P 2013-10-28 2013-10-28
US61/896,230 2013-10-28
US14/521,966 2014-10-23
US14/521,966 US9885962B2 (en) 2013-10-28 2014-10-23 Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
PCT/US2014/062674 WO2015066040A1 (en) 2013-10-28 2014-10-28 Methods and apparatus for measuring semiconductor device overlay using x-ray metrology

Publications (2)

Publication Number Publication Date
KR20160077161A KR20160077161A (ko) 2016-07-01
KR102152487B1 true KR102152487B1 (ko) 2020-09-04

Family

ID=52995466

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167014019A KR102152487B1 (ko) 2013-10-28 2014-10-28 엑스레이 계측을 사용하는 반도체 디바이스 오버레이를 측정하기 위한 방법들 및 장치

Country Status (6)

Country Link
US (1) US9885962B2 (ko)
JP (1) JP6629193B2 (ko)
KR (1) KR102152487B1 (ko)
IL (1) IL245316B (ko)
TW (1) TWI634325B (ko)
WO (1) WO2015066040A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102489548B1 (ko) 2022-05-11 2023-01-18 김영봉 X선을 이용한 반도체 소자의 결함 검사방법

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9494535B2 (en) * 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US10352876B2 (en) 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
US9847242B2 (en) * 2014-12-24 2017-12-19 Industrial Technology Research Institute Apparatus and method for aligning two plates during transmission small angle X-ray scattering measurements
WO2016124345A1 (en) * 2015-02-04 2016-08-11 Asml Netherlands B.V. Metrology method, metrology apparatus and device manufacturing method
US10060865B2 (en) 2015-03-10 2018-08-28 Lyncean Technologies, Inc. Measurement of critical dimensions of nanostructures using X-ray grazing incidence in-plane diffraction
KR102512180B1 (ko) 2015-04-28 2023-03-20 케이엘에이 코포레이션 계산 효율적인 x 선 기반의 오버레이 측정
US10352695B2 (en) * 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
JP6821700B2 (ja) * 2016-04-22 2021-01-27 ケーエルエー コーポレイション 小スポットサイズ透過型小角x線スキャタロメトリ用ビーム整形スリット
US10983073B2 (en) 2016-07-16 2021-04-20 Rigaku Corporation Hybrid inspection system
US10775323B2 (en) * 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10481111B2 (en) * 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
US10859518B2 (en) 2017-01-03 2020-12-08 Kla-Tencor Corporation X-ray zoom lens for small angle x-ray scatterometry
DE102017201694A1 (de) 2017-02-02 2017-11-23 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zur Charakterisierung eines durch wenigstens einen Lithographieschritt strukturierten Wafers
US10767978B2 (en) 2017-04-14 2020-09-08 Kla-Tencor Corporation Transmission small-angle X-ray scattering metrology system
US11073487B2 (en) * 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
WO2018233947A1 (en) * 2017-06-20 2018-12-27 Asml Netherlands B.V. DETERMINATION OF EDGE ROUGHNESS PARAMETERS
US11333621B2 (en) 2017-07-11 2022-05-17 Kla-Tencor Corporation Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction
EP3435161A1 (en) * 2017-07-24 2019-01-30 ASML Netherlands B.V. Determining an edge roughness parameter of a periodic structure
US10499876B2 (en) * 2017-07-31 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Test key design to enable X-ray scatterometry measurement
US10983227B2 (en) 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition
US11317500B2 (en) 2017-08-30 2022-04-26 Kla-Tencor Corporation Bright and clean x-ray source for x-ray based metrology
US10748736B2 (en) 2017-10-18 2020-08-18 Kla-Tencor Corporation Liquid metal rotating anode X-ray source for semiconductor metrology
US11156548B2 (en) 2017-12-08 2021-10-26 Kla-Tencor Corporation Measurement methodology of advanced nanostructures
US10895541B2 (en) 2018-01-06 2021-01-19 Kla-Tencor Corporation Systems and methods for combined x-ray reflectometry and photoelectron spectroscopy
US10959318B2 (en) 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator
US10504851B2 (en) 2018-02-26 2019-12-10 Globalfoundries Inc. Structure and method to improve overlay performance in semiconductor devices
US10794839B2 (en) 2019-02-22 2020-10-06 Kla Corporation Visualization of three-dimensional semiconductor structures
US11519869B2 (en) 2018-03-20 2022-12-06 Kla Tencor Corporation Methods and systems for real time measurement control
US10816486B2 (en) 2018-03-28 2020-10-27 Kla-Tencor Corporation Multilayer targets for calibration and alignment of X-ray based measurement systems
CN109142404A (zh) * 2018-11-01 2019-01-04 同方威视技术股份有限公司 背散射成像系统、扫描检查系统和背散射图像成像方法
US11060846B2 (en) * 2018-12-19 2021-07-13 Kla Corporation Scatterometry based methods and systems for measurement of strain in semiconductor structures
US11990380B2 (en) 2019-04-19 2024-05-21 Kla Corporation Methods and systems for combining x-ray metrology data sets to improve parameter estimation
US11460418B2 (en) * 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
CN110914965B (zh) 2019-10-18 2021-05-25 长江存储科技有限责任公司 用于以基于衍射的叠加量测为基础评估临界尺寸的系统和方法
US11272607B2 (en) 2019-11-01 2022-03-08 Kla Corporation Laser produced plasma illuminator with low atomic number cryogenic target
US11259394B2 (en) 2019-11-01 2022-02-22 Kla Corporation Laser produced plasma illuminator with liquid sheet jet target
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11719652B2 (en) 2020-02-04 2023-08-08 Kla Corporation Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
JP7458935B2 (ja) 2020-08-26 2024-04-01 キオクシア株式会社 計測装置、及び、計測方法
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
US20220120698A1 (en) * 2020-10-16 2022-04-21 Axiomatique Technologies, Inc. Methods and apparatus for detecting defects in semiconductor systems
JP7465786B2 (ja) * 2020-11-06 2024-04-11 富士フイルム株式会社 磁気テープ、磁気テープカートリッジおよび磁気記録再生装置
US11604420B2 (en) 2021-05-03 2023-03-14 Kla Corporation Self-calibrating overlay metrology
US11604063B2 (en) 2021-06-24 2023-03-14 Kla Corporation Self-calibrated overlay metrology using a skew training sample
CN113960086B (zh) * 2021-09-18 2024-01-02 中国航天科工集团第二研究院 一种补偿式背散射探测器栅格准直成像系统及方法
FR3133673B1 (fr) 2022-03-17 2024-03-22 Commissariat Energie Atomique Procédé amélioré de mesure d’un écart d’alignement par diffusion des rayons X aux petits angles par transmission - T-SAXS ; Système instrumental et produit programme d’ordinateur associés.
US11955308B1 (en) 2022-09-22 2024-04-09 Kla Corporation Water cooled, air bearing based rotating anode x-ray illumination source

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004517477A (ja) * 2000-12-27 2004-06-10 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ オーバーレイの測定方法
JP2005140743A (ja) 2003-11-10 2005-06-02 Nippon Sheet Glass Co Ltd 加工手段の性能評価方法及びこれを用いた加工性能評価システム
JP2006226994A (ja) * 2004-12-30 2006-08-31 Accent Optical Technologies Inc 特性シグナチャのマッチングによる光波散乱計測方法
JP2007305971A (ja) * 2006-03-27 2007-11-22 Jordan Valley Semiconductors Ltd X線を用いるオーバレイ計測
JP2011507264A (ja) 2007-12-17 2011-03-03 エーエスエムエル ネザーランズ ビー.ブイ. 回折ベースのオーバレイメトロロジーツール及びその方法
JP2012150613A (ja) 2011-01-18 2012-08-09 Ricoh Co Ltd 作業内容測定装置及び作業管理装置
WO2012153649A1 (ja) 2011-05-06 2012-11-15 旭硝子株式会社 ガラス溶融炉内監視方法、ガラス溶融炉操作方法、ガラス溶融炉内監視システム
JP2013104682A (ja) 2011-11-10 2013-05-30 Toshiba Corp 計測装置および計測方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6234896B1 (en) 1997-04-11 2001-05-22 Walker Digital, Llc Slot driven video story
US6772084B2 (en) * 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings
US7616313B2 (en) 2006-03-31 2009-11-10 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7440546B2 (en) 2006-12-06 2008-10-21 Uchicago Argonne, Llc Method of making and structure of multilayer laue lens for focusing hard x-rays
WO2009126868A1 (en) 2008-04-11 2009-10-15 Rigaku Innovative Technologies, Inc. X-ray generator with polycapillary optic
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8525993B2 (en) 2009-10-07 2013-09-03 Nanometrics Incorporated Scatterometry measurement of asymmetric structures
TWI401549B (zh) * 2009-12-02 2013-07-11 Ind Tech Res Inst 二維陣列疊對圖樣之設計方法、疊對誤差量測方法及其量測系統
US8879073B2 (en) 2012-02-24 2014-11-04 Kla-Tencor Corporation Optical metrology using targets with field enhancement elements
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004517477A (ja) * 2000-12-27 2004-06-10 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ オーバーレイの測定方法
JP2005140743A (ja) 2003-11-10 2005-06-02 Nippon Sheet Glass Co Ltd 加工手段の性能評価方法及びこれを用いた加工性能評価システム
JP2006226994A (ja) * 2004-12-30 2006-08-31 Accent Optical Technologies Inc 特性シグナチャのマッチングによる光波散乱計測方法
JP2007305971A (ja) * 2006-03-27 2007-11-22 Jordan Valley Semiconductors Ltd X線を用いるオーバレイ計測
JP2011507264A (ja) 2007-12-17 2011-03-03 エーエスエムエル ネザーランズ ビー.ブイ. 回折ベースのオーバレイメトロロジーツール及びその方法
JP2012150613A (ja) 2011-01-18 2012-08-09 Ricoh Co Ltd 作業内容測定装置及び作業管理装置
WO2012153649A1 (ja) 2011-05-06 2012-11-15 旭硝子株式会社 ガラス溶融炉内監視方法、ガラス溶融炉操作方法、ガラス溶融炉内監視システム
JP2013104682A (ja) 2011-11-10 2013-05-30 Toshiba Corp 計測装置および計測方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102489548B1 (ko) 2022-05-11 2023-01-18 김영봉 X선을 이용한 반도체 소자의 결함 검사방법

Also Published As

Publication number Publication date
TW201522954A (zh) 2015-06-16
WO2015066040A1 (en) 2015-05-07
JP2016540970A (ja) 2016-12-28
US20150117610A1 (en) 2015-04-30
US9885962B2 (en) 2018-02-06
JP6629193B2 (ja) 2020-01-15
TWI634325B (zh) 2018-09-01
IL245316A0 (en) 2016-06-30
IL245316B (en) 2019-03-31
KR20160077161A (ko) 2016-07-01

Similar Documents

Publication Publication Date Title
KR102152487B1 (ko) 엑스레이 계측을 사용하는 반도체 디바이스 오버레이를 측정하기 위한 방법들 및 장치
JP7486621B2 (ja) X線スキャトロメトリシステムのフルビーム計測
KR102363266B1 (ko) 고 애스펙트비 구조체에 대한 x 선 산란측정법 계측
TWI805594B (zh) 基於多色軟性x射線繞射之用於半導體度量之方法及系統
US9846132B2 (en) Small-angle scattering X-ray metrology systems and methods
JP7376666B2 (ja) 透過型小角x線散乱計量システム
KR102512180B1 (ko) 계산 효율적인 x 선 기반의 오버레이 측정
US9915879B2 (en) Substrate and patterning device for use in metrology, metrology method and device manufacturing method
CN114207419B (zh) 用于基于波长分辨软x射线反射法的半导体计量的方法及系统
KR102300470B1 (ko) 소각 x선 산란 측정법을 위한 x선 줌 렌즈
JP2023509480A (ja) 軟x線スキャタロメトリに依拠するオーバレイ計測方法及びシステム
JP7431969B2 (ja) 簡略化モデルを用いるトモグラフィ依拠半導体計測
CN107533020B (zh) 计算上高效的基于x射线的叠盖测量系统与方法

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant