CN114207419B - 用于基于波长分辨软x射线反射法的半导体计量的方法及系统 - Google Patents

用于基于波长分辨软x射线反射法的半导体计量的方法及系统 Download PDF

Info

Publication number
CN114207419B
CN114207419B CN202080056536.9A CN202080056536A CN114207419B CN 114207419 B CN114207419 B CN 114207419B CN 202080056536 A CN202080056536 A CN 202080056536A CN 114207419 B CN114207419 B CN 114207419B
Authority
CN
China
Prior art keywords
ray
illumination
measurement signals
metrology
detector
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202080056536.9A
Other languages
English (en)
Other versions
CN114207419A (zh
Inventor
A·库兹涅佐夫
张超
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN114207419A publication Critical patent/CN114207419A/zh
Application granted granted Critical
Publication of CN114207419B publication Critical patent/CN114207419B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/205Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials using diffraction cameras
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/2206Combination of two or more measurements, at least one measurement being that of secondary emission, e.g. combination of secondary electron [SE] measurement and back-scattered electron [BSE] measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/20008Constructional details of analysers, e.g. characterised by X-ray source, detector or optical system; Accessories therefor; Preparing specimens therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/02Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material
    • G01N23/06Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material and measuring the absorption
    • G01N23/083Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material and measuring the absorption the radiation being X-rays
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/207Diffractometry using detectors, e.g. using a probe in a central position and one or more displaceable detectors in circumferential positions
    • G01N23/2076Diffractometry using detectors, e.g. using a probe in a central position and one or more displaceable detectors in circumferential positions for spectrometry, i.e. using an analysing crystal, e.g. for measuring X-ray fluorescence spectrum of a sample with wavelength-dispersion, i.e. WDXFS
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/2204Specimen supports therefor; Sample conveying means therefore
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • G01N2223/052Investigating materials by wave or particle radiation by diffraction, scatter or reflection reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • G01N2223/056Investigating materials by wave or particle radiation by diffraction, scatter or reflection diffraction
    • G01N2223/0561Investigating materials by wave or particle radiation by diffraction, scatter or reflection diffraction diffraction cameras
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/10Different kinds of radiation or particles
    • G01N2223/101Different kinds of radiation or particles electromagnetic radiation
    • G01N2223/1016X-ray
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/20Sources of radiation
    • G01N2223/206Sources of radiation sources operating at different energy levels
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/645Specific applications or type of materials quality control

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Pathology (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Immunology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Dispersion Chemistry (AREA)
  • Toxicology (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

本发明提出用于在多个衍射级处基于波长分辨软X射线反射法(WR‑SXR)而测量半导体结构的结构及材料特性的方法及系统。WR‑SXR测量是在具有宽光谱宽度的多个衍射级内的同时高吞吐量测量。所述多个衍射级中的每一者处波长分辨信号信息的可用性改善了测量准确度及吞吐量。每一非零衍射级包含多个测量点,每一不同测量点与不同波长相关联。在一些实施例中,用10到5,000电子伏特的范围中的X射线辐射能以1到45度的范围中的掠射入射角执行WR‑SXR测量。在一些实施例中,照射射束经控制以在一个方向上具有相对高发散度且在正交于第一方向的第二方向上具有相对低发散度。在一些实施例中,采用多个检测器,每一检测器检测不同衍射级。

Description

用于基于波长分辨软X射线反射法的半导体计量的方法及 系统
技术领域
所描述实施例涉及X射线计量系统及方法,且更特定来说涉及用于经改善测量准确度的方法及系统
背景技术
通常通过适用于样品的处理步骤序列来制作例如逻辑及存储器装置等半导体装置。通过这些处理步骤来形成所述半导体装置的各种特征及多个结构层级。举例来说,光刻尤其是一种半导体制作工艺,所述半导体制作工艺涉及在半导体晶片上产生图案。半导体制作工艺的额外实例包含但不限于化学机械抛光、蚀刻、沉积及离子植入。可在单个半导体晶片上制作多个半导体装置,且然后将所述多个半导体装置分离成个别半导体装置。
在半导体制造过程期间在各个步骤处使用计量过程来检测晶片上的缺陷以促成较高合格率。通常使用若干种基于计量的技术(包含散射法及反射法实施方案及相关联分析算法)来表征临界尺寸、膜厚度、组成及纳米尺度结构的其它参数。
传统上,对由薄膜及/或重复周期性结构组成的目标执行散射法临界尺寸测量。在装置制作期间,这些膜及周期性结构通常表示实际装置几何结构及材料结构或中间设计。随着装置(例如,逻辑及存储器装置)朝向较小纳米尺度尺寸进展,表征变得更困难。并入有复杂三维几何结构及具有迥异物理性质的材料的装置加剧表征困难度。
关于纳米结构的材料组成及形状的准确信息受限于前缘前端半导体制作设施的过程开发环境。散射测量光学计量系统依赖于准确几何及色散模型来避免测量偏差。由于对先验可用的纳米结构的材料组成及形状的了解有限,因此测量变因开发及验证是一个缓慢且乏味的过程。举例来说,横截面透射电子显微镜(TEM)图像用于指导光学散射法模型开发,但TEM成像是缓慢且具破坏性的。
利用红外线到可见光的散射测量光学计量工具测量来自亚波长结构的零级衍射信号。随着装置临界尺寸不断缩小,散射测量光学计量敏感度及能力也不断减小。此外,当吸收材料存在于被测量结构中时,光学区域(例如,0.5到10ev)中的照射光的穿透及散射限制了常规光学计量系统的效用。
类似地,基于电子束的计量系统由于照射、反向散射及二次发射电子的吸收及散射而难以穿透半导体结构。
原子力显微镜(AFM)及扫描穿隧显微镜(STM)能够实现原子分辨率,但其仅可探测样品的表面。另外,AFM及STM显微镜需要长扫描时间,这使这些技术在大量制造(HVM)设定中不切实际。
采用在硬X射线能阶(>15keV)下的光子的透射小角度X射线散射法(T-SAXS)系统已显示有希望解决具挑战性的测量应用。在以下各专利中描述将SAXS技术应用于临界尺寸(CD-SAXS)及叠对(OVL-SAXS)的测量的各种方面:1)Zhuang及Fielden的标题为“High-brightness X-ray metrology”的美国专利第7,929,667号;2)Bakeman、Shchegrov、Zhao及Tan的标题为“Model Building And Analysis Engine For Combined X-ray And OpticalMetrology”的美国专利公开案第2014/0019097号;3)Veldman、Bakeman、Shchegrov及Mieher的标题为“Methods and Apparatus For Measuring Semiconductor DeviceOverlay Using X-ray Metrology”的美国专利公开案第2015/0117610号;4)Hench、Shchegrov及Bakeman的标题为“Measurement System Optimization For X-ray BasedMetrology”的美国专利公开案第2016/0202193号;5)Dziura、Gellineau及Shchegrov的标题为“X-ray Metrology For High Aspect Ratio Structures”的美国专利公开案第2017/0167862号;及6)Gellineau、Dziura、Hench、Veldman及Zalubovsky的标题为“Full BeamMetrology for X-ray Scatterometry Systems”的美国专利公开案第2018/0106735号。将前述专利文件受让给加利福尼亚州(USA)苗必达市的KLA-Tencor公司,每一专利文件的内容以其全文引用方式并入本文中。
SAXS也已应用于材料及其它非半导体相关应用的表征。数家公司已将示范性系统商业化,包含Xenocs SAS(www.xenocs.com)、Bruker公司(www.bruker.com)及Rigaku公司(www.rigaku.com/en)。
也在科学文献中描述对半导体结构的CD-SAXS计量的研究。大多数研究小组已采用由于其巨大大小、成本等而不适合于在半导体制作设施中使用的高亮度X射线同步加速器源。此种系统的一个实例描述于Lemaillet、Germer、Kline等人的标题为“Intercomparison between optical and X-ray scatterometry measurements ofFinFET structures”(Proc,SPIE,v.8681,p.86810Q(2013))的文章中。最近,国家标准技术局(NIST)的一个小组已开始采用与在美国专利第7,929,667号中描述的那些X射线源类似的紧凑且明亮X射线源的研究。这个研究描述于标题为“X-ray scattering criticaldimensional metrology using a compact X-ray source for next generationsemiconductor devices”(J.Micro/Nanolith.MEMS MOEMS 16(1),014001(2017年1月到3月))的文章中。
然而,来自浅结构(例如,逻辑计量应用)的硬X射线的散射是弱的,这严重限制了可实现的测量分辨率及吞吐量。如此,T-SAXS未显示为HVM环境中的逻辑计量应用的可行选项。
T-SAXS系统由于接近法向入射照射而在晶片上实现小射束占用面积。然而,T-SAXS系统需要高能量光子(例如,>16keV)来实现穿过被测量晶片的充足透射。通常,衍射效率随光子能E(即以1/E2)按比例缩放,且各衍射级的角距以1/E按比例缩放。为避免2D周期性结构的级重叠,立体角接受度以1/E2按比例缩放。这些比例缩放因子对用于浅结构的计量的T-SAXS系统处以重罚。
另外,在透射测量中,由所有先前经图案化步骤形成的衍射图案叠加于当前层结构的衍射图案上。由于预期临界金属层的最小间距(即,周期)收敛到仅相差10%到20%的值,因此角接受度严重地受限定而在检测器处将衍射信号分开。否则,必须将所有先前层的几何信息前馈到表征当前层的计量系统。通常,在复杂HVM环境的上下文内,获得并管理所需计量及过程信息是非常困难的。
常规GI-SAXS系统以接近半导体材料的临界反射角(例如,小于一度的掠射角)及高于8keV的光子能来操作以最大化衍射强度。这导致投射到晶片上的极其大照射射束光点大小(例如,大于1mm)。所述照射射束光点大小大得使甚至切割线计量目标不可使用。因此,必须在晶片上建构极其大的专业计量目标以执行GI-SAXS测量。功能性晶片面积(realestate)的这种损失是成本高的。另外,GI-SAXS测量的表面敏感度极好,但高纵横比结构的穿透由于渐逝场行为而非常有限。
Quintanilha等人的标题为“Metrology Methods,Metrology Apparatus,andDevice Manufacturing Method”的美国专利公开案第2017/0357155A1号描述一种采用光谱仪类型检测器来产生0级的波长分辨信号的系统。这种方法需要在晶片与光敏检测元件之间的收集路径中使用衍射元件(例如,衍射光栅)来将不同波长的信号分开。这限制了可用于执行光谱测量的检测器的类型。
总之,需要具有用于低纵横比结构及高纵横比结构两者的测量能力及与切割线目标相容的照射射束光点大小的维度计量系统。在一个实例中,需要一种估计高纵横比(HAR)结构在HVM吞吐量下的形状及边缘放置参数的计量系统。另外,在不具有实质性先前维度及材料组成信息的情况下开发并验证用于计量系统的测量变因且在大量制造(HVM)环境中操作计量系统应是可能的。
发明内容
本文中提出用于在多个衍射级处基于波长分辨软X射线反射法(WR-SXR)而测量半导体结构的结构及材料特性的方法及系统。本文中所描述的方法及系统提供在具有宽光谱宽度的多个衍射级内的同时高吞吐量测量。多个衍射级中的每一者处波长分辨信号信息的可用性改善了测量准确度及吞吐量。
一般来说,在波长、入射角及方位角范围内用小射束光点大小执行半导体晶片的WR-SXR测量。在一个方面中,用在软X射线(SXR)区域(即,10到5,000eV)中的X射线辐射以在1到45度的范围中的掠射入射角执行WR-SXR测量。
一般来说,0级反射射束将具有与入射照射射束的发散度类似的发散度。散射到非零衍射级中的辐射具有比入射照射射束高的发散度,这是因为入射照射射束包含各自在非零衍射级中的每一者内以稍微不同角度衍射的多个波长。非零衍射级的反射角取决于入射照射射束的波长。对于具有多个离散照射波长的入射照射射束,散射光在每一非零衍射级内包含多个反射角。类似地,对于具有连续宽频光谱的入射照射射束,散射光在每一非零衍射级内包含在入射于检测器时反射光的连续空间扩展。因此,每一非零衍射级包含多个测量点,每一不同测量点与不同波长相关联。因此,每一非零衍射级包含波长分辨光谱。以这种方式,WR-SXR计量系统在不在被测量目标与检测器之间的光学路径中采用衍射光学元件的情况下提供波长分辨信号信息。
采用WR-SXR系统以基于在散射光的一或多个非零衍射级处的波长分辨信号信息而确定样品的性质(例如,结构参数值)。
在一个方面中,主动调整检测器与被测量晶片之间的距离以改变在检测器处收集的光谱信息的分辨率。
在又一方面中,聚焦光学器件收集源发射并选择一或多个离散波长或光谱带,且以在1到45度的范围中的掠射入射角将所选择光聚焦到样品上。
在又一方面中,通过主动定位聚焦光学器件的一或多个镜元件而调整投射到同一计量区上的波长、AOI、方位角或其任一组合的范围。
在又一方面中,WR-SXR计量系统包含一或多个射束狭缝或孔隙以使入射于样品上的照射射束成形且选择性地阻挡将以其它方式照射被测量计量目标的照射光的部分。一或多个射束狭缝界定射束大小及形状,使得X射线照射光点适配在被测量计量目标的区内。另外,一或多个射束狭缝界定照射射束发散度以限制检测器上的衍射级的重叠。
在又一方面中,WR-SXR计量系统采用一或多个机构来控制照射射束能。一般来说,照射射束能经选择以确保X射线充分穿透到被测量特定样本中。
在又一方面中,WR-SXR计量系统的所述入射照射射束经控制以在跨越所述入射照射射束的一个方向上具有相对高发散度且在正交于第一方向的跨越所述入射照射射束的第二方向上具有相对低发散度。以这种方式,在高发散度的方向上跨越所述检测器的所述作用表面的空间扩展正交于在低发散度的方向上跨越所述检测器的所述作用表面的所述空间扩展。在高发散度的方向上跨越所述检测器的所述作用表面的所述空间扩展由于相对高发散度而由入射角支配。然而,在低发散度的方向上跨越所述检测器的所述作用表面的所述空间扩展由如上文所描述的每一衍射级内的波长色散支配。以这种方式,每一非零衍射级包含由于光谱反射率(在低发散度的方向上)及角反射率(在高发散度的方向上)而产生的关于被测量结构的信号信息。
在又一方面中,通过使一或多种液体材料或一或多种气体材料流动到被测量目标上以增加所测量材料之间的对比度而改善测量性能。
在又一方面中,WR-SXR计量系统采用多个检测器。在一些实施例中,一或多个衍射级由第一检测器收集,且其它衍射级由另一检测器收集。
在又一方面中,主动控制WR-SXR计量系统的检测器的位置以捕获由被测量目标反射的辐射。
前述内容是发明内容且因此必然含有细节的简化、概述及省略;因此,所属领域的技术人员将了解,发明内容仅为说明性的且不以任何方式为限制性的。在本文中所陈述的非限制性实施方式中,本文中所描述的装置及/或过程的其它方面、发明性特征及优点将变得显而易见。
附图说明
图1描绘在一个实施例中用于测量样品的特性的基于波长分辨软X射线反射法(WR-SXR)的计量工具的图解说明。
图2描绘在一个实施例中从周期性目标散射且由WR-SXR计量系统的检测器检测的辐射的图解说明。
图3描绘在另一实施例中从周期性目标散射且由WR-SXR计量系统的检测器检测的辐射的图解说明。
图4描绘以由入射角θ及方位角φ描述的特定定向入射于晶片上的照射射束的图解说明。
图5描绘入射于图3中所描绘的检测器的作用表面上的散射辐射的一部分的图解说明。
图6描绘在另一实例中入射于检测器的作用表面上的散射辐射的一部分的图解说明。
图7描绘在另一实施例中用于测量样品的特性的WR-SXR计量系统的图解说明。
图8描绘在一个实施例中穿过射束能滤波器的WR-SXR计量系统的照射射束的图解说明。
图9描绘图解说明由沉积于卡普顿(Kapton)衬底上的大约0.2微米厚度的硼层及锡层制作的射束能滤波器的透射曲线的曲线图。
图10描绘在另一实施例中用于测量样品的特性的WR-SXR计量系统的图解说明。
图11描绘针对单波长照射光入射于图10中所描绘的检测器的作用表面上的散射辐射的图解说明。
图12描绘针对宽频照射光入射于图10中所描绘的检测器的作用表面上的散射辐射118的图解说明。
图13描绘图解说明Nb2O5镜的反射率随照射射束能而变的曲线图。
图14描绘在另一实施例中用于测量样品的特性的WR-SXR计量系统的图解说明。
图15是图解说明由WR-SXR计量系统的计算系统实施的示范性模型构建及分析引擎的图式。
图16A是图解说明在一个实例中的周期性计量目标的图式。
图16B是图解说明在一个实例中的经抽取计量目标的图式。
图17是图解说明在一个实例中执行样品的波长分辨软X射线反射法(WR-SXR)测量的方法的流程图。
具体实施方式
现将详细参考背景技术实例及本发明的一些实施例,在附图中图解说明本发明的实例。
提出用于基于X射线照射而测量与不同半导体制作过程相关联的半导体结构的结构及材料特性(例如,材料组成、结构及膜的维度特性等)的系统及方法。更具体来说,本文中提出用于在多个衍射级处基于波长分辨软X射线反射法(WR-SXR)而执行半导体结构的测量的方法及系统。本文中所描述的方法及系统提供在具有宽光谱宽度的多个衍射级内的同时高吞吐量测量。多个衍射级中的每一者处波长分辨信号信息的可用性改善了测量准确度及吞吐量。
一般来说,在波长、入射角及方位角范围内用小射束光点大小(例如,跨越有效照射光点小于50微米)执行半导体晶片的WR-SXR测量。在一个方面中,用在软X射线(SXR)区域(即,10到5,000eV)中的X射线辐射以在1到45度的范围中的掠射入射角执行WR-SXR测量。用于特定测量应用的掠射角经选择以实现到被测量结构中的所期望穿透且最大化关于小射束光点大小(例如,小于50微米)的测量信息内容。
图1图解说明在至少一个新颖方面中用于测量样品的特性的WR-SXR计量工具100的实施例。如图1中所展示,系统100可用于对包含安置于样品101上的周期性目标102的测量区执行WR-SXR测量。
照射源110产生多个波长下的照射辐射。在图1中所描绘的实施例中,照射源110产生以掠射角G引导到安置在样品101上的周期性目标102(例如,周期性光栅)的宽频照射。在图1中所描绘的实施例中,周期性目标102在一个方向(即,跨越图式的水平方向)上是周期性的。所述周期性目标在不具有周期性的情况下在正交方向(即,垂直于图纸的方向)上均匀地延伸。通过非限制性实例的方式,图1描绘在一个方向上具有周期性的周期性目标。一般来说,本文中所描述的计量方法及系统可适用于在两个方向(例如,两个正交方向)上具有周期性的周期性目标的测量。
在图1中所描绘的实施例中,照射源110是具有小照射源区(小于50微米)的高次谐波产生(HHG)激光照射源。在一个实例中,HHG激光照射源110产生在跨越射束的两个正交方向上具有低射束发散度(例如,小于1毫弧度)的照射射束114。将照射射束114投射到周期性目标102上,且作为响应,辐射118从周期性目标102散射且由检测器119检测。
图2更详细地描绘从周期性目标102散射且由检测器119检测的辐射118。如图2中所描绘,照射射束114的波长谱152包含若干个离散波长。散射辐射118包含从周期性目标102散射到不同衍射级中的辐射。反射射束118A是来自周期性目标102的0级反射。衍射辐射118B对应于+1衍射级。衍射辐射118C对应于-1衍射级。衍射辐射118D对应于+2衍射级。衍射辐射118E对应于-2衍射级。衍射辐射118F(未展示)对应于+3衍射级。衍射辐射118G(未展示)对应于-3衍射级。衍射辐射118H(未展示)对应于+4衍射级。衍射辐射118I(未展示)对应于-4衍射级。如图2中所描绘,存在于照射射束中的每一离散波长在非零衍射级中的每一者内以不同角度从周期性目标102衍射。
一般来说,0级射束118A将具有与入射照射射束114的发散度类似的发散度。散射到非零衍射级中的散射辐射118的部分具有比入射照射射束114高的发散度,这是因为入射照射射束114包含各自在非零衍射级中的每一者内以稍微不同角度衍射的多个波长。一般来说,反射角由衍射光栅方程式(1)给出;
a×λ=d×[sin(Θo)+sin(Θa)] (1)
其中λ是入射照射射束的波长,d是光栅周期,a是衍射级,Θo是入射角,且Θa是衍射光的角度。标称入射角(在图1中描绘为角度G)是介于1度与45度之间的任何适合掠射入射角(即,位于晶片平面与入射照射射束之间的角度)。
如由方程式(1)所图解说明,不管波长如何,与0衍射级(a=0)相关联的反射角等于入射角。然而,非零衍射级(a≠0)的反射角取决于入射照射射束的波长。对于具有多个离散照射波长的入射照射射束,散射光在每一非零衍射级内包含多个反射角。类似地,对于具有连续宽频光谱的入射照射射束,散射光在每一非零衍射级内包含在入射于检测器119时反射光的连续空间扩展。
如图2中所描绘,照射射束114的波长谱152包含四个离散波长,且每一非零衍射级包含在不同位置处入射于检测器119上的四个离散波长中的每一者。以这种方式,检测器119在每一非零衍射级内的每一离散波长下清楚地分辨从周期性目标102散射的辐射。例如,当测量3D光栅时,用多个离散照射波长执行的测量在特定测量应用中可以是优选的。
如图3中所描绘,照射射束114的波长谱155包含连续宽频光谱,且在每一非零衍射级内的散射光在每一非零衍射级内包含检测器119处反射光的连续空间扩展。反射光的每一相异波长在每一非零衍射级内在不同位置处入射于检测器119上。以这种方式,检测器119在每一非零衍射级内的每一相异波长下清楚地分辨从周期性目标102散射的辐射。
如图2及3中所描绘,非零衍射级各自包含落在检测器119的光敏表面上的不同位置处的不同反射波长。因此,每一非零衍射级包含多个测量点,每一不同测量点与不同波长相关联。因此,每一非零衍射级包含波长分辨光谱。以这种方式,WR-SXR计量系统在不在被测量目标与检测器之间的光学路径中采用衍射光学元件的情况下提供波长分辨信号信息。在检测器的表面处根据波长检测每一衍射级的空间扩展会提供关于经测量所关注结构的有用且唯一信息并且进一步增强测量性能。
通过晶片101相对于照射射束114的任何两个角度旋转来描述入射照射射束114相对于半导体晶片101的表面法线的每一定向,或反之亦然。在一个实例中,可相对于固定到晶片的座标系描述所述定向。图4描绘以由入射角θ及方位角φ描述的特定定向入射于晶片101上的照射射束114。座标系XYZ固定到计量系统(例如,照射射束114)且座标系X’Y’Z’固定到晶片101。Y轴线与晶片101的表面在平面中对准。X及Z不与晶片101的表面对准。Z’与法向于晶片101的表面的轴线对准,且X’及Y’位于与晶片101的表面对准的平面中。如图4中所描绘,X射线照射射束114与Z轴线对准且因此位于XZ平面中。入射角θ描述X射线照射射束114在XZ平面中相对于晶片的表面法线的定向。此外,方位角φ描述XZ平面相对于X’Z’平面的定向。θ及φ一起唯一地界定X射线照射射束114相对于晶片101的表面的定向。在这个实例中,通过围绕法向于晶片101的表面的轴线(即,Z’轴线)的旋转及围绕与晶片101的表面对准的轴线(即,Y轴线)的旋转来描述X射线照射射束相对于晶片101的表面的定向。在一些其它实例中,通过围绕与晶片101的表面对准的第一轴线及与晶片101的表面对准且垂直于所述第一轴线的另一轴线的旋转来描述X射线照射射束相对于晶片101的表面的定向。
在图2及3中所描绘的实例中,方位角使得入射照射射束114在与周期性目标102的周期性的方向(跨越纸张的水平方向)对准且与周期性目标的均匀延伸范围的方向(法向于纸张的方向)正交的方向上照射周期性目标102。图5描绘如在图3中所描绘的照射条件下测量的入射于检测器119的作用表面上的散射辐射118的一部分。更具体来说,图5描绘0级反射射束118A、对应于-1衍射级的衍射辐射118C、对应于-2衍射级的衍射辐射118E及对应于-3衍射级的衍射辐射118G。如图5中所描绘,存在于照射射束中的每一波长在非零衍射级中的每一者内以不同角度从周期性目标102衍射。因此,存在于照射射束114中的波长范围λRANGE针对非零衍射级中的每一者在y方向上空间地扩展。
在另一实例中,方位角使得入射照射射束114在与周期性目标的均匀延伸范围的方向(法向于图3的纸张的方向)对准且正交于周期性目标102的周期性的方向(跨越图3的纸张的水平方向)的方向上照射周期性目标102。图6描绘如在这些照射条件下测量的入射于检测器119的作用表面上的散射辐射118的一部分。更具体来说,图6描绘0级反射射束118A、分别对应于+1及-1衍射级的衍射辐射118B及118C、分别对应于+2及-2衍射级的衍射辐射118D及118E以及分别对应于+3及-3衍射级的衍射辐射118F及118G。如图6中所描绘,存在于照射射束中的每一波长在非零衍射级中的每一者内以不同角度从周期性目标102衍射。因此,存在于照射射束114中的波长范围λRANGE针对非零衍射级中的每一者沿着弧线149跨越检测器119的作用表面空间地扩展。
在另一方面中,主动调整检测器与被测量晶片之间的距离以改变在检测器处收集的光谱信息的分辨率。在一些实施例中,致动器(未展示)经配置以响应于从计算系统130传递到致动器的控制命令(未展示)而使检测器119移动。使检测器移动远离被测量晶片会提高所收集光谱信息的分辨率,即,随着距离增加而增加检测器处任何两个不同波长之间的空间间隔。相反地,使检测器朝向被测量晶片移动会降低所收集光谱信息的分辨率,即,随着距离减小而减小检测器处任何两个不同波长之间的空间间隔。
X射线照射源110经配置以产生适合于进行WR-SXR测量的SXR辐射。X射线照射源110是多色高亮度大展度源。在一些实施例中,X射线照射源110经配置以产生介于10电子伏特与5,000电子伏特之间的范围中的X射线辐射。一般来说,可预期能够以足以实现高吞吐量直列式计量的通量水平产生高亮度SXR的任何适合高亮度X射线照射源以供应用于进行WR-SXR测量的X射线照射。适合X射线照射源的一些实例包含旋转阳极源、固体阳极源、粒子加速器源、微聚焦源、激光产生等离子源、液态金属喷流源、气体喷流/毛细管/单元源、逆康普顿(Compton)散射源(ICS)、紧凑存储环源(CSR)、电放电产生等离子源(DPP)、高次谐波产生源(HHG)、具有增强腔的HHG源(HHG+)及软X射线激光源。
适合X射线照射源描述于美国专利公开案第2019/0215940号、美国专利公开案第2016/0249442号、美国专利公开案第2016/0128171号、美国专利公开案第2015/0076359号、美国专利公开案第2015/0008335号、美国专利公开案第2014/0306115号及美国专利公开案第2014/0246607号中,每一美国专利公开案的内容以其全文引用方式并入本文中。
在一些实施例中,照射源110是扭摆/波纹机同步加速器辐射源(SRS)。示范性扭摆/波纹机SRS描述于美国专利第8,941,336号及8,749,179号中,所述美国专利的内容以其全文引用方式并入本文中。
在一些实施例中,照射源110是经配置以轰击固体、液体或气体目标以刺激X射线辐射的电子束源。用于产生高亮度液态金属X射线照射的方法及系统描述于2011年4月19日颁发给KLA-Tencor公司的美国专利第7,929,667号中,所述美国专利以其全文引用方式并入本文中。
在一些实施例中,照射源110是激光产生等离子(LPP)光源。在这些实施例中的一些实施例中,LPP光源包含氙、氪、氩、氖、氮、乙醇及水发射材料中的任一者。一般来说,在共振SXR区域中针对亮度优化适合LPP目标材料的选择。举例来说,由氪发射的等离子在硅K边缘处提供高亮度。在另一实例中,由氙发射的等离子通过SXR区域(例如,80到3000eV)提供高亮度。如此,当期望宽频SXR照射时,氙是发射材料的良好选择。
还可针对可靠且长寿命光源操作而优化LPP目标材料选择。例如氙、氪及氩等钝气目标材料是惰性的且可在闭环操作中用最少去污处理或不用去污处理来进行再利用。示范性SXR照射源描述于美国专利公开案第2019/0215940号中,所述美国专利公开案的内容以其全文引用方式并入本文中。
在一些实施例中,适合照射源是宽频的。然而,在一些其它实施例中,适合照射源并非宽频的,但包含多个离散辐射波长(例如,HHG源)。在这些实施例中的一些实施例中,每一衍射级的反射率包含数个图像,每一离散波长一个图像。在一些实施例中,将由HHG源产生的多个相邻谐波提供到周期性目标,且检测器的光敏表面处的一组离散点收敛到较大图像中。
具有低发散度(例如,小于1毫弧度)的照射源是优选的以产生如本文中所描述的波长分辨信号信息。低发散度照射源在一些测量应用中(例如,当测量3D光栅时)是有利的。尽管可采用高发散度源,但所述高发散度源可在从照射源到检测器的光学路径中需要额外光学元件以获得波长分辨信号信息。这会给计量系统增添额外成本、复杂度及光子损失。
在一些实施例中,将发射软X射线以及在较长波长下的光(例如,深UV、真空UV、可见光或IR)的宽频源用作计量系统的照射源。
X射线照射源110在具有有限横向尺寸(即,正交于射束轴线的非零尺寸)的源区内产生X射线发射。在一个方面中,照射源110的源区由小于20微米的横向尺寸表征。在一些实施例中,所述源区由10微米或更小的横向尺寸表征。在一些实施例中,所述源区由5微米或更小的横向尺寸表征。小源大小使得能够以高亮度照射样品上的小目标区,因此基于小计量目标的测量而改善测量精确度、准确度及吞吐量。在一些实施例中,小(<5微米直径)照射源大小使扫描计量系统实现亚微米分辨率。
X射线检测器119收集从样品101散射的X射线辐射118且根据WR-SXR测量模态产生指示对入射X射线辐射敏感的样品101的性质的输出信号135。在一些实施例中,散射X射线118由X射线检测器119收集,而样品定位系统140将样品101定位并定向以产生以角度方式分辨的散射X射线。在一些实施例中,通过在跨越样品101的不同位置处收集信号来获得关于样本均匀性的信号信息。
一般来说,可利用任何适合类型的X射线检测器来执行如本文中所描述的WR-SXR测量。示范性检测器包含电荷耦合装置(CCD)、气体电子倍增器(GEM)、微带比例计数器、气体填充比例计数器、碲化镉(CdTe)检测器、像素阵列检测器(PAD)、崩溃光电二极管(APD)、PIN二极管阵列、光电二极管阵列、光电倍增管(PMT)、多沟道板以及CCD检测器、互补金属氧化物半导体(CMOS)检测器、闪烁器以及高速CMOS检测器、CMOS主动像素传感器(APS)等。
在一些实施例中,WR-SXR系统包含具有高动态范围(例如,大于105)的一或多个光子计数检测器。在一些实施例中,X射线检测器分辨一或多个X射线光子能量且针对每一X射线能量分量产生指示样品的性质的信号。以这种方式,除像素位置及计数数目之外,还通过能量来区分检测器内的X射线光子相互作用。在一些实施例中,通过比较X射线光子相互作用的能量与预定阈值上限及预定阈值下限来区分X射线光子相互作用。在一个实施例中,通过输出信号135将这个信息传递到计算系统130以进行进一步处理及存储。
在另一方面中,WR-SXR系统用于基于散射光的一或多个非零衍射级处的波长分辨信号信息而确定样品的性质(例如,结构参数值)。如图1中所描绘,计量工具100包含用于获取由检测器119产生的信号135且至少部分地基于所述所获取信号而确定样品的性质的计算系统130。
在一些实例中,基于WR-SXR的计量涉及通过具有所测量数据的预定测量模型的反解来确定样本的尺寸。所述测量模型包含几个(大约十个)可调整参数且表示样品的几何形状及光学性质以及测量系统的光学性质。反解方法包含但不限于基于模型的回归、断层扫描、机器学习或其任一组合。以这种方式,通过对参数化测量模型的值进行求解来估计目标轮廓参数,所述值最小化所测量散射X射线强度与经建模结果之间的误差。
期望以大波长、入射角及方位角范围执行测量以增加所测量参数值的精确度及准确度。这种方法通过扩展可用于进行分析的数据集的数目及多样性来减少参数之间的相关性。
收集衍射辐射的强度随照射波长、相对于晶片表面法线的X射线入射角或其组合而变的测量。含纳于多个衍射级中的波长分辨信号信息在考虑中的每一模型参数之间通常是唯一的。因此,X射线散射以小误差及经减少参数相关性产生所关注参数的值的估计结果。
在一个方面中,计量工具100包含固定地支撑晶片101且耦合到样品定位系统140的晶片卡盘103。样品定位系统140经配置以依六个自由度将样品101相对于照射射束114主动定位。在一个实例中,计算系统130将指示样品101的所期望位置的命令信号(未展示)传递到样品定位系统140。作为响应,样品定位系统140产生去往样品定位系统140的各种致动器的命令信号以实现样品101的所期望定位。
在一些实例中,在晶片上在入射角、方位角及位置范围内执行测量以提供经优化从而改善测量性能的不同照射及收集角。
在一些实施例中,X射线光学器件使X射线辐射成形且将X射线辐射从照射源110引导到样品101。在一些实例中,X射线光学器件使用多层X射线光学器件来准直X射线射束或将X射线射束聚焦到样品101的测量区102上以达到小于1毫弧度发散度。在一些实施例中,X射线光学器件包含一或多个X射线准直镜、X射线孔隙、X射线射束止挡件、折射X射线光学器件、衍射光学器件(例如波带片)、Schwarzschild光学器件、Kirkpatrick-Baez光学器件、Montel光学器件、Wolter光学器件、镜面X射线光学器件(例如椭球面镜)、多毛细管光学器件(例如空心毛细管X射线波导)、多层光学器件或系统或者其任一组合。进一步细节描述于美国专利公开案第2015/0110249号中,所述美国专利公开案的内容以其全文引用方式并入本文中。
图7图解说明在另一实施例中用于测量样品的特性的WR-SXR计量工具200的实施例。如图7中所描绘,聚焦光学器件111将源辐射聚焦到位于样品101上的计量目标上。有限横向源尺寸在目标上产生由来自源的边缘的射线116及射束狭缝112及113所提供的任何射束成形界定的有限光点大小102。
在一些实施例中,聚焦光学器件111包含椭圆形聚焦光学元件。在图7中所描绘的实施例中,聚焦光学器件111在椭圆的中心处的放大率是大约1。因此,投射到样品101的表面上的照射光点大小是与由于标称掠射入射角(例如,1到45度)而调整其射束扩展的照射源大致相同的大小。
在另一方面中,聚焦光学器件111收集源发射且选择一或多个离散波长或光谱带,并且以在1到45度的范围中的掠射入射角将所选择光聚焦到样品101上。
标称掠射入射角经选择以实现计量目标的所期望穿透以最大化信号信息内容同时保持在计量目标边界内。硬X射线的临界角非常小,但软X射线的临界角显著较大。由于这个额外测量柔性,因此WR-SXR测量以对掠射入射角的精确值的较小敏感度探测到结构中更深处。
在一些实施例中,聚焦光学器件111包含选择所期望波长或波长范围以投射到样品101上的分级多层。在一些实例中,聚焦光学器件111包含选择一个波长且在入射角范围内将所述所选择波长投射到样品101上的分级多层结构(例如,层或涂层)。在一些实例中,聚焦光学器件111包含选择波长范围且在一个入射角内将所选择波长投射到样品101上的分级多层结构。在一些实例中,聚焦光学器件111包含选择波长范围且在入射角范围内将所选择波长投射到样品101上的分级多层结构。
分级多层光学器件是优选的以最小化在单层光栅结构太深时发生的光损失。一般来说,多层光学器件选择反射波长。所选择波长的光谱频宽优化提供到样品101的通量、所测量衍射级中的信息内容,且通过检测器处的角度色散及衍射峰值重叠来防止信号降级。另外,分级多层光学器件用于控制发散度。针对检测器处的通量及最少空间重叠而优化每一波长下的角发散度。
在一些实例中,分级多层光学器件选择波长以增强来自特定材料界面或结构尺寸的衍射信号的对比度及信息内容。举例来说,所选择波长可经选择以横跨元件特定共振区域(例如,硅K边缘、氮、氧K边缘等)。另外,在这些实例中,照射源也可经调谐以最大化所选择光谱区域中的通量(例如,HHG光谱调谐、LPP激光调谐等)。
在一些实施例中,采用基于Nb2O5镜的反射多层光学器件。图13描绘图解说明Nb2O5镜的反射率146随照射射束能而变的曲线图145。如图13中所图解说明,在宽X射线射束能范围内实现85%或更高的反射率。在一些实施例中,Nb2O5镜在与宽频X射线源组合使用时是有益的。
在另一方面中,通过主动定位聚焦光学器件111的一或多个镜元件来调整投射到同一计量区上的波长、AOI、方位角或其任一组合的范围。如图7中所描绘,计算系统130将命令信号137传递到致动器系统115,命令信号137致使致动器系统115调整聚焦光学器件111的光学元件中的一或多者的位置、对准或两者以实现投射到样品101上的波长、AOI、方位角或其任一组合的所期望范围。
一般来说,入射角经选择以优化被测量计量目标对照射光的穿透及吸收。在许多实例中,测量多个层结构且选择入射角以最大化与所期望所关注层相关联的信号信息。在叠对计量的实例中,波长及入射角经选择以最大化由从先前层及当前层的散射之间的干扰产生的信号信息。另外,方位角也经选择以优化信号信息内容。另外,方位角经选择以确保检测器处衍射峰值的角距。
在另一方面中,WR-SXR计量系统(例如,计量工具200)包含一或多个射束狭缝或孔隙以使入射于样品101上的照射射束114成形且选择性地阻挡将以其它方式照射被测量计量目标的照射光的一部分。一或多个射束狭缝界定射束大小及形状,使得X射线照射光点适配在被测量计量目标的区内。另外,一或多个射束狭缝界定照射射束发散度以限制检测器上衍射级的重叠。
图7描绘位于聚焦光学器件111与射束成形狭缝113之间的射束路径中的射束发散度控制狭缝112。射束发散度控制狭缝112限制提供到被测量样品的照射的发散度。射束成形狭缝113位于射束发散度控制狭缝112与样品101之间的射束路径中。射束成形狭缝113进一步使入射射束114成形且选择入射射束114的照射波长。射束成形狭缝113位于紧接在样品101前面的射束路径中。在一个方面中,射束成形狭缝113的狭缝位于紧密接近于样品101处以最小化入射射束光点大小由于有限源大小所界定的射束发散度而发生的放大。
在一些实施例中,射束成形狭缝113包含多个经独立致动的射束成形狭缝。在一个实施例中,射束成形狭缝113包含四个经独立致动的射束成形狭缝。这四个射束成形狭缝有效地阻挡传入射束的一部分且产生具有框形照射横截面的照射射束114。
射束成形狭缝113的狭缝由最小化散射且有效地阻挡入射辐射的材料构造。示范性材料包含单晶材料,例如锗、砷化镓、磷化铟等。通常,狭缝材料沿着结晶方向经切开而非锯开,以跨越结构边界最小化散射。另外,狭缝相对于传入射束而定向,使得传入辐射与狭缝材料的内部结构之间的相互作用产生最少量的散射。晶体附接到由高密度材料(例如,钨)制成的每一狭缝固持器以在狭缝的一个侧上完全阻挡X射线射束。
在另一方面中,如本文中所描述的WR-SXR计量系统采用一或多个机构来控制照射射束能。一般来说,照射射束能经选择以确保X射线充分地穿透到被测量特定样本中。在一些实例中,具有较小穿透的射束能经选择以用于测量更靠近于表面的参数。在这些实例中,照射射束能仅探测表面上的结构而不穿透到结构中的深处。在一些实例中,具有较大穿透的射束能经选择以用于测量被测量结构中更深处的参数。在一些实例中,具有低穿透及高穿透的能量的组合经选择以区分表面结构参数与埋入结构参数。
在一些实施例中,用可在宽能量范围内调谐的照射源110选择照射射束能。在这些实施例中,照射源自身发射所期望范围中的照射能。在一些实施例中,照射源110是受计算系统130控制以最大化一或多个所选择光谱区域中的通量的LPP光源。目标材料处的激光峰值强度控制等离子温度且因此控制所发射辐射的光谱区域。通过调整脉冲能量、脉冲宽度或两者来使激光峰值强度发生变化。在一个实例中,100皮秒脉冲宽度适合于产生SXR辐射。如图1中所描绘,计算系统130将命令信号136传递到照射源110,命令信号136致使照射源110调整从照射源110发射的波长的光谱范围。在一个实例中,照射源110是LPP光源,且所述LPP光源调整脉冲持续时间、脉冲频率及目标材料组成中的任一者以实现从所述LPP光源发射的波长的所期望光谱范围。
在一些其它实施例中,照射射束能是由照射源与被测量样品之间的光学路径中的一或多个光学元件选择。在一些实施例中,聚焦光学器件111经选择以将所期望射束能范围的照射射束聚焦到被测量样品上。在一些实施例中,射束能滤波器150(图7中所描绘)位于照射源与被测量样品之间的光学路径中。射束能滤波器使所期望射束能范围透射到被测量样品上,且吸收在所期望射束能范围以外的射束能。
图8描绘在一个实例中穿过射束能滤波器150的照射射束114的图解说明。如图8中所描绘,传入照射射束114的波长谱152包含大数目个波长峰值。然而,在穿过射束能滤波器150之后,所透射照射射束114的波长谱153包含四个相异波长峰值。
图9描绘图解说明由沉积于卡普顿衬底上的大约0.2微米厚度的硼层及锡层制作的射束能滤波器150的透射曲线156的曲线图155。如图9中所描绘,这种射束能滤波器透射在从大约140eV到190eV的范围中的射束能,且拒斥在这个频带以外的射束能。
在另一方面中,WR-SXR计量系统的入射照射射束经控制以在跨越入射照射射束的一个方向上具有相对高发散度且在正交于第一方向的跨越入射照射射束的第二方向上具有相对低发散度。以这种方式,在高发散度的方向上跨越检测器的作用表面的空间扩展正交于在低发散度的方向上跨越检测器的作用表面的空间扩展。在高发散度的方向上跨越检测器的作用表面的空间扩展由于相对高发散度而由入射角支配。然而,在低发散度的方向上跨越检测器的作用表面的空间扩展由如上文所描述的在每一衍射级内的波长色散支配。以这种方式,每一非零衍射级包含由于光谱反射率(在低发散度的方向上)及角反射率(在高发散度的方向上)而产生的关于被测量结构的信号信息。
在一些实施例中,WR-SXR系统的聚焦光学器件在跨越入射照射射束的一个方向上以至少5的缩小率(即,0.2或更小的放大因子)且在正交于第一方向的跨越入射照射射束的第二方向上以零放大率将照射源的图像投射到被测量样品上。在一些实施例中,如本文中所描述的WR-SXR系统采用SXR照射源,所述SXR照射源具有由20微米或更小的横向尺寸表征的源区(即,源大小是20微米或更小)、在跨越照射射束的任一方向上具有低输出发散度(例如,大约1毫弧度或更小)。在一些实施例中,在跨越射束的第一方向上以至少5的缩小因子(即,将源的图像投射到是源大小的五倍的晶片上)且在正交于第一方向的跨越入射照射射束的第二方向上以零放大率采用聚焦光学器件。在此实例中,用在第二方向上二十微米或更小且在第一方向上四微米或更小的入射照射光点大小将入射照射射束投射到样品上。另外,以在第二方向上1毫弧度或更小且在第一方向上5毫弧度或更小的入射照射射束发散度将入射照射射束投射到样品上。
图10图解说明在另一实施例中的WR-SXR计量工具300的实施例。图10中所描绘的相似编号元件与参考图1及7所描述的那些元件相似。如图10中所描绘,聚焦光学器件111是在一个方向上具有曲率的椭圆形光学元件。聚焦光学器件111相对于照射源110及样品101经布置,使得照射源110与聚焦光学器件111之间的距离A显著大于聚焦光学器件111与样品101之间的距离B。在一些实施例中,A/B的比率是至少5。在一些实施例中,A/B的比率是至少10。这导致到样品101上的照射源缩小A/B的因子。在一个实施例中,照射源110的大小是大约10微米且聚焦光学器件111经布置使得A/B是10。由照射源110输出的照射射束的射束发散度在跨越照射射束的任一方向上是大约0.5毫弧度。在这个实施例中,投射到样品101上的照射光点大小在跨越经受缩小的射束的方向上是大约1微米且在跨越未经受缩小的射束且正交于第一方向的第二方向上是大约10微米。另外,以在第二方向上大约0.5毫弧度且在第一方向上5毫弧度的入射照射射束发散度将入射照射射束投射到样品上。
图11描绘如在图10中所描绘的照射条件下针对单波长照射光所测量的入射于检测器119的作用表面上的散射辐射118的图解说明141。更具体来说,图11描绘0级反射射束118A、对应于-1衍射级的衍射辐射118C、对应于-2衍射级的衍射辐射118E、对应于-3衍射级的衍射辐射118G及对应于-4衍射级的衍射辐射118I。如图11中所描绘,存在于照射射束中的单波长从周期性目标102衍射到衍射级中的每一者中。在非零衍射级中在波长方向上存在非常少空间扩展,这是因为照射光包含仅一个波长且在这个方向上的射束发散度是相对低的(例如,0.5毫弧度)。然而,在正交于波长方向的方向上,在所有衍射级中跨越角范围存在显著空间扩展,这是因为在这个方向上的射束发散度是相对高的(例如,5毫弧度)。
图12描绘如在图10中所描绘的照射条件下针对宽频照射光所测量的入射于检测器119的作用表面上的散射辐射118的图解说明142。更具体来说,图12描绘0级反射射束118A、对应于-1衍射级的衍射辐射118C、对应于-2衍射级的衍射辐射118E、对应于-3衍射级的衍射辐射118G及对应于-4衍射级的衍射辐射118I。如图12中所描绘,存在于照射射束中的不同波长在非零衍射级中的每一者内以不同角度从周期性目标102衍射。因此,存在于照射射束114中的波长范围λRANGE针对非零衍射级中的每一者在y方向上空间地扩展。在非零衍射级中在波长方向上存在实质性空间扩展,这是因为照射光包含多个不同波长。此外,在这个方向上的射束发散度是相对低的(例如,0.5毫弧度),因此存在于y方向上的波长信息未由角信息显著污染。然而,在正交于波长方向的x方向上,在所有衍射级中跨越角范围存在显著空间扩展,这是因为在这个方向上的射束发散度是相对高的(例如,5毫弧度)。因此,在多个波长下且跨越在一个方向上的射束以相对高射束发散度且跨越在正交于第一方向的第二方向上的射束以相对低射束发散度执行的测量会提供与被测量目标相关联的波长及角信号信息两者。
美国专利公开案第2019/0017946号描述一般采用具有相对高发散度的入射照射的多色软X射线反射计系统,且以其全文引用方式并入本文中。然而,这类系统不提供如本文中所描述的波长分辨衍射信号。
一般来说,被测量样品与WR-SXR计量系统的检测器之间的X射线收集路径可包含如前文所描述的照射路径中所使用的元件的任一组合。
在一些实施例中,采用阻挡一或多个衍射级的狭缝。在一个实施例中,采用金属射束止挡件来阻挡0级反射。
在一些实施例中,空间衰减器位于收集路径中以选择性地使与一或多个衍射级(例如,0级)而非其它级相关联的光的一部分衰减。以这种方式,在检测器上在同一动态范围下同时测量所有级。
一般来说,位于照射路径、收集路径或两者中的前述光学元件使得能够独立控制用于每一测量的目标大小、光子通量、射束形状。
在又一方面中,通过使一或多种液体材料或一或多种气体材料流动到被测量目标上以增加所测量材料之间的对比度从而改善测量性能。美国专利第10,281,263号、第10,041,873号及第10,145,674号中提供对这些技术的进一步描述,每一美国专利的内容以其全文引用方式并入本文中。在一些实施例中,蒸汽注入系统在被测量结构的照射期间将包含呈汽相的填充材料的气体流提供到所述结构。使填充材料的一部分以液相凝结到所述结构上。填充材料的一部分填充所述结构的一或多个几何特征之间的空间的至少一部分。
一般来说,在半导体制作过程的任一步骤处,根据本文中所描述的系统及方法的被测量目标包含任何周期性或半周期性结构。
在一些实施例中,如本文中所描述的WR-SXR计量系统用于测量周期性计量目标。举例来说,图16A描绘具有周期性光栅结构的计量目标160,所述周期性光栅结构具有间距P。然而,在另一方面中,如本文中所描述的WR-SXR计量系统用于测量展现周期性及非周期性两者的经抽取计量目标。经抽取计量目标是包含标称周期性单位单元的阵列的计量目标,且在阵列内的经编程或随机位置处删除、位移或结构上修改标称周期性阵列的一或多个单元。举例来说,图16B描绘具有间距P的标称周期性光栅结构的计量目标161。然而,另外,光栅结构的特定鳍状物不存在于计量目标161中。因此,从被测量计量目标161的散射的角分布展现导致局部化衍射峰值的周期性性质及也称为漫散射的非周期性行为两者。
一般来说,通过以随机或经编程方式删除标称周期性单位单元阵列的元件而增加漫散射在布拉格(Bragg)峰值之间的分布。漫射强度角分布与结构因子的平方成比例。当采用经抽取计量目标时,布拉格峰值强度减小,但更多像素包含非零信息内容,这是因为散射光在更多像素上方扩展。由于基于模型的测量能够使用来自所有像素的信息,因此测量的信息内容的总体增加改善了测量精确度及准确度。一般来说,布拉格峰值强度随着经删除单元的分率增加而减小。计量目标的所期望抽取最大化总体测量信息内容。在一些实例中,经抽取计量目标分辨出现在其中计量目标的结构因子在布拉格峰值位置的大子集处是零(例如,在50%工作周期处的方波)的情形中的模糊度。可在顺序单波长操作模式中或在同时多波长操作模式中采用WR-SXR计量系统来测量经抽取计量目标。
在一些实施例中,计量目标包含经选择以最大化信号信息且因此最小化获取时间的一般或特定非周期性。逻辑标准单元阵列的设计规则将本原元素放在固定网格上且将图案密度变化控制在窄范围内。逻辑结构的计量集中于实现区域中的平均特征大小及间隔的高精确度估计。占有率及周期性并非所关注的,这是因为在光刻过程中很好地控制了这些方面。用于逻辑结构的计量目标优化了强度分布,使得在相对于所期望特征参数集含有高信息内容的角区域中增加散射通量。在一个实例中,计量目标包含边界区域以增强中央区的衍射的信息内容。
在又一方面中,WR-SXR计量系统采用多个检测器。在一些实施例中,一或多个衍射级由第一检测器收集,且其它衍射级由另一检测器收集。
图14描绘在另一实施例中的WR-SXR计量系统400。如图14中所描绘,检测器170的作用表面位于非零衍射级的光学路径中,且检测器171的作用表面位于零衍射级的光学路径中。在图14中所描绘的实施例中,检测器170包含透明或半透明的孔隙或者将0衍射级传送到检测器171的空隙。如图14中所描绘,将由检测器170产生的所检测信号172及由检测器171产生的所检测信号173传递到计算系统130。
在一些实施例中,检测器170经配置以测量X射线波长,且检测器171经配置以测量X射线波长、紫外线波长、可见波长、红外线波长或其任一组合。在图14中所描绘的实施例中,检测器171位于真空腔室123内。这个实施例适合于涉及由检测器171检测包含软X射线的辐射的测量配置。然而,在一些其它实施例中,检测器171位于真空腔室123外部。这些实施例适合于涉及由检测器171检测不包含软X射线(例如,较长波长,例如紫外线、可见光、红外线等)的辐射的测量配置。
在另一方面中,主动控制WR-SXR计量系统的检测器的位置以捕获由被测量目标反射的辐射。在一些实施例中,计算系统130将致使检测器119的作用表面相对于所收集光倾斜或平移的控制命令(未展示)传递到耦合到检测器119的致动器(未展示)。
在一些实施例中,WR-SXR实现对设计规则目标的测量,这是因为照射波长比所测量结构的周期短。这提供优于现有技术的显著益处,其中对大于设计规则目标的目标执行测量。使用WR-SXR波长准许在过程设计规则下的目标设计,即,无“非零偏移”。
用于WR-SXR测量的计量目标可包含一维周期性阵列或二维周期性阵列。由WR-SXR测量的所关注参数包含但不限于关于样本的维度信息(例如,层厚度、光栅高度、临界尺寸、侧壁角度、叠对、蚀刻放置误差)及材料信息(例如,材料组成)。
在一些实施例中,照射源110是具有10微米或更小的源大小的LPP光源,且聚焦光学器件111具有大约10的缩小因子。这使得WR-SXR计量工具300能够将照射光聚焦到具有1到2微米的尺寸的计量目标上。通过将入射照射光聚焦到1到2微米的照射光点大小,WR-SXR计量工具300使得能够测量位于裸片中的临界尺寸目标及叠对目标,而非依赖于位于晶片切割线区中的较大计量目标。
测量具有1到2微米的尺寸的目标的能力减小致力于专门计量目标的晶片区。另外,测量具有1到2微米的尺寸的目标的能力实现装置结构而非专门计量目标的直接测量。测量装置结构直接取消目标与装置偏差。这显著改善了测量品质。另外,裸片中目标的测量使得能够表征裸片内的参数变化。示范性所关注参数包含临界尺寸、叠对及边缘放置误差,例如端线缩短、线与触点距离等。
在一些实施例中,X射线照射源110、聚焦光学器件111、狭缝112及113或其任一组合维持在与样品101相同的大气环境(例如,气体净化环境)中。然而,在一些实施例中,这些元件之间且在这些元件中的任一者内的光学路径长度是长的且空气中的X射线散射及吸收对检测器上的图像造成噪声及信号衰减。因此,在一些实施例中,X射线照射源110、聚焦光学器件111以及狭缝112及113中的任一者维持在局部化真空环境中。在图7中所描绘的实施例中,照射源110、聚焦光学器件111以及狭缝112及113维持在经抽空飞行管117内的受控环境(例如,真空)中。照射射束114在入射于样品101之前穿过飞行管117的端处的窗120。
类似地,在一些实施例中,样品101与检测器119之间的光学路径长度(即,收集射束路径)是长的且空气中的X射线散射及吸收对检测器上的图像造成噪声及衰减。因此,在优选实施例中,样品101与检测器119之间的收集射束路径长度的显著部分维持在通过真空窗(例如,真空窗124)与样品(例如,样品101)分开的局部化真空环境中。在一些实施例中,X射线检测器119维持在和样品101与检测器119之间的射束路径长度相同的局部化真空环境中。举例来说,如图7中所描绘,真空腔室123维持环绕检测器119及样品101与检测器119之间的射束路径长度的显著部分的局部化真空环境。
在一些其它实施例中,将X射线检测器119维持在与样品101相同的大气环境(例如,气体净化环境)中。这可有利于从检测器119移除热。然而,在这些实施例中,将样品101与检测器119之间的射束路径长度的显著着部分维持在真空腔内的局部化真空环境中是优选的。
在一些实施例中,将包含样品101的整个光学系统维持在真空中。然而,一般来说,与将样品101维持在真空中相关联的成本由于与样品定位系统140的构造相关联的复杂性而是高的。
在又一方面中,计算系统130经配置以:产生样品的所测量结构的结构模型(例如,几何模型、材料模型或经组合的几何及材料模型);产生WR-SXR响应模型,所述WR-SXR响应模型包含来自结构模型的至少一个几何参数;且通过用WR-SXR响应模型执行WR-SXR测量数据的拟合分析而分辨至少一个样品参数值。使用分析引擎来比较所模拟WR-SXR信号与所测量数据,由此允许确定样品的几何以及材料性质(例如电子密度)。在图1中所描绘的实施例中,计算系统130被配置为如本文中所描述的经配置以实施模型构建与分析功能性的模型构建及分析引擎。
图15是图解说明由计算系统130实施的示范性模型构建及分析引擎180的图式。如图15中所描绘,模型构建及分析引擎180包含产生样品的所测量结构的结构模型182的结构模型构建模块181。在一些实施例中,结构模型182还包含样品的材料性质。接收结构模型182作为到WR-SXR响应函数构建模块183的输入。WR-SXR响应函数构建模块183至少部分地基于结构模型182而产生WR-SXR响应函数模型184。拟合分析模块185比较经建模WR-SXR响应与对应所测量数据以确定样品的几何以及材料性质。
在一些实例中,通过最小化卡方值而来实现经建模数据到实验数据的拟合。举例来说,针对WR-SXR测量,可将卡方值定义为
其中,是“沟道”j中的所测量WR-SXR信号126,其中索引j描述一组系统参数,例如衍射级、能量、角座标等。/>是“沟道”j的经建模WR-SXR信号Sj,所述信号是针对一组结构(目标)参数v1,...,vL而评估,其中这些参数描述几何(CD、侧壁角度、叠对等)及材料(电子密度等)。σSXR,j是与第j个沟道相关联的不确定因素。NSAXS是X射线计量中的沟道的总数目。L是表征计量目标的参数的数目。
方程式(2)假定与不同沟道相关联的不确定因素是不相关的。在其中与不同沟道相关联的不确定因素相关的实例中,可计算不确定因素之间的协方差。在这些实例中,可将WR-SXR测量的卡方值表达为
其中VSXR是WR-SXR沟道不确定因素的协方差矩阵,且T表示转置。
在一些实例中,拟合分析模块185通过对WR-SXR测量数据135与WR-SXR响应模型184执行拟合分析来分辨至少一个样品参数值。在一些实例中,将优化。
如上文中所描述,通过最小化卡方值来实现WR-SXR数据的拟合。然而,一般来说,可通过其它函数来实现WR-SXR数据的拟合。
在又一方面中,计算系统130经配置以训练将WR-SXR测量数据映射到表征被测量结构的一或多个所关注参数(例如,描述被测量结构的几何参数)的值的输入-输出模型(例如,神经网络模型、信号响应计量模型等)。此外,计算系统130经配置以将输入-输出模型用于基于WR-SXR测量数据而估计所关注参数的值。
可通过包含以下各项的若干个数据拟合及优化技术来分析WR-SXR数据:库、快速降阶模型、回归、机器学习算法(例如神经网络及支持向量机(SVM))、降维算法(例如,PCA(主成分分析)、ICA(独立成分分析)及LLE(区域线性嵌入))、稀疏表示(例如傅里叶(Fourier)或小波变换)、卡尔曼(Kalman)滤波器、用于促进来自相同或不同工具类型的匹配的算法及其它。
例如Bringoltz等人的美国专利公开案第2015/0204664号及美国专利公开案第2016/0216197号还可通过不包含建模、优化及/或拟合的算法来分析WR-SXR数据,所述美国专利公开案的内容以其全文引用方式并入本文中。
WR-SXR计量数据的分析对于提供对所关注几何及/或材料参数的敏感度的任一类型的WR-SXR技术是有利的。样品参数可以是具确定性的(例如,CD、SWA等)或统计的(例如,侧壁粗糙度的rms高度、粗糙度相关长度等),只要使用描述与样品的WR-SXR射束相互作用的恰当模型即可。
一般来说,计算系统130经配置以采用实时临界尺寸(RTCD)来实时存取模型参数,或其可存取经预计算模型的库以确定与样品101相关联的至少一个样品参数值的值。一般来说,可使用某种形式的CD引擎来评估样品的经指派CD参数与和所测量样品相关联的CD参数之间的差。用于计算样品参数值的示范性方法及系统描述于2010年11月2日颁予KLA-Tencor公司的美国专利第7,826,071号中,所述美国专利以其全文引用方式并入本文中。
在一些实例中,模型构建及分析引擎180通过侧馈分析、前馈分析及平行分析的任一组合来改善所测量参数的准确度。侧馈分析指代采取关于同一样品的不同区的多个数据集且将从第一数据集确定的共用参数传送到第二数据集上以供分析。前馈分析指代采取关于不同样品的数据集且使用逐步复制精确参数前馈方法将共用参数前向传送到后续分析。平行分析指代将非线性拟合方法平行或同时应用于多个数据集,其中在拟合期间耦合至少一个共用参数。
多重工具及结构分析指代基于回归、查找表(即,“库”匹配)或多个数据集的另一拟合过程的前馈、侧馈或平行分析。用于多重工具及结构分析的示范性方法及系统描述于2009年1月13日颁予KLA-Tencor公司的美国专利第7,478,019号中,所述美国专利以其全文引用方式并入本文中。
在又一方面中,基于在入射X射线射束相对于测量目标的单个定向处执行的WR-SXR测量而确定对一或多个所关注参数的值的初始估计。所述初始所估计值被实施为所关注参数的开始值以用于在多个定向处从WR-SXR测量收集的测量数据实现测量模型的回归。以这种方式,以相对小量的计算努力确定所关注参数的接近估计,且通过将这个接近估计实施为在大得多数据集内的回归的起点来以较少总体计算努力获得所关注参数的精细化估计。
在另一方面中,计量工具100包含经配置以实施如本文中所描述的射束控制功能性的计算系统(例如,计算系统130)。在图7中所描绘的实施例中,计算系统130被配置为可操作以控制入射照射射束114的照射性质(例如强度、发散度、光点大小、偏光、光谱及定位)中的任一者的射束控制器。
如图7中所图解说明,计算系统130通信地耦合到检测器119。计算系统130经配置以从检测器119接收测量数据135。在一个实例中,测量数据135包含样品的所测量响应(即,衍射级的强度)的指示。基于检测器119的表面上所测量响应的分布,照射射束114在样品101上的位置及入射区由计算系统130确定。在一个实例中,计算系统130应用图案辨识技术基于测量数据135而确定照射射束114在样品101上的位置及入射区。在一些实例中,计算系统130将命令信号136传递到X射线照射源110以选择所期望照射波长。在一些实例中,计算系统130将命令信号137传递到致动器子系统115以重新引导X射线发射从而实现所期望射束方向。在一些实例中,计算系统130将致使射束成形狭缝112及113改变射束光点大小且选择照射波长使得入射照射射束114以所期望射束光点大小、定向及波长到达样品101的命令信号138及139分别传递到射束成形狭缝112及113。在一个实例中,命令信号138及139致使与狭缝112及113相关联的致动器改变位置以使入射射束114重新成形到所期望形状及大小且选择所期望波长。在一些实例中,计算系统130将致使射束能滤波器150从照射射束114的光谱滤除非所期望波长的命令信号151传递到射束能滤波器150。在一些其它实例中,计算系统130将用于定位并定向样品101使得入射照射射束114到达相对于样品101的所期望位置及角定向的命令信号传递到晶片定位系统140。
在另一方面中,使用WR-SXR测量数据基于所检测衍射级的所测量强度而产生所测量结构的图像。在一些实施例中,将WR-SXR响应函数模型一般化以描述从通用电子密度网的散射。匹配这个模型与所测量信号同时约束这个网中的建模电子密度以强化连续性及稀疏边缘会提供样本的三维图像。
尽管基于几何模型的参数反演对于基于WR-SXR测量的临界尺寸(CD)计量是优选的,但WR-SXR测量数据可用于在所测量样品偏离几何模型的假定时识别并校正模型误差。
在一些实例中,比较所述图像与通过相同散射法测量数据的基于几何模型的参数反演以估计的结构特性。使用差异来更新所测量结构的几何模型并改善测量性能。当测量集成电路以对其制造过程进行控制、监视及疑难排解时,收敛于准确参数测量模型上的能力是特别重要的。
在一些实例中,所述图像是电子密度、吸收率、复折射率或这些材料特性的组合的二维(2-D)图谱。在一些实例中,所述图像是电子密度、吸收率、复折射率或这些材料特性的组合的三维(3-D)图谱。所述图谱是使用相对少的物理约束产生的。在一些实例中,依据所得图谱直接估计一或多个所关注参数,例如临界尺寸(CD)、侧壁角度(SWA)、叠对、边缘放置误差、间距游动(pitch walk)等。在一些其它实例中,图谱可在样本几何形状或材料偏离出基于模型的CD测量所采用的参数结构模型所预期的期望值范围时用于对晶片过程进行除错。在一个实例中,使用图谱与通过参数结构模型根据其所测量参数所预测的结构的渲染之间的差来更新参数结构模型并改善其测量性能。进一步细节描述于美国专利公开案第2015/0300965号中,所述美国专利公开案的内容以其全文引用方式并入本文中。额外细节描述于美国专利公开案第2015/0117610号中,所述美国专利公开案的内容以其全文引用方式并入本文中。
在另一方面中,采用模型构建及分析引擎180来产生经组合X射线及光学测量分析的模型。在一些实例中,光学模拟是基于(例如)严格耦合波分析(RCWA),其中对马克斯威尔(Maxwell)方程式求解以计算光学信号,例如针对不同偏光的反射率、椭偏参数、相变等。
基于X射线衍射级在所述多个不同入射角处的所检测强度及所检测光学强度与经组合几何参数化响应模型的经组合拟合分析而确定一或多个所关注参数的值。光学强度由可或可不与X射线计量系统(例如图1中所描绘的系统100)机械地整合在一起的光学计量工具测量。额外细节描述于美国专利公开案第2014/0019097号及美国专利公开案第2013/0304424号中,每一美国专利公开案的内容以其全文引用方式并入本文中。
应认识到,本发明通篇所描述的各种步骤可由单计算机系统130或(另一选择是)多计算机系统130执行。此外,系统100的不同子系统(例如样品定位系统140)可包含适合于执行本文中所描述的步骤的至少一部分的计算机系统。因此,前述说明不应解释为对本发明的限制而仅为图解说明。此外,一或多个计算系统130可经配置以执行本文中所描述的方法实施例中的任一者的任一(任何)其它步骤。
另外,计算机系统130可以本技术领域中已知的任一方式通信地耦合到X射线照射源110、射束成形狭缝112及113、聚焦光学器件致动器系统115、样品定位系统140及检测器119。举例来说,一或多个计算系统130可耦合到分别与X射线照射源110、射束成形狭缝112及113、聚焦光学器件致动器系统115、样品定位系统140及检测器119相关联的计算系统。在另一实例中,X射线照射源110、射束成形狭缝112及113、聚焦光学器件致动器系统115、样品定位系统140及检测器119中的任一者可直接由耦合到计算机系统130的单计算机系统控制。
计算机系统130可经配置以由可包含有线及/或无线部分的传输媒体从系统的子系统(例如,X射线照射源110、射束成形狭缝112及113、聚焦光学器件致动器系统115、样品定位系统140、检测器119等等)接收及/或获取数据或信息。以这种方式,传输媒体可用作计算机系统130与系统100的其它子系统之间的数据链路。
计量系统100的计算机系统130可经配置以由可包含有线及/或无线部分的传输媒体从其它系统接收及/或获取数据或信息(例如,测量结果、建模输入、建模结果等)。以这种方式,传输媒体可用作计算机系统130与其它系统(例如,存储器板上计量系统100、外部存储器或外部系统)之间的数据链路。举例来说,计算系统130可经配置以通过数据链路从存储媒体(即,存储器132或190)接收测量数据(例如,信号135)。举例来说,使用检测器119获得的光谱结果可存储于永久或半永久存储器装置(例如,存储器132或190)中。就此来说,测量结果可从板上存储器或从外部存储器系统导入。此外,计算机系统130可通过传输媒体将数据发送到其它系统。例如,由计算机系统130确定的样品参数值186可存储于永久或半永久存储器装置(例如,存储器190)中。就此来说,测量结果可导出到另一系统。
计算系统130可包含但不限于个人计算机系统、大型计算机系统、工作站、图像计算机、平行处理器或本技术领域中已知的任何其它装置。一般来说,术语“计算系统”可广义地定义为囊括具有执行来自存储器媒体的指令的一或多个处理器的任一装置。
实施例如本文中所描述的那些方法的方法的程序指令134可通过传输媒体(例如导线、电缆或无线传输链路)传输。举例来说,如图1中所图解说明,存储于存储器132中的程序指令通过总线133传输到处理器131。程序指令134存储于计算机可读媒体(例如,存储器132)中。示范性计算机可读媒体包含只读存储器、随机存取存储器、磁盘或光盘,或磁带。
图17图解说明适合于由本发明的计量系统100、200、300及400实施的方法500。在一个方面中,可认识到,方法500的数据处理块可通过计算系统130的一或多个处理器执行的预编程算法执行。虽然在计量系统100、200、300及400的上下文中呈现以下说明,但在本文中认识到,计量系统100、200、300及400的特定结构方面不表示限制且应仅解释为说明性的。
在框501中,一定量的软X射线辐射由X射线照射源产生。所述软X射线辐射包含从10电子伏特到5,000电子伏特的光子能范围内的多个照射波长。所述一定量的软X射线辐射作为以介于1度与45度之间的标称掠射入射角入射于半导体晶片上的X射线照射射束被引导到在所述半导体晶片上制作的计量目标。
在框502中,响应于入射X射线照射射束而检测从计量目标散射到多个不同衍射级中的第一量的X射线辐射。
在框503中,产生第一多个测量信号。第一多个测量信号中的每一者指示在第一检测器的作用表面上的一同位置处检测到的X射线辐射量。第一多个测量信号还指示在多个不同衍射级中的相异衍射级处衍射的多个照射波长中的相异波长。
在框504中,基于多个测量信号而确定表征计量目标的所关注参数的值。
在一些实施例中,将如本文中所描述的散射法测量实施为制作过程工具的一部分。制作过程工具的实例包含但不限于光刻曝光工具、膜沉积工具、植入工具及蚀刻工具。以这种方式,使用WR-SXR分析的结果来控制制作过程。在一个实例中,将从一或多个目标收集的WR-SXR测量数据发送到制作过程工具。如本文中所描述地分析WR-SXR测量数据并将结果用于调整制作过程工具的操作以减少半导体结构制造中的误差。
可使用如本文中所描述的散射法测量来确定各种半导体结构的特性。示范性结构包含但不限于FinFET、小尺寸结构(例如纳米线或石墨烯)、小于10nm的结构、光刻结构、穿衬底通孔(TSV)、存储器结构(例如DRAM、DRAM 4F2、快闪、MRAM)及高纵横比存储器结构。示范性结构特性包含但不限于几何参数(例如线边缘粗糙度、线宽度粗糙度、孔大小、孔密度、侧壁角度、轮廓、临界尺寸、间距、厚度、叠对)及材料参数(例如电子密度、组合物、颗粒结构、形态、应力、应变及元素识别)。在一些实施例中,计量目标是周期性结构。在一些其它实施例中,计量目标是非周期性的。
在一些实例中,用如本文中所描述的WR-SXR测量系统执行高纵横比半导体结构的临界尺寸、厚度、叠对及材料性质的测量,所述高纵横比半导体结构包含但不限于从旋转矩随机存取存储器(STT-RAM)、三维NAND存储器(3D-NAND)或垂直NAND存储器(V-NAND)、动态随机存取存储器(DRAM)、三维快闪存储器(3D快闪)、电阻式随机存取存储器(Re-RAM)及相变随机存取存储器(PC-RAM)。
如本文中所描述,术语“临界尺寸”包含结构的任何临界尺寸(例如,底部临界尺寸、中间临界尺寸、顶部临界尺寸、侧壁角度、光栅高度等)、任何两个或更多个结构之间的临界尺寸(例如,两个结构之间的距离)及两个或更多个结构之间的位移(例如,叠对光栅结构之间的叠对位移等)结构可包含三维结构、经图案化结构、叠对结构等。
如本文中所描述,术语“临界尺寸应用”或“临界尺寸测量应用”包含任何临界尺寸测量。
如本文中所描述,术语“计量系统”包含至少部分地用于表征任一方面(包含临界尺寸应用及叠对计量应用)中的样品的任一系统。然而,这类技术术语并不限制如本文中所描述的术语“计量系统”的范围。另外,本文中所描述的计量系统可经配置以用于测量经图案化晶片及/或未经图案化晶片。计量系统可配置为LED检验工具、边缘检验工具、背面检验工具、宏观检验工具或多模式检验工具(涉及同时来自一或多个平台的数据),以及受益于本文中所描述的测量技术的任何其它计量或检验工具。
在本文中描述可用于处理样品的半导体处理系统(例如,检验系统或光刻系统)的各种实施例。术语“样品”在本文中用于指代晶片、光罩或可通过本技术领域中已知的手段处理(例如,印刷或检验缺陷)的任何其它样本。
如本文中所使用,术语“晶片”一般指代由半导体或非半导体材料形成的衬底。实例包含但不限于单晶硅、砷化镓及磷化铟。这类衬底通常可存在于半导体制作设施中及/或在半导体制作设施中进行处理。在一些情形中,晶片可仅包含衬底(即,裸晶片)。另一选择是,晶片可包含形成于衬底上的一或多个不同材料层。形成于晶片上的一或多个层可以是“经图案化的”或“未经图案化的”。举例来说,晶片可包含具有可重复图案特征的多个裸片。
“光罩”可以是在光罩制作过程的任何阶段处的光罩或者可或可不释放以供在半导体制作设施中使用的成品光罩。光罩或“掩模”一般定义为具有在其上形成且配置成图案的大致不透明区域的大致透明衬底。衬底可包含(举例来说)例如非晶SiO2等玻璃材料。光罩可在光刻过程的曝光步骤期间沉积于光致抗蚀剂覆盖的晶片上面,使得可将光罩上的图案转印到光致抗蚀剂。
形成于晶片上的一或多个层可以是经图案化或未经图案化的。举例来说,晶片可包含各自具有可重复图案特征的多个裸片。这类材料层的形成及处理可最终产生成品装置。可在晶片上形成许多不同类型的装置,且如本文中所使用的术语晶片打算涵盖上面制作有本技术领域中已知的任何类型的装置的晶片。
在一或多个示范性实施例中,所描述的功能可以硬件、软件、固件或其任一组合实施。如果以软件实施,那么所述功能可作为一或多个指令或代码存储于计算机可读媒体上或者通过计算机可读媒体传输。计算机可读媒体包含计算机存储媒体及通信媒体两者,包含促进将计算机程序从一个地方传送到另一地方的任何媒体。存储媒体可以是可由一般用途或特殊用途计算机存取的任何可用媒体。通过实例而非限制方式,这种计算机可读媒体可包括:RAM、ROM、EEPROM、CD-ROM或其它光盘存储装置、磁盘存储装置或其它磁性存储装置或者可用于以指令或数据结构的形式载运或存储所期望程序代码构件且可由一般用途或特殊用途计算机或者一般用途或特殊用途处理器存取的任何其它媒体。并且,可将任何连接恰当地称为计算机可读媒体。举例来说,如果使用同轴电缆、光纤电缆、双绞线、数字用户线(DSL)或无线技术(例如红外线、无线电及微波)从网站、服务器或其它远程源传输软件,那么所述同轴电缆、光纤电缆、双绞线、DSL或无线技术(例如红外线、无线电及微波)均包含于媒体的定义内。如本文中所使用,磁盘及盘片包含光盘(CD)、激光盘片、XRF盘片、数字多功能盘片(DVD)、软磁盘及蓝光盘片,其中磁盘通常以磁性方式复制数据而盘片用激光以光学方式复制数据。以上各项的组合也应包含于计算机可读取媒体的范围内。
尽管在上文中出于指导性目的而描述一些特定实施例,但本专利文件的教示内容具有一般适用性且不限于上文所描述的特定实施例。因此,可在不违背如权利要求书中所陈述的本发明的范围的情况下实践对所描述的实施例的各种特征的各种修改、改动及组合。

Claims (22)

1.一种计量系统,其包括:
X射线照射源,其经配置以产生包含从10电子伏特到5,000电子伏特的光子能范围内的多个照射波长的一定量的软X射线辐射,所述一定量的软X射线辐射作为以介于1度与45度之间的标称掠射入射角入射于半导体晶片上的X射线照射射束被引导到在所述半导体晶片上制作的计量目标;
第一检测器,其安置在响应于所述入射X射线照射射束而从所述计量目标散射到多个不同衍射级中的第一量的X射线辐射的光学路径中,所述第一检测器经配置以产生多个第一测量信号,所述多个第一测量信号中的每一者指示在所述第一检测器上的不同位置处检测到的X射线辐射量,其中所述多个第一测量信号中的每一者指示在所述多个不同衍射级中的相异衍射级处衍射的所述多个照射波长中的相异波长;及
计算系统,其经配置以基于所述多个第一测量信号而确定表征所述计量目标的所关注参数的值。
2.根据权利要求1所述的计量系统,其进一步包括:
第二检测器,其安置在响应于所述入射X射线照射射束而从所述计量目标散射到一或多个不同衍射级中的第二量的X射线辐射的光学路径中,所述第二检测器经配置以产生多个第二测量信号,所述多个第二测量信号中的每一者指示在所述第二检测器上的不同位置处检测到的X射线辐射量,其中所述多个第二测量信号中的每一者指示所述一或多个不同衍射级,其中所述计算系统进一步经配置以基于所述多个第一测量信号及所述多个第二测量信号而确定表征所述计量目标的所述所关注参数的所述值。
3.根据权利要求2所述的计量系统,其中所述第二量的X射线辐射包含深紫外线光谱范围、真空紫外线光谱范围、可见光谱范围及红外线光谱范围中的任一者中的辐射。
4.根据权利要求1所述的计量系统,其进一步包括:
一或多个光学元件,其安置在所述X射线照射源与所述半导体晶片之间的照射光学路径中,其中所述一或多个光学元件将所述一定量的软X射线辐射作为以介于1度与45度之间的标称掠射入射角入射于所述半导体晶片上的X射线照射射束聚焦到所述半导体晶片上。
5.根据权利要求1所述的计量系统,其中所述X射线照射源是基于高次谐波产生(HHG)激光的照射源。
6.根据权利要求1所述的计量系统,其进一步包括:
射束能滤波器,其位于所述X射线照射源与所述半导体晶片之间的光学路径中,其中所述射束能滤波器透射在所期望射束能范围内的X射线照射且吸收在所述所期望射束能范围以外的X射线照射。
7.根据权利要求1所述的计量系统,其中所述X射线照射源以小于一毫弧度的射束发散度发射所述一定量的软X射线辐射。
8.根据权利要求1所述的计量系统,其进一步包括:
缩小光学元件,其位于所述X射线照射源与所述半导体晶片之间的光学路径中,其中所述缩小光学元件在跨越所述X射线照射射束的第一方向上以0.2或更小的放大因子将所述X射线照射射束聚焦到所述半导体晶片上,且在正交于所述第一方向的跨越所述X射线照射射束的第二方向上以0.9或更大的放大因子将所述X射线照射射束聚焦到所述半导体晶片上,其中所述放大因子小于或等于1。
9.根据权利要求1所述的计量系统,其中所述X射线照射源进一步经配置以发射深紫外线光谱范围、真空紫外线光谱范围、可见光谱范围及红外线光谱范围中的任一者中的辐射。
10.根据权利要求1所述的计量系统,其中所述X射线照射源具有由小于50微米的最大延伸范围尺寸表征的照射源区。
11.根据权利要求1所述的计量系统,其中所述所关注参数是叠对误差、临界尺寸及边缘放置误差中的任一者。
12.根据权利要求1所述的计量系统,其进一步包括:
蒸汽注入系统,其在所述计量目标的照射期间将包含呈汽相的填充材料的气态流提供到所述计量目标。
13.根据权利要求1所述的计量系统,其中所述X射线照射源经调整以产生包含所期望光子能范围的所述一定量的软X射线辐射。
14.根据权利要求1所述的计量系统,其进一步包括:
一或多个光学元件,其安置在所述X射线照射源与所述半导体晶片之间的照射光学路径中,其中所述一或多个光学元件将所述一定量的软X射线辐射作为以多个入射角、多个波长及多个方位角入射于所述半导体晶片上的X射线照射射束聚焦到所述半导体晶片上。
15.根据权利要求14所述的计量系统,其中所述一或多个光学元件是选择所述多个照射波长的分级式多层光学元件。
16.一种方法,其包括:
提供包含从10电子伏特到5,000电子伏特的光子能范围内的多个照射波长的一定量的软X射线辐射,所述一定量的软X射线辐射作为以介于1度与45度之间的标称掠射入射角入射于半导体晶片上的X射线照射射束被引导到在所述半导体晶片上制作的计量目标;
检测响应于所述入射X射线照射射束而从所述计量目标散射到多个不同衍射级中的第一量的X射线辐射;
产生多个第一测量信号,所述多个第一测量信号中的每一者指示在第一检测器的作用表面上的不同位置处检测到的X射线辐射量,其中所述多个第一测量信号中的每一者指示在所述多个不同衍射级中的相异衍射级处衍射的所述多个照射波长中的相异波长;及
基于所述多个第一测量信号而确定表征所述计量目标的所关注参数的值。
17.根据权利要求16所述的方法,其进一步包括:
检测响应于所述入射X射线照射射束而从所述计量目标散射到一或多个衍射级中的第二量的X射线辐射;及
产生多个第二测量信号,所述多个第二测量信号中的每一者指示在第二检测器的作用表面上的不同位置处检测到的X射线辐射量,其中所述多个第二测量信号中的每一者指示所述一或多个衍射级,其中所述确定表征所述计量目标的所述所关注参数的所述值是基于所述多个第一测量信号及所述多个第二测量信号。
18.根据权利要求17所述的方法,其中所述第二量的X射线辐射包含深紫外线光谱范围、真空紫外线光谱范围、可见光谱范围及红外线光谱范围中的任一者中的辐射。
19.根据权利要求17所述的方法,其进一步包括:
在经配置以产生所述一定量的软X射线辐射的X射线照射源与所述半导体晶片之间的光学路径中对所述X射线照射射束进行滤波。
20.根据权利要求17所述的方法,其进一步包括:
在跨越所述X射线照射射束的第一方向上以0.2或更小的放大因子缩小所述X射线照射射束;及
在正交于所述第一方向的跨越所述X射线照射射束的第二方向上以0.9或更大的放大因子将所述X射线照射射束投射到所述半导体晶片上,其中所述放大因子小于或等于1。
21.一种计量系统,其包括:
X射线照射源,其经配置以产生包含从10电子伏特到5,000电子伏特的光子能范围内的多个照射波长的一定量的软X射线辐射,所述一定量的软X射线辐射作为入射于半导体晶片上的X射线照射射束被引导到在所述半导体晶片上制作的计量目标;
第一检测器,其安置在响应于所述入射X射线照射射束而从所述计量目标散射到多个不同衍射级中的第一量的X射线辐射的光学路径中,所述第一检测器经配置以产生多个第一测量信号,所述多个第一测量信号中的每一者指示在所述第一检测器上的不同位置处检测到的X射线辐射量,其中所述多个第一测量信号中的每一者指示在所述多个不同衍射级中的相异衍射级处衍射的所述多个照射波长中的相异波长;
第二检测器,其安置在响应于所述入射X射线照射射束而从所述计量目标散射到一或多个不同衍射级中的第二量的X射线辐射的光学路径中,所述第二检测器经配置以产生多个第二测量信号,所述多个第二测量信号中的每一者指示在所述第二检测器上的不同位置处检测到的X射线辐射量,其中所述多个第二测量信号中的每一者指示所述一或多个不同衍射级;及
计算系统,其经配置以基于所述多个第一测量信号及所述多个第二测量信号而确定表征所述计量目标的所关注参数的值。
22.根据权利要求21所述的计量系统,其中所述第二量的X射线辐射包含深紫外线光谱范围、真空紫外线光谱范围、可见光谱范围及红外线光谱范围中的任一者中的辐射。
CN202080056536.9A 2019-08-26 2020-07-26 用于基于波长分辨软x射线反射法的半导体计量的方法及系统 Active CN114207419B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/551,616 US11460418B2 (en) 2019-08-26 2019-08-26 Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
US16/551,616 2019-08-26
PCT/US2020/043653 WO2021040936A1 (en) 2019-08-26 2020-07-26 Methods and systems for semiconductor metrology based on wavelength resolved soft x-ray reflectometry

Publications (2)

Publication Number Publication Date
CN114207419A CN114207419A (zh) 2022-03-18
CN114207419B true CN114207419B (zh) 2024-01-09

Family

ID=74679371

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080056536.9A Active CN114207419B (zh) 2019-08-26 2020-07-26 用于基于波长分辨软x射线反射法的半导体计量的方法及系统

Country Status (7)

Country Link
US (1) US11460418B2 (zh)
JP (1) JP7427772B2 (zh)
KR (1) KR102557179B1 (zh)
CN (1) CN114207419B (zh)
DE (1) DE112020004109T5 (zh)
IL (1) IL290518B2 (zh)
WO (1) WO2021040936A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11879765B2 (en) * 2018-09-26 2024-01-23 Honeywell International Inc. Apparatus for composite sheet weight determinations
US11460418B2 (en) * 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
US11867595B2 (en) 2019-10-14 2024-01-09 Industrial Technology Research Institute X-ray reflectometry apparatus and method thereof for measuring three dimensional nanostructures on flat substrate
CA3101076A1 (en) * 2019-12-04 2021-06-04 Institut National De La Recherche Scientifique (Inrs) Method and system for generating intense, ultrashort pulses of xuv and soft x-ray radiation via hhg
JP7458935B2 (ja) * 2020-08-26 2024-04-01 キオクシア株式会社 計測装置、及び、計測方法
KR20230157405A (ko) * 2021-03-15 2023-11-16 어플라이드 머티어리얼스, 인코포레이티드 광학 디바이스들에 대한 효율을 측정하는 방법
WO2023092059A1 (en) * 2021-11-22 2023-05-25 Industrial Technology Research Institute X-ray reflectometry apparatus and method thereof for measuring three dimensional nanostructures on planar substrate
TW202343611A (zh) * 2021-12-30 2023-11-01 美商諾威量測設備股份有限公司 使用無監督機器學習之高通量/精度xps計量的生產解決方案
BE1030953A1 (fr) * 2022-10-28 2024-05-07 Kepler Computing Inc Méthode itérative de développement d'empilements multicouches pour des applications de dispositifs

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016540970A (ja) * 2013-10-28 2016-12-28 ケーエルエー−テンカー コーポレイション X線計測を使用する半導体デバイスのオーバーレイを測定するための方法及び装置
CN109844898A (zh) * 2016-10-18 2019-06-04 科磊股份有限公司 用于x射线散射测量系统的全光束度量

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
EP1319244A1 (en) 2000-09-20 2003-06-18 Kla-Tencor Inc. Methods and systems for semiconductor fabrication processes
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
AU2002360738A1 (en) 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US7035375B2 (en) * 2003-11-05 2006-04-25 Jordan Valley Applied Radiation Ltd. X-ray scattering with a polychromatic source
KR20060066799A (ko) * 2004-12-14 2006-06-19 한국기초과학지원연구원 연속 x-선을 이용한 다 차수 반사율 동시 측정방법 및측정 장치
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7481579B2 (en) 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
US7406153B2 (en) * 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry
US7920676B2 (en) 2007-05-04 2011-04-05 Xradia, Inc. CD-GISAXS system and method
US7907264B1 (en) 2007-09-07 2011-03-15 Kla-Tencor Corporation Measurement of thin film porosity
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
JP2013148431A (ja) 2012-01-18 2013-08-01 Fujitsu Ltd 全反射x線分析方法および全反射x線分析装置
US8879073B2 (en) 2012-02-24 2014-11-04 Kla-Tencor Corporation Optical metrology using targets with field enhancement elements
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US8749179B2 (en) 2012-08-14 2014-06-10 Kla-Tencor Corporation Optical characterization systems employing compact synchrotron radiation sources
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US8860937B1 (en) 2012-10-24 2014-10-14 Kla-Tencor Corp. Metrology systems and methods for high aspect ratio and large lateral dimension structures
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
WO2014120985A1 (en) 2013-01-30 2014-08-07 Kla-Tencor Corporation Euv light source using cryogenic droplet targets in mask inspection
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
WO2014127151A1 (en) 2013-02-14 2014-08-21 Kla-Tencor Corporation System and method for producing an exclusionary buffer gas flow in an euv light source
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9989758B2 (en) 2013-04-10 2018-06-05 Kla-Tencor Corporation Debris protection system for reflective optic utilizing gas flow
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US9544984B2 (en) 2013-07-22 2017-01-10 Kla-Tencor Corporation System and method for generation of extreme ultraviolet light
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9553033B2 (en) 2014-01-15 2017-01-24 Kla-Tencor Corporation Semiconductor device models including re-usable sub-structures
US9588066B2 (en) * 2014-01-23 2017-03-07 Revera, Incorporated Methods and systems for measuring periodic structures using multi-angle X-ray reflectance scatterometry (XRS)
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US10101664B2 (en) 2014-11-01 2018-10-16 Kla-Tencor Corporation Apparatus and methods for optics protection from debris in plasma-based light source
US10034362B2 (en) 2014-12-16 2018-07-24 Kla-Tencor Corporation Plasma-based light source
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
US10060865B2 (en) * 2015-03-10 2018-08-28 Lyncean Technologies, Inc. Measurement of critical dimensions of nanostructures using X-ray grazing incidence in-plane diffraction
KR102010941B1 (ko) * 2015-03-25 2019-08-14 에이에스엠엘 네델란즈 비.브이. 계측 방법, 계측 장치 및 디바이스 제조 방법
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
US10041873B2 (en) 2016-05-02 2018-08-07 Kla-Tencor Corporation Porosity measurement of semiconductor structures
US10281263B2 (en) 2016-05-02 2019-05-07 Kla-Tencor Corporation Critical dimension measurements with gaseous adsorption
US10145674B2 (en) 2016-05-02 2018-12-04 Kla-Tencor Corporation Measurement of semiconductor structures with capillary condensation
CN109313393A (zh) 2016-06-09 2019-02-05 Asml荷兰有限公司 计量设备
US10458912B2 (en) 2016-08-31 2019-10-29 Kla-Tencor Corporation Model based optical measurements of semiconductor structures with anisotropic dielectric permittivity
US10288408B2 (en) 2016-12-01 2019-05-14 Nanometrics Incorporated Scanning white-light interferometry system for characterization of patterned semiconductor features
US11333621B2 (en) 2017-07-11 2022-05-17 Kla-Tencor Corporation Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction
IL253578B (en) * 2017-07-19 2018-06-28 Nova Measuring Instr Ltd Measurement of patterns using x-rays
US10895541B2 (en) 2018-01-06 2021-01-19 Kla-Tencor Corporation Systems and methods for combined x-ray reflectometry and photoelectron spectroscopy
US10959318B2 (en) 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator
WO2020126248A1 (en) * 2018-12-21 2020-06-25 Asml Netherlands B.V. Methods and apparatus for metrology
US11460418B2 (en) * 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016540970A (ja) * 2013-10-28 2016-12-28 ケーエルエー−テンカー コーポレイション X線計測を使用する半導体デバイスのオーバーレイを測定するための方法及び装置
CN109844898A (zh) * 2016-10-18 2019-06-04 科磊股份有限公司 用于x射线散射测量系统的全光束度量

Also Published As

Publication number Publication date
WO2021040936A1 (en) 2021-03-04
KR20220050976A (ko) 2022-04-25
DE112020004109T5 (de) 2022-07-14
IL290518B2 (en) 2023-08-01
TW202111319A (zh) 2021-03-16
US11460418B2 (en) 2022-10-04
KR102557179B1 (ko) 2023-07-18
IL290518A (en) 2022-04-01
US20210063329A1 (en) 2021-03-04
JP2022545724A (ja) 2022-10-28
CN114207419A (zh) 2022-03-18
JP7427772B2 (ja) 2024-02-05
IL290518B1 (en) 2023-04-01

Similar Documents

Publication Publication Date Title
CN114207419B (zh) 用于基于波长分辨软x射线反射法的半导体计量的方法及系统
KR102536604B1 (ko) 다색 연엑스선 회절에 기초한 반도체 계측을 위한 방법 및 시스템
TWI806955B (zh) 用於組合之x 射線反射量測術與光電子光譜術之系統及方法
KR102515242B1 (ko) 투과 소각 x 선 산란 계측 시스템
IL265745B2 (en) Complete beam metrology for X-ray scattering measurement systems
CN110383053B (zh) 用于小角度x射线散射测量的x射线变焦镜头
CN114981686A (zh) 基于软性x射线散射测量的叠对测量方法及系统
TWI833979B (zh) 計量系統及方法
CN115151787A (zh) 用于以x射线为基础的计量学的晶片倾斜的测量及控制
CN116583745A (zh) 用于紧密、小点大小的软性x射线散射测量的方法及系统

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant