KR102099115B1 - 기판 이송 장치, 이를 포함하는 기판 처리 장치 및 기판 틀어짐 보정 방법 - Google Patents

기판 이송 장치, 이를 포함하는 기판 처리 장치 및 기판 틀어짐 보정 방법 Download PDF

Info

Publication number
KR102099115B1
KR102099115B1 KR1020180066129A KR20180066129A KR102099115B1 KR 102099115 B1 KR102099115 B1 KR 102099115B1 KR 1020180066129 A KR1020180066129 A KR 1020180066129A KR 20180066129 A KR20180066129 A KR 20180066129A KR 102099115 B1 KR102099115 B1 KR 102099115B1
Authority
KR
South Korea
Prior art keywords
substrate
transfer device
equation
substrate transfer
coordinate value
Prior art date
Application number
KR1020180066129A
Other languages
English (en)
Other versions
KR20190139534A (ko
Inventor
김덕식
김현준
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020180066129A priority Critical patent/KR102099115B1/ko
Priority to US16/430,631 priority patent/US11380565B2/en
Priority to CN201910498892.5A priority patent/CN110581090B/zh
Publication of KR20190139534A publication Critical patent/KR20190139534A/ko
Application granted granted Critical
Publication of KR102099115B1 publication Critical patent/KR102099115B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1679Programme controls characterised by the tasks executed
    • B25J9/1692Calibration of manipulator
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J13/00Controls for manipulators
    • B25J13/08Controls for manipulators by means of sensing devices, e.g. viewing or touching devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G47/00Article or material-handling devices associated with conveyors; Methods employing such devices
    • B65G47/74Feeding, transfer, or discharging devices of particular kinds or types
    • B65G47/90Devices for picking-up and depositing articles or materials
    • B65G47/905Control arrangements
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/401Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by control arrangements for measuring, e.g. calibration and initialisation, measuring workpiece for machining purposes
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/402Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by control arrangements for positioning, e.g. centring a tool relative to a hole in the workpiece, additional detection means to correct position
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67796Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations with angular orientation of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/39Robotics, robotics to robotics hand
    • G05B2219/39024Calibration of manipulator

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Human Computer Interaction (AREA)
  • Automation & Control Theory (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

기판 틀어짐 보정 방법이 개시된다. 기판 틀어짐 보정 방법은, 기판 이송 장치를 일 방향으로 이동시키면서 기판 이송 장치의 이동량에 대한 제1 좌표값과 기판 이송 장치에 설치되는 복수의 센서에서 측정되는 제2 좌표값을 획득하는 단계, 제1 좌표값에 대한 원의 방정식과 제2 좌표값에 대한 직선의 방정식을 이용하여 기판 이송 장치의 보정값을 산출하는 단계 및 기판 이송 장치의 보정값에 기초하여 기판에 대한 원의 중심을 구하고, 원의 중심을 이용하여 기판의 틀어짐량을 보정하는 단계를 포함한다.

Description

기판 이송 장치, 이를 포함하는 기판 처리 장치 및 기판 틀어짐 보정 방법{APPARATUS FOR TRANSFERRING SUBSTRATE, APPARATUS FOR TREATING SUBSTRATE AND METHOD FOR COMPENSATING DISTORTION OF SUBSTRATE}
본 발명은 기판 이송 장치를 일 방향으로 이동시키면서 기판 이송 장치의 캘리브레이션을 수행할 수 있는 기판 이송 장치, 이를 포함하는 기판 처리 장치 및 기판 틀어짐 보정 방법에 관한 것이다.
반도체 소자 또는 액정 디스플레이를 제조하기 위해서, 기판상으로 감광액을 공급하는 포토리소그라피, 식각, 이온주입, 증착 그리고 세정 등의 다양한 공정들이 수행된다. 이러한 공정이 수행되는 과정에서 기판은 하나의 장치에서 다른 장치로 이송된다. 이와 같은 이송 과정에서 기판의 핸들링은 이송로봇 등의 기판 이송 장치에 의해 수행될 수 있다.
기판 이송 장치는 기판을 지지한 상태로 각 처리 유닛의 개구를 통하여 처리 유닛 내의 플레이트로 이송하는데, 정확한 위치로 기판을 이송하기 위하여, 기판 이송을 수행하기 전에 기판의 틀어짐을 보정한다. 다만, 기판의 틀어짐을 보정하기 위하여 기판 이송 장치의 센서부에서 측정되는 측정값에 기초하여 기판의 틀어짐 여부를 판단하는데, 센서에서 측정되는 측정값과 로봇의 실제 이동 물리량이 다른 경우 기판의 틀어짐을 정확하게 판단할 수 없다. 즉, 실제 센서는 센서의 환경(측정 물체와의 거리, 측정 물체의 재료 등)에 따라 왜곡이 발생하므로, 센서에서 측정되는 측정값과 실제 로봇의 이동 물리량에는 오차가 발생하므로, 이러한 오차를 보정하기 위하여 센서에서 측정되는 측정값과 로봇의 보정해야할 제어량을 매핑시키는 캘리브레이션 작업이 선행되어야 한다.
종래의 로봇의 캘리브레이션 방법은, 센서에서 측정되는 좌표값과 이론적인 로봇의 좌표값을 매핑하여 그래프를 생성하고, 센서에서 측정되는 좌표값을 생성된 그래프에 대입하여 캘리브레이션된 로봇의 좌표값을 산출하므로, 반드시 로봇을 상하 방향(X축) 뿐만 아니라 좌우 방향(Y축)으로 이동시키면서 매핑하는 작업이 필요하였다. 그러나 이러한 로봇의 캘리브레이션 방법은 캘리브레이션하는데 많은 시간이 소모되었고 사용자가 로봇을 서로 수직한 2개의 방향에 대해서 이동시키면서 매핑 작업을 수행하여야 하므로 오차가 커질 수 있는 문제가 있었다.
본 발명의 목적은 기판 이송 장치를 일 방향으로만 이동시키면서 정확한 캘리브레이션을 수행할 수 있는 기판 이송 장치, 이를 포함하는 기판 처리 장치 및 기판 틀어짐 보정 방법을 제공함에 있다.
상술한 목적을 달성하기 위한 본 발명의 일 실시 예에 따른 기판 틀어짐 보정 방법은, 기판을 이송하는 기판 이송 장치의 기판 틀어짐 보정 방법에 있어서, 상기 기판 이송 장치를 일 방향으로 이동시키면서 상기 기판 이송 장치의 이동량에 대한 제1 좌표값과 상기 기판 이송 장치에 설치되는 복수의 센서에서 측정되는 제2 좌표값을 획득하는 단계, 상기 제1 좌표값에 대한 원의 방정식과 상기 제2 좌표값에 대한 직선의 방정식을 이용하여 상기 기판 이송 장치의 보정값을 산출하는 단계 및 상기 기판 이송 장치의 보정값에 기초하여 상기 기판에 대한 원의 중심을 구하고, 상기 원의 중심을 이용하여 상기 기판의 틀어짐량을 보정하는 단계를 포함한다.
여기서, 상기 기판 이송 장치의 보정값을 산출하는 단계는, 상기 원의 방정식과 상기 직선의 방정식이 만나는 좌표값을 산출하는 단계, 상기 원의 방정식과 상기 직선의 방정식이 만나는 좌표값을 이용하여 상기 복수의 센서의 이동거리를 산출하는 단계 및 상기 복수의 센서의 이동거리를 이용하여 상기 기판 이송 장치의 보정값을 산출하는 단계를 포함할 수 있다.
여기서, 상기 복수의 센서의 이동거리를 산출하는 단계 및 상기 복수의 센서의 이동거리를 이용하여 상기 기판 이송 장치의 보정값을 산출하는 단계는, 상기 복수의 센서의 이동거리와 상기 복수의 센서 각각의 제로셋 값을 합산하여 상기 기판 이송 장치의 보정값을 산출할 수 있다.
또한, 상기 원의 방정식은,
Figure 112018056403630-pat00001
이고, 상기 직선의 방정식은,
Figure 112018056403630-pat00002
이며, 여기서, a, b는 상기 기판의 중심 좌표값이고, r은 상기 기판의 반지름이고, x1, y1은 상기 센서의 위치에 대한 좌표값일 수 있다.
여기서, 상기 원의 방정식과 상기 직선의 방정식이 만나는 좌표값을 산출하는 단계는, 상기 직선의 방정식을 상기 원의 방정식에 대입하여
Figure 112018056403630-pat00003
형태로 정리한 후,
Figure 112018056403630-pat00004
을 이용하여 상기 원의 방정식과 상기 직선의 방정식이 만나는 좌표값을 산출할 수 있다.
또한, 상기 기판의 틀어짐량을 보정하는 단계는, 상기 복수의 센서의 이동거리에 기초하여 상기 복수의 센서의 보정된 위치값을 산출하는 단계, 상기 복수의 센서의 보정된 위치값 중 적어도 3개의 위치값을 이용하여 상기 기판에 대한 원의 중심을 산출하는 단계 및 산출된 상기 원의 중심을 기설정된 값과 비교하여 상기 기판의 틀어짐량을 보정하는 단계를 포함할 수 있다.
또한, 상기 복수의 센서의 이동거리를 산출하는 단계는,
Figure 112018056403630-pat00005
을 이용하여 상기 복수의 센서의 이동거리를 산출하며, 여기서, x1, y1은 상기 센서의 위치에 대한 좌표값일 수 있다.
또한, 상기 제1 좌표값과 제2 좌표값을 획득하는 단계는, 상기 기판 이송 장치를 일 방향으로 기설정된 거리만큼 이동시킨 후 상기 제1 좌표값 및 제2 좌표값을 획득하는 과정을 반복하여 수행할 수 있다.
여기서, 상기 기설정된 거리는 0.1mm일 수 있다.
또한, 상기 복수의 센서는, 상기 기판의 원주 방향을 따라 일정한 간격으로 이격되어 상기 기판 이송 장치에 설치될 수 있다.
한편, 본 발명의 일 실시 예에 따른 기판 이송 장치는, 기판을 이송하는 기판 이송 장치에 있어서, 상기 기판을 지지하는 지지부, 상기 지지부를 이동시키는 구동 유닛 및 상기 구동 유닛의 움직임을 제어하는 제어 유닛을 포함하되, 상기 제어 유닛은, 상기 기판 이송 장치를 일 방향으로 이동시키면서 상기 기판 이송 장치의 이동량에 대한 제1 좌표값과 상기 기판 이송 장치에 설치되는 복수의 센서에서 측정되는 제2 좌표값을 획득하는 센서부, 상기 제1 좌표값에 대한 원의 방정식과 상기 제2 좌표값에 대한 직선의 방정식을 이용하여 상기 기판 이송 장치의 보정값을 산출하는 산출부 및 상기 기판 이송 장치의 보정값에 기초하여 상기 기판에 대한 원의 중심을 구하고, 상기 원의 중심을 이용하여 상기 기판의 틀어짐량을 보정하는 보정부를 포함한다.
여기서, 상기 산출부는, 상기 원의 방정식과 상기 직선의 방정식이 만나는 좌표값을 산출하고, 상기 원의 방정식과 상기 직선의 방정식이 만나는 좌표값을 이용하여 상기 복수의 센서의 이동거리를 산출한 후, 상기 복수의 센서의 이동거리를 이용하여 상기 기판 이송 장치의 보정값을 산출할 수 있다.
여기서, 상기 산출부는, 상기 복수의 센서의 이동거리와 상기 복수의 센서 각각의 제로셋 값을 합산하여 상기 기판 이송 장치의 보정값을 산출할 수 있다.
또한, 상기 원의 방정식은,
Figure 112018056403630-pat00006
이고, 상기 직선의 방정식은,
Figure 112018056403630-pat00007
이며, 여기서, a, b는 상기 기판의 중심 좌표값이고, r은 상기 기판의 반지름이고, x1, y1은 상기 센서의 위치에 대한 좌표값일 수 있다.
여기서, 상기 산출부는, 상기 직선의 방정식을 상기 원의 방정식에 대입하여
Figure 112018056403630-pat00008
형태로 정리한 후,
Figure 112018056403630-pat00009
을 이용하여 상기 원의 방정식과 상기 직선의 방정식이 만나는 좌표값을 산출할 수 있다.
또한, 상기 보정부는, 상기 복수의 센서의 이동거리에 기초하여 상기 복수의 센서의 보정된 위치값을 산출하고, 상기 복수의 센서의 보정된 위치값 중 적어도 3개의 위치값을 이용하여 상기 기판에 대한 원의 중심을 산출한 후, 산출된 상기 원의 중심을 기설정된 값과 비교하여 상기 기판의 틀어짐량을 보정할 수 있다.
또한, 상기 산출부는,
Figure 112018056403630-pat00010
을 이용하여 상기 복수의 센서의 이동거리를 산출하며, 여기서, x1, y1은 상기 센서의 위치에 대한 좌표값일 수 있다.
또한, 상기 제어 유닛은, 상기 기판 이송 장치를 일 방향으로 기설정된 거리만큼 이동시킨 후 상기 제1 좌표값 및 제2 좌표값을 획득하는 과정을 반복하여 수행할 수 있다.
여기서, 상기 기설정된 거리는 0.1mm일 수 있다.
또한, 상기 복수의 센서는, 상기 기판의 원주 방향을 따라 일정한 간격으로 이격되어 상기 기판 이송 장치에 설치될 수 있다.
한편, 본 발명의 일 실시 예에 따른 기판 처리 장치는, 내부에 제공된 기판에 대해 공정 처리가 수행되는 공정 챔버를 포함하는 공정 모듈, 기판을 수용하는 캐리어가 놓이는 로드 포트 및 기판을 이송하는 기판 이송 장치를 포함하고, 상기 기판 이송 장치는, 상기 기판을 지지하는 지지부, 상기 지지부를 이동시키는 구동 유닛 및 상기 구동 유닛의 움직임을 제어하는 제어 유닛을 포함하되, 상기 제어 유닛은, 상기 기판 이송 장치를 일 방향으로 이동시키면서 상기 기판 이송 장치의 이동량에 대한 제1 좌표값과 상기 기판 이송 장치에 설치되는 복수의 센서에서 측정되는 제2 좌표값을 획득하는 센서부, 상기 제1 좌표값에 대한 원의 방정식과 상기 제2 좌표값에 대한 직선의 방정식을 이용하여 상기 기판 이송 장치의 보정값을 산출하는 산출부 및 상기 기판 이송 장치의 보정값에 기초하여 상기 기판에 대한 원의 중심을 구하고, 상기 원의 중심을 이용하여 상기 기판의 틀어짐량을 보정하는 보정부를 포함한다.
한편, 본 발명의 일 실시 예에 따른 기판 틀어짐 보정 방법은 기판 틀어짐 보정 방법을 컴퓨터로 실행하기 위한 프로그램으로 구현되어 컴퓨터에서 판독 가능한 기록 매체에 기록될 수 있다.
이상과 같이, 본 발명의 다양한 실시 예에 따르면 기판 이송 장치를 일 방향으로만 이동시키면서 기판 이송 장치의 캘리브레이션을 수행할 수 있으며, 보다 정확하게 기판 틀어짐을 보정할 수 있다.
도 1은 본 발명의 일 실시 예에 따른 기판 처리 장치를 나타내는 평면도이다.
도 2는 본 발명의 일 실시 예에 따른 기판 이송 장치를 나타내는 사시도이다.
도 3 및 도 4는 본 발명의 일 실시 예에 따른 기판 이송 장치의 캘리브레이션 방법을 설명하기 위한 도면이다.
도 5는 본 발명의 일 실시 예에 따른 센서의 측정값과 로봇의 이동량을 매핑하는 방법을 설명하기 위한 도면이다.
도 6은 본 발명의 일 실시 예에 따른 기판 틀어짐 보정 방법을 나타내는 흐름도이다.
이하, 본 발명의 실시 예를 첨부된 도면들을 참조하여 더욱 상세하게 설명한다. 본 발명의 실시 예는 여러 가지 형태로 변형할 수 있으며, 본 발명의 범위가 아래의 실시 예들로 한정되는 것으로 해석되어서는 안 된다. 본 실시 예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위해 제공되는 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 강조하기 위해 과장되었다.
도 1은 본 발명의 기판 처리 장치(1)를 개략적으로 나타낸 평면도이다.
도 1을 참조하면, 기판 처리 장치(1)는 인덱스모듈(10)과 공정 모듈(20)을 가지고, 인덱스모듈(10)은 로드 포트(120) 및 이송프레임(140)을 가진다. 로드 포트(120), 이송프레임(140), 그리고 공정 모듈(20)은 순차적으로 일렬로 배열된다. 이하, 로드 포트(120), 이송프레임(140), 그리고 공정 모듈(20)이 배열된 방향을 제1방향(12)이라 하고, 상부에서 바라볼 때, 제1방향(12)과 수직한 방향을 제2방향(14)이라 하며, 제1방향(12)과 제2방향(14)을 포함한 평면에 수직인 방향을 제3방향(16)이라 칭한다.
로드포트(140)에는 기판(W)을 수용하는 캐리어(130)가 놓인다. 로드 포트(120)는 복수 개가 제공될 수 있으며 이들은 제2방향(14)을 따라 일렬로 배치된다. 로드 포트(120)의 개수는 공정 모듈(20)의 공정효율 및 풋 프린트조건 등에 따라 증가하거나 감소할 수도 있다. 캐리어(130)에는 기판(W)들을 지면에 대해 수평하게 배치한 상태로 수납하기 위한 다수의 슬롯(미도시)이 형성된다. 캐리어(130)로는 전면개방일체형포드(Front Opening Unifed Pod;FOUP)가 사용될 수 있다.
공정 모듈(20)은 버퍼유닛(220), 이송챔버(240), 그리고 공정 챔버(260)를 포함한다. 이송챔버(240)는 그 길이 방향이 제 1 방향(12)과 평행하게 배치된다. 이송챔버(240)의 양측에는 각각 공정 챔버(260)들이 배치된다. 이송챔버(240)의 일측 및 타측에서 공정 챔버(260)들은 이송챔버(240)를 기준으로 대칭되도록 제공된다. 이송챔버(240)의 일측에는 복수 개의 공정 챔버(260)들이 제공된다. 공정 챔버(260)들 중 일부는 이송챔버(240)의 길이 방향을 따라 배치된다. 또한, 공정 챔버(260)들 중 일부는 서로 적층되게 배치된다. 즉, 이송챔버(240)의 일측에는 공정 챔버(260)들이 A X B의 배열로 배치될 수 있다. 여기서 A는 제1방향(12)을 따라 일렬로 제공된 공정 챔버(260)의 수이고, B는 제3방향(16)을 따라 일렬로 제공된 공정 챔버(260)의 수이다. 이송챔버(240)의 일측에 공정 챔버(260)가 4개 또는 6개 제공되는 경우, 공정 챔버(260)들은 2 X 2 또는 3 X 2의 배열로 배치될 수 있다. 공정 챔버(260)의 개수는 증가하거나 감소할 수도 있다. 상술한 바와 달리, 공정 챔버(260)는 이송챔버(240)의 일측에만 제공될 수 있다. 또한, 상술한 바와 달리, 공정 챔버(260)는 이송챔버(240)의 일측 및 양측에 단층으로 제공될 수 있다.
버퍼유닛(220)은 이송프레임(140)과 이송챔버(240) 사이에 배치된다. 버퍼 유닛(220)은 이송챔버(240)와 이송프레임(140) 간에 기판(W)이 반송되기 전에 기판(W)이 머무르는 공간을 제공한다. 버퍼유닛(220)은 그 내부에 기판(W)이 놓이는 슬롯(미도시)이 제공되며, 슬롯(미도시)들은 서로 간에 제3방향(16)을 따라 이격되도록 복수 개가 제공된다. 버퍼유닛(220)은 이송프레임(140)과 마주보는 면 및 이송챔버(240)와 마주보는 면이 개방된다.
이송프레임(140)은 로드 포트(120)에 안착된 캐리어(130)와 버퍼유닛(220) 간에 기판(W)을 반송한다. 이송프레임(140)에는 인덱스레일(142)과 인덱스로봇(144)이 제공된다. 인덱스레일(142)은 그 길이 방향이 제2방향(14)과 나란하게 제공된다. 인덱스로봇(144)은 인덱스레일(142) 상에 설치되며, 인덱스레일(142)을 따라 제2방향(14)으로 직선 이동된다. 인덱스로봇(144)은 베이스(144a), 몸체(144b), 그리고 인덱스암(144c)을 가진다. 베이스(144a)는 인덱스레일(142)을 따라 이동 가능하도록 설치된다. 몸체(144b)는 베이스(144a)에 결합된다. 몸체(144b)는 베이스(144a) 상에서 제3방향(16)을 따라 이동 가능하도록 제공된다. 또한, 몸체(144b)는 베이스(144a) 상에서 회전 가능하도록 제공된다. 인덱스암(144c)은 몸체(144b)에 결합되고, 몸체(144b)에 대해 전진 및 후진 이동 가능하도록 제공된다. 인덱스암(144c)은 복수 개 제공되어 각각 개별 구동되도록 제공된다. 인덱스암(144c)들은 제3방향(16)을 따라 서로 이격된 상태로 적층되게 배치된다. 인덱스암(144c)들 중 일부는 공정 모듈(20)에서 캐리어(130)로 기판(W)을 반송할 때 사용되고, 이의 다른 일부는 캐리어(130)에서 공정 모듈(20)로 기판(W)을 반송할 때 사용될 수 있다. 이는 인덱스로봇(144)이 기판(W)을 반입 및 반출하는 과정에서 공정 처리 전의 기판(W)으로부터 발생된 파티클이 공정 처리 후의 기판(W)에 부착되는 것을 방지할 수 있다.
이송챔버(240)는 버퍼유닛(220)과 공정 챔버(260) 간에, 그리고 공정 챔버(260)들 간에 기판(W)을 반송한다. 이송챔버(240)에는 가이드레일(242)과 메인로봇(244)이 제공된다. 가이드레일(242)은 그 길이 방향이 제1방향(12)과 나란하도록 배치된다. 메인로봇(244)은 가이드레일(242) 상에 설치되고, 가이드레일(242) 상에서 제1방향(12)을 따라 직선 이동된다. 메인로봇(244)은 베이스(244a), 몸체(244b), 그리고 메인암(244c)을 가진다. 베이스(244a)는 가이드레일(242)을 따라 이동 가능하도록 설치된다. 몸체(244b)는 베이스(244a)에 결합된다. 몸체(244b)는 베이스(244a) 상에서 제3방향(16)을 따라 이동 가능하도록 제공된다. 또한, 몸체(244b)는 베이스(244a) 상에서 회전 가능하도록 제공된다. 메인암(244c)은 몸체(244b)에 결합되고, 이는 몸체(244b)에 대해 전진 및 후진 이동 가능하도록 제공된다. 메인암(244c)은 복수 개 제공되어 각각 개별 구동되도록 제공된다. 메인암(244c)들은 제3방향(16)을 따라 서로 이격된 상태로 적층되게 배치된다.
공정 챔버(260)의 내부에는 기판을 지지하는 기판 지지 유닛에 놓인 기판에 대해 공정 처리가 수행되는 공간이 제공된다. 공정 챔버(260) 내에는 기판(W)에 대해 세정 공정을 수행하는 구성이 제공될 수 있다. 공정 챔버(260) 내부에 제공되는 구성은 수행하는 세정 공정의 종류에 따라 상이한 구조를 가질 수 있다. 이와 달리 각각의 공정 챔버(260) 내의 구성은 동일하게 제공될 수 있다. 선택적으로 공정 챔버(260)들은 복수 개의 그룹으로 구분되어, 동일한 그룹에 속하는 공정 챔버(260) 내의 구성들은 서로 동일하고, 서로 상이한 그룹에 속하는 공정 챔버(260) 내의 구성은 서로 상이하게 제공될 수 있다. 예컨대, 공정 챔버(260)가 2개의 그룹으로 나누어지는 경우, 이송챔버(240)의 일측에는 제 1 그룹의 공정 챔버(260)들이 제공되고, 이송챔버(240)의 타측에는 제 2 그룹의 공정 챔버(260)들이 제공될 수 있다. 선택적으로 이송챔버(240)의 양측에서 하층에는 제 1 그룹의 공정 챔버(260)들이 제공되고, 상층에는 제 2 그룹의 공정 챔버(260)들이 제공될 수 있다. 제 1 그룹의 공정 챔버(260)와 제 2 그룹의 공정 챔버(260)는 각각 사용되는 케미컬의 종류나, 세정 방식의 종류에 따라 구분될 수 있다. 이와 달리, 제 1 그룹의 공정 챔버(260)와 제 2 그룹의 공정 챔버(260)는 하나의 기판(W)에 대해 순차적으로 공정을 수행하도록 제공될 수 있다. 예컨대, 기판(W)은 제 1 그룹의 공정 챔버(260)에서 케미컬처리공정 또는 린스공정이 수행되고, 제 2 그룹의 공정 챔버(260)에서 린스공정 또는 건조공정이 수행될 수 있다.
상술한 실시 예의 경우, 내부에서 세정 공정이 수행되고, 복수개가 제공되는 공정 챔버(260)를 포함하는 기판 처리 장치를 예로 설명하였으나 이와 달리, 공정 챔버(260)는 하나로 제공될 수 있다. 또한, 각각의 공정 챔버(260)는 내부에 포토리소그라피, 식각, 이온주입, 증착 등 기판을 처리하는 다양한 공정을 수행하는 구성 중 하나가 제공될 수 있다.
이하, 본 발명의 기판 이송 장치(100)에 대해 설명한다. 기판 이송 장치(100)는 복수개의 목표 위치로 기판을 이송한다. 일 실시 예에 따르면, 기판 이송 장치(100)는 기판 이송 장치(100)는 도 1의 인덱스로봇(144) 및 메인로봇(244)으로서 제공될 수 있다. 따라서, 상술한 바와 같이, 기판 이송 장치(100)는 제공된 레일을 따라 이동하며, 로드 포트에 놓인 캐리어 및 공정 챔버 간 또는 각각의 공정 챔버 간에 기판을 이송한다. 또한, 후술하는 지지부(1000)는 인덱스암(144C)의 끝단 또는 메인암(244C)의 끝단에 제공될 수 있다.
도 2는 본 발명의 기판 이송 장치(100)를 나타낸 사시도이다. 도 2를 참고하면, 기판 이송 장치(100)는 지지부(1000), 구동 유닛(2000) 그리고 제어 유닛(3000)을 포함한다.
지지부(1000)는 기판을 지지하고 복수개의 목표 위치로 이동한다. 예를 들면, 지지부(1000)는 기판의 이송을 위해, 기판을 지지하고, 공정 챔버(260)의 기판 유입구(261) 및 캐리어(130)의 기판 유입구를 지나고, 공정 챔버(260) 내의 기판을 지지하는 기판 지지 유닛(262) 또는 캐리어(130) 내의 기판을 지지하는 기판 지지대에 기판을 안착시키거나, 기판 지지 유닛(262) 또는 캐리어(130) 내의 기판을 지지하는 기판 지지대로부터 기판을 들어올린다.
구동 유닛(2000)은 지지부(1000)를 이동시킨다. 구동 유닛(2000)은 지지부(1000)가 끝단에 고정된 복수개의 관절을 가지는 지지암 및 지지암의 관절을 구동시키는 구동 모터를 포함할 수 있다. 구동 유닛(2000)은 지지부(1000)를 상하 방향 및 수평 방향으로 이동시킨다.
제어 유닛(3000)은 지지부(1000)를 일 방향으로 이동시키면서 지지부(1000)의 이동량에 대한 제1 좌표값과 지지부(1000)에 설치되는 복수의 센서에서 측정되는 제2 좌표값을 획득하는 센서부(3010), 제1 좌표값에 대한 원의 방정식과 제2 좌표값에 대한 직선의 방정식을 이용하여 기판 이송 장치(100)의 보정값을 산출하는 산출부(3020) 및 기판 이송 장치(100)의 보정값에 기초하여 기판에 대한 원의 중심을 구하고, 원의 중심을 이용하여 기판의 틀어짐량을 보정하는 보정부(3030)를 포함할 수 있다.
이하, 도 3 및 도 4를 참조하여, 제어 유닛(3000)이 복수의 센서의 위치값을 보정하고, 보정된 복수의 센서의 위치값을 이용하여 기판의 틀어짐량을 보정하는 방법을 상세히 설명한다. 센서부(3010)는 기판 이송 장치(100)의 지지부(1000)에 설치되는 복수의 센서로 제공되거나 지지부(1000) 및 고정 챔버(260)의 일측에 각각 설치되는 센서로 제공될 수 있으며, 복수의 센서는 기판의 원주 방향을 따라 일정한 간격으로 이격되어 기판 이송 장치100)의 지지부(1000)에 설치될 수 있다. 센서부(3010)는 도 3과 같이, 기판 이송 장치(100)의 지지부(1000)가 일 방향으로 이동할 때 기판 이송 장치(100)의 이동량에 대한 제1 좌표값과 복수의 센서에서 측정되는 제2 좌표값을 획득할 수 있다.
도 4를 참조하면, 산출부(3020)는 기판 이송 장치(100)의 이동량에 대한 제1 좌표값을 이용한 원의 방정식과 복수의 센서에서 측정되는 제2 좌표값을 이용한 직선의 방정식이 만나는 좌표값을 이용하여 복수의 센서의 이동거리를 산출한 후, 복수의 센서의 이동거리를 이용하여 기판 이송 장치(100)의 보정값을 산출한다. 구체적으로, 기판 이송 장치(100)의 이동량에 대한 제1 좌표값을 이용한 아래의 수학식 1과 복수의 센서에서 측정되는 제2 좌표값을 이용한 아래의 수학식 2를 산출하고, 수학식 2를 수학식 1에 대입하여,
Figure 112018056403630-pat00011
형태로 정리한 후 x값 및 y값을 산출할 수 있다. 이 경우, x값 및 y값은
Figure 112018056403630-pat00012
이 될 수 있다.
Figure 112018056403630-pat00013
여기서, a, b는 기판의 중심 좌표값이고, r은 기판의 반지름이다.
Figure 112018056403630-pat00014
여기서, x1, y1은 센서의 위치에 대한 좌표값이다.
산출부(3020)는 기판 이송 장치(100)의 이동량에 대한 제1 좌표값을 이용한 원의 방정식과 복수의 센서에서 측정되는 제2 좌표값을 이용한 직선의 방정식이 만나는 x값 및 y값이 산출되면, 기판 이송 장치(100)가 기설정된 거리만큼 이동했을 때 아래의 수학식 3을 이용하여 복수의 센서의 이동거리를 산출할 수 있다. 복수의 센서의 이동거리에 기초하여 복수의 센서의 보정된 위치값을 산출할 수 있다.
Figure 112018056403630-pat00015
여기서, x1, y1은 센서의 위치에 대한 좌표값이다.
이후, 보정부(3030)는 복수의 센서의 이동거리에 기초하여 복수의 센서의 보정된 위치값을 산출하고, 복수의 센서의 보정된 위치값 중 적어도 3개의 위치값을 이용하여 기판에 대한 원의 중심을 산출한 후, 산출된 원의 중심을 기설정된 값과 비교하여 기판의 틀어짐량을 보정할 수 있다. 여기서, 보정부(3030)는 복수의 센서의 이동거리와 복수의 센서 각각의 제로셋 값을 합산하여 기판 이송 장치(100)의 보정값을 산출할 수 있다.
제어 유닛(3000)은 기판 이송 장치(100)를 일 방향으로 기설정된 거리만큼 이동시키면서 센서부(3010)에 의하여 제1 좌표값 및 제2 좌표값을 일정 주기(예를 들어, 0.1mm)마다 획득하며, 산출부(3020) 및 보정부(3030)는 획득된 제1 좌표값 및 제2 좌표값을 이용하여 복수의 센서의 보정된 위치값을 산출하고, 복수의 센서의 보정된 위치값 중 적어도 3개의 위치값을 이용하여 기판의 틀어짐량을 보정할 수 있다.
제어 유닛(3000)은 보정부(3030)에 의해 복수의 센서의 보정된 위치값이 산출되면, 기판 이송 장치(100)의 이동량에 대응되는 복수의 센서의 보정된 위치값을 매핑할 수 있다. 기판 이송 장치(100)를 이동시키면서 기판 이송 장치(100)의 이동량에 대한 복수의 센서의 보정된 위치값을 매핑한 그래프는 도 5와 같이 나타날 수 있다. 제어 유닛(3000)은 매핑 그래프를 이용하여 기판 이송 장치(100)의 이동량에 대응되는 복수의 센서의 보정된 위치값을 이용하여 기판의 틀어짐량을 판단하고, 이에 기초하여 기판의 틀어짐량을 보정하므로, 보다 정확하게 기판의 틀어짐량을 보정할 수 있으며, 이에 따라 공정 효율을 높일 수 있다.
도 6은 본 발명의 일 실시 예에 따른 기판 틀어짐 보정 방법을 나타내는 흐름도이다.
우선, 기판 이송 장치를 일 방향으로 이동시키면서 기판 이송 장치의 이동량에 대한 제1 좌표값과 기판 이송 장치에 설치되는 복수의 센서에서 측정되는 제2 좌표값을 획득한다(S610). 일 예로, 기판 이송 장치를 일 방향으로 기설정된 거리만큼 이동시킨 후 기판 이송 장치의 이동량에 대한 제1 좌표값과 기판 이송 장치에 설치되는 복수의 센서에서 측정되는 제2 좌표값을 획득하는 과정을 반복하여 수행할 수 있다.
이어서, 제1 좌표값에 대한 원의 방정식과 제2 좌표값에 대한 직선의 방정식을 이용하여 기판 이송 장치의 보정값을 산출한다(S620). 구체적으로, 제1 좌표값에 대한 원의 방정식과 제2 좌표값에 대한 직선의 방정식이 만나는 좌표값을 산출하고, 제1 좌표값에 대한 원의 방정식과 제2 좌표값에 대한 직선의 방정식이 만나는 좌표값을 이용하여 복수의 센서의 이동거리를 산출한 후, 복수의 센서의 이동거리를 이용하여 기판 이송 장치의 보정값을 산출한다. 이 경우, 제1 좌표값에 대한 원의 방정식은,
Figure 112018056403630-pat00016
이고, 제2 좌표값에 대한 직선의 방정식은
Figure 112018056403630-pat00017
일 수 있으며, 여기서, a, b는 기판의 중심 좌표값이고, r은 기판의 반지름이고, x1, y1은 센서의 위치에 대한 좌표값이다. 또한, 제1 좌표값에 대한 원의 방정식과 제2 좌표값에 대한 직선의 방정식이 만나는 좌표값은 제2 좌표값에 대한 직선의 방정식을 제1 좌표값에 대한 원의 방정식에 대입하여
Figure 112018056403630-pat00018
형태로 정리한 후,
Figure 112018056403630-pat00019
식을 이용하여 산출할 수 있다. 또한, 제1 좌표값에 대한 원의 방정식과 제2 좌표값에 대한 직선의 방정식이 만나는 좌표값이 산출되면,
Figure 112018056403630-pat00020
식을 이용하여 복수의 센서의 이동거리를 산출할 수 있으며, 여기서, x1, y1은 센서의 위치에 대한 좌표값이다.
이어서, 기판 이송 장치의 보정값에 기초하여 기판에 대한 원의 중심을 구하고, 원의 중심을 이용하여 기판의 틀어짐량을 보정한다(S630). 구체적으로, 복수의 센서의 이동거리에 기초하여 복수의 센서의 보정된 위치값을 산출하고, 복수의 센서의 보정된 위치값 중 적어도 3개의 위치값을 이용하여 기판에 대한 원의 중심을 산출한 후, 산출된 원의 중심을 기설정된 값과 비교하여 기판의 틀어짐량을 보정할 수 있다.
이상과 같은 본 발명의 다양한 실시 예에 따르면 기판 이송 장치를 일 방향으로만 이동시키면서 기판 이송 장치의 캘리브레이션을 수행할 수 있으며, 보다 정확하게 기판 틀어짐을 보정할 수 있다.
본 발명의 일 실시 예에 따른 기판의 틀어짐 보정 방법은 컴퓨터에서 실행되기 위한 프로그램으로 제작되어 컴퓨터가 읽을 수 있는 기록매체에 저장될 수 있다. 상기 컴퓨터가 읽을 수 있는 기록매체는 컴퓨터 시스템에 의하여 읽혀질 수 있는 데이터가 저장되는 모든 종류의 저장장치를 포함한다. 컴퓨터가 읽을 수 있는 기록매체의 예로는 ROM, RAM, CD-ROM, 자기 테이프, 플로피디스크, 광 데이터 저장장치 등이 있다. 또한, 상기 온실가스 분포 데이터 생성 방법(20)은 컴퓨터와 결합되어 실행시키기 위하여 매체에 저장된 컴퓨터 프로그램으로 구현될 수 있다.
이상에서 실시 예를 통해 본 발명을 설명하였으나, 위 실시 예는 단지 본 발명의 사상을 설명하기 위한 것으로 이에 한정되지 않는다. 통상의 기술자는 전술한 실시 예에 다양한 변형이 가해질 수 있음을 이해할 것이다. 본 발명의 범위는 첨부된 특허청구범위의 해석을 통해서만 정해진다.
100: 기판 이송 장치 1000: 지지부
2000: 구동 유닛 3000: 제어 유닛
3010: 센서부 3020: 산출부
3030: 보정부

Claims (22)

  1. 기판을 이송하는 기판 이송 장치의 기판 틀어짐 보정 방법에 있어서,
    상기 기판 이송 장치를 일 방향으로 이동시키면서 상기 기판 이송 장치의 이동량에 대한 제1 좌표값과 상기 기판 이송 장치에 설치되는 복수의 센서에서 측정되는 제2 좌표값을 획득하는 단계;
    상기 제1 좌표값에 대한 원의 방정식과 상기 제2 좌표값에 대한 직선의 방정식을 이용하여 상기 기판 이송 장치의 보정값을 산출하는 단계; 및
    상기 기판 이송 장치의 보정값에 기초하여 상기 기판에 대한 원의 중심을 구하고, 상기 원의 중심을 이용하여 상기 기판의 틀어짐량을 보정하는 단계;를 포함하고,
    상기 기판 이송 장치의 보정값을 산출하는 단계는,
    상기 원의 방정식과 상기 직선의 방정식이 만나는 좌표값을 산출하는 단계;
    상기 원의 방정식과 상기 직선의 방정식이 만나는 좌표값을 이용하여 상기 복수의 센서의 이동거리를 산출하는 단계; 및
    상기 복수의 센서의 이동거리를 이용하여 상기 기판 이송 장치의 보정값을 산출하는 단계;를 포함하는 기판 틀어짐 보정 방법.
  2. 삭제
  3. 제1항에 있어서,
    상기 복수의 센서의 이동거리를 이용하여 상기 기판 이송 장치의 보정값을 산출하는 단계는,
    상기 복수의 센서의 이동거리와 상기 복수의 센서 각각의 제로셋 값을 합산하여 상기 기판 이송 장치의 보정값을 산출하는 기판 틀어짐 보정 방법.
  4. 제1항에 있어서,
    상기 원의 방정식은,
    Figure 112020004438937-pat00021
    이고,
    상기 직선의 방정식은,
    Figure 112020004438937-pat00022
    이며,
    여기서, a, b는 상기 기판의 중심 좌표값이고, r은 상기 기판의 반지름이고, x1, y1은 상기 센서의 위치에 대한 좌표값인 기판 틀어짐 보정 방법.
  5. 제4항에 있어서,
    상기 원의 방정식과 상기 직선의 방정식이 만나는 좌표값을 산출하는 단계는,
    상기 직선의 방정식을 상기 원의 방정식에 대입하여
    Figure 112018056403630-pat00023
    형태로 정리한 후,
    Figure 112018056403630-pat00024
    을 이용하여 상기 원의 방정식과 상기 직선의 방정식이 만나는 좌표값을 산출하는 기판 틀어짐 보정 방법.
  6. 제1항에 있어서,
    상기 기판의 틀어짐량을 보정하는 단계는,
    상기 복수의 센서의 이동거리에 기초하여 상기 복수의 센서의 보정된 위치값을 산출하는 단계;
    상기 복수의 센서의 보정된 위치값 중 적어도 3개의 위치값을 이용하여 상기 기판에 대한 원의 중심을 산출하는 단계; 및
    산출된 상기 원의 중심을 기설정된 값과 비교하여 상기 기판의 틀어짐량을 보정하는 단계;를 포함하는 기판 틀어짐 보정 방법.
  7. 제1항에 있어서,
    상기 복수의 센서의 이동거리를 산출하는 단계는,
    Figure 112020004438937-pat00025
    을 이용하여 상기 복수의 센서의 이동거리를 산출하며, 여기서, x1, y1은 상기 센서의 위치에 대한 좌표값인 기판 틀어짐 보정 방법.
  8. 제1항에 있어서,
    상기 제1 좌표값과 제2 좌표값을 획득하는 단계는,
    상기 기판 이송 장치를 일 방향으로 기설정된 거리만큼 이동시킨 후 상기 제1 좌표값 및 제2 좌표값을 획득하는 과정을 반복하여 수행하는 기판 틀어짐 보정 방법.
  9. 제8항에 있어서,
    상기 기설정된 거리는 0.1mm인 기판 틀어짐 보정 방법.
  10. 제1항에 있어서,
    상기 복수의 센서는, 상기 기판의 원주 방향을 따라 일정한 간격으로 이격되어 상기 기판 이송 장치에 설치되는 기판 틀어짐 보정 방법.
  11. 기판을 이송하는 기판 이송 장치에 있어서,
    상기 기판을 지지하는 지지부;
    상기 지지부를 이동시키는 구동 유닛; 및
    상기 구동 유닛의 움직임을 제어하는 제어 유닛;을 포함하되,
    상기 제어 유닛은,
    상기 기판 이송 장치를 일 방향으로 이동시키면서 상기 기판 이송 장치의 이동량에 대한 제1 좌표값과 상기 기판 이송 장치에 설치되는 복수의 센서에서 측정되는 제2 좌표값을 획득하는 센서부;
    상기 제1 좌표값에 대한 원의 방정식과 상기 제2 좌표값에 대한 직선의 방정식을 이용하여 상기 기판 이송 장치의 보정값을 산출하는 산출부; 및
    상기 기판 이송 장치의 보정값에 기초하여 상기 기판에 대한 원의 중심을 구하고, 상기 원의 중심을 이용하여 상기 기판의 틀어짐량을 보정하는 보정부;를 포함하고,
    상기 산출부는,
    상기 원의 방정식과 상기 직선의 방정식이 만나는 좌표값을 산출하고, 상기 원의 방정식과 상기 직선의 방정식이 만나는 좌표값을 이용하여 상기 복수의 센서의 이동거리를 산출한 후, 상기 복수의 센서의 이동거리를 이용하여 상기 기판 이송 장치의 보정값을 산출하는 기판 이송 장치.
  12. 삭제
  13. 제11항에 있어서,
    상기 산출부는,
    상기 복수의 센서의 이동거리와 상기 복수의 센서 각각의 제로셋 값을 합산하여 상기 기판 이송 장치의 보정값을 산출하는 기판 이송 장치.
  14. 제11항에 있어서,
    상기 원의 방정식은,
    Figure 112020004438937-pat00026
    이고,
    상기 직선의 방정식은,
    Figure 112020004438937-pat00027
    이며,
    여기서, a, b는 상기 기판의 중심 좌표값이고, r은 상기 기판의 반지름이고, x1, y1은 상기 센서의 위치에 대한 좌표값인 기판 이송 장치.
  15. 제14항에 있어서,
    상기 산출부는,
    상기 직선의 방정식을 상기 원의 방정식에 대입하여
    Figure 112018056403630-pat00028
    형태로 정리한 후,
    Figure 112018056403630-pat00029
    을 이용하여 상기 원의 방정식과 상기 직선의 방정식이 만나는 좌표값을 산출하는 기판 이송 장치.
  16. 제11항에 있어서,
    상기 보정부는,
    상기 복수의 센서의 이동거리에 기초하여 상기 복수의 센서의 보정된 위치값을 산출하고, 상기 복수의 센서의 보정된 위치값 중 적어도 3개의 위치값을 이용하여 상기 기판에 대한 원의 중심을 산출한 후, 산출된 상기 원의 중심을 기설정된 값과 비교하여 상기 기판의 틀어짐량을 보정하는 기판 이송 장치.
  17. 제11항에 있어서,
    상기 산출부는,
    Figure 112020004438937-pat00030
    을 이용하여 상기 복수의 센서의 이동거리를 산출하며, 여기서, x1, y1은 상기 센서의 위치에 대한 좌표값인 기판 이송 장치.
  18. 제11항에 있어서,
    상기 제어 유닛은,
    상기 기판 이송 장치를 일 방향으로 기설정된 거리만큼 이동시킨 후 상기 제1 좌표값 및 제2 좌표값을 획득하는 과정을 반복하여 수행하는 기판 이송 장치.
  19. 제18항에 있어서,
    상기 기설정된 거리는 0.1mm인 기판 이송 장치.
  20. 제11항에 있어서,
    상기 복수의 센서는, 상기 기판의 원주 방향을 따라 일정한 간격으로 이격되어 상기 기판 이송 장치에 설치되는 기판 이송 장치.
  21. 내부에 제공된 기판에 대해 공정 처리가 수행되는 공정 챔버를 포함하는 공정 모듈;
    기판을 수용하는 캐리어가 놓이는 로드 포트; 및
    기판을 이송하는 기판 이송 장치;를 포함하고,
    상기 기판 이송 장치는,
    상기 기판을 지지하는 지지부;
    상기 지지부를 이동시키는 구동 유닛; 및
    상기 구동 유닛의 움직임을 제어하는 제어 유닛;을 포함하되,
    상기 제어 유닛은,
    상기 기판 이송 장치를 일 방향으로 이동시키면서 상기 기판 이송 장치의 이동량에 대한 제1 좌표값과 상기 기판 이송 장치에 설치되는 복수의 센서에서 측정되는 제2 좌표값을 획득하는 센서부;
    상기 제1 좌표값에 대한 원의 방정식과 상기 제2 좌표값에 대한 직선의 방정식을 이용하여 상기 기판 이송 장치의 보정값을 산출하는 산출부; 및
    상기 기판 이송 장치의 보정값에 기초하여 상기 기판에 대한 원의 중심을 구하고, 상기 원의 중심을 이용하여 상기 기판의 틀어짐량을 보정하는 보정부;를 포함하고,
    상기 산출부는,
    상기 원의 방정식과 상기 직선의 방정식이 만나는 좌표값을 산출하고, 상기 원의 방정식과 상기 직선의 방정식이 만나는 좌표값을 이용하여 상기 복수의 센서의 이동거리를 산출한 후, 상기 복수의 센서의 이동거리를 이용하여 상기 기판 이송 장치의 보정값을 산출하는 기판 처리 장치.
  22. 제1항에 따른 기판 틀어짐 보정 방법을 컴퓨터로 실행하기 위한 프로그램이 기록된 컴퓨터에서 판독 가능한 기록 매체.

KR1020180066129A 2018-06-08 2018-06-08 기판 이송 장치, 이를 포함하는 기판 처리 장치 및 기판 틀어짐 보정 방법 KR102099115B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020180066129A KR102099115B1 (ko) 2018-06-08 2018-06-08 기판 이송 장치, 이를 포함하는 기판 처리 장치 및 기판 틀어짐 보정 방법
US16/430,631 US11380565B2 (en) 2018-06-08 2019-06-04 Substrate transfer apparatus, substrate processing apparatus including the same, and substrate misalignment compensation method
CN201910498892.5A CN110581090B (zh) 2018-06-08 2019-06-10 基板传送设备、包括所述基板传送设备的基板处理设备以及基板未对准补偿方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020180066129A KR102099115B1 (ko) 2018-06-08 2018-06-08 기판 이송 장치, 이를 포함하는 기판 처리 장치 및 기판 틀어짐 보정 방법

Publications (2)

Publication Number Publication Date
KR20190139534A KR20190139534A (ko) 2019-12-18
KR102099115B1 true KR102099115B1 (ko) 2020-04-10

Family

ID=68765042

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180066129A KR102099115B1 (ko) 2018-06-08 2018-06-08 기판 이송 장치, 이를 포함하는 기판 처리 장치 및 기판 틀어짐 보정 방법

Country Status (3)

Country Link
US (1) US11380565B2 (ko)
KR (1) KR102099115B1 (ko)
CN (1) CN110581090B (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102319196B1 (ko) * 2020-01-08 2021-10-29 세메스 주식회사 컨베이어 시스템 및 컨베이어 시스템에서의 정렬 방법
US20210375654A1 (en) * 2020-05-26 2021-12-02 Asm Ip Holding B.V. Automatic system calibration for wafer handling
US11798826B2 (en) * 2020-09-18 2023-10-24 Nanya Technology Corporation Wafer-measuring apparatus and wafer-transferring method thereof
JP2022107898A (ja) * 2021-01-12 2022-07-25 東京エレクトロン株式会社 基板搬送装置、基板搬送方法、および基板処理システム

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100676823B1 (ko) 2005-07-23 2007-02-01 삼성전자주식회사 웨이퍼이송장치 및 그 이송방법
JP2015005684A (ja) * 2013-06-24 2015-01-08 シンフォニアテクノロジー株式会社 搬送ロボット、円盤状搬送対象物の搬送方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4063921B2 (ja) * 1997-08-07 2008-03-19 オリンパス株式会社 基板中心位置検出装置及び方法
JP2001127136A (ja) 1999-10-29 2001-05-11 Applied Materials Inc 基板搬送ロボットの検査装置
JP4260423B2 (ja) * 2002-05-30 2009-04-30 ローツェ株式会社 円盤状物の基準位置教示方法、位置決め方法および搬送方法並びに、それらの方法を使用する円盤状物の基準位置教示装置、位置決め装置、搬送装置および半導体製造設備
US6900877B2 (en) * 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
JP2006351884A (ja) * 2005-06-16 2006-12-28 Tokyo Electron Ltd 基板搬送機構及び処理システム
KR100865720B1 (ko) * 2007-03-16 2008-10-29 세메스 주식회사 기판 처리 장치 및 방법
KR20080092013A (ko) * 2007-04-10 2008-10-15 세메스 주식회사 웨이퍼 이송 방법
JP5477053B2 (ja) * 2010-03-02 2014-04-23 株式会社ニコン 重ね合わせ装置、ウェハホルダ、位置検出方法およびデバイスの製造方法
JP5614326B2 (ja) * 2010-08-20 2014-10-29 東京エレクトロン株式会社 基板搬送装置、基板搬送方法及びその基板搬送方法を実行させるためのプログラムを記録した記録媒体
US9184084B2 (en) * 2014-01-28 2015-11-10 Lam Research Corporation Wafer handling traction control system
US9948913B2 (en) * 2014-12-24 2018-04-17 Samsung Electronics Co., Ltd. Image processing method and apparatus for processing an image pair
JP6316742B2 (ja) * 2014-12-24 2018-04-25 東京エレクトロン株式会社 基板搬送装置および基板搬送方法
JP6463227B2 (ja) * 2015-07-07 2019-01-30 東京エレクトロン株式会社 基板搬送方法
KR101765092B1 (ko) * 2015-10-01 2017-08-04 세메스 주식회사 기판 중심 검출 방법, 기판 반송 방법, 반송 유닛 및 이를 포함하는 기판 처리 장치.
KR20170048784A (ko) 2015-10-27 2017-05-10 세메스 주식회사 기판 검사 방법 및 기판 처리 장치, 캘리브레이션 보드

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100676823B1 (ko) 2005-07-23 2007-02-01 삼성전자주식회사 웨이퍼이송장치 및 그 이송방법
JP2015005684A (ja) * 2013-06-24 2015-01-08 シンフォニアテクノロジー株式会社 搬送ロボット、円盤状搬送対象物の搬送方法

Also Published As

Publication number Publication date
CN110581090B (zh) 2023-12-12
US20190378735A1 (en) 2019-12-12
CN110581090A (zh) 2019-12-17
US11380565B2 (en) 2022-07-05
KR20190139534A (ko) 2019-12-18

Similar Documents

Publication Publication Date Title
KR102099115B1 (ko) 기판 이송 장치, 이를 포함하는 기판 처리 장치 및 기판 틀어짐 보정 방법
US11037810B2 (en) Teaching method
KR102369833B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP5949741B2 (ja) ロボットシステム及び検出方法
CN106340479B (zh) 基板输送方法和基板处理装置
US20100008688A1 (en) Method for aligning transfer position of transfer system
WO2009137279A2 (en) Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US10046460B2 (en) Robot teaching position correcting method and system
KR20160078243A (ko) 기판 반송 장치 및 기판 반송 방법
US10042356B2 (en) Substrate processing apparatus, method for correcting positional displacement, and storage medium
CN107026110B (zh) 基板交接位置的示教方法和基板处理系统
US7596425B2 (en) Substrate detecting apparatus and method, substrate transporting apparatus and method, and substrate processing apparatus and method
KR101130442B1 (ko) 위치 측정 장치, 성막 방법, 성막 프로그램을 기록한 컴퓨터 판독가능한 기록 매체 및 성막 장치
KR100981078B1 (ko) 박판 형상물의 변위량 검출 방법 및 변위량 수정 방법
JP4468159B2 (ja) 基板処理装置及びその搬送位置合わせ方法
JP2013235870A (ja) 基板処理装置、調整方法及び記憶媒体
KR20190143368A (ko) 자동 교시 방법 및 제어 장치
US7361920B2 (en) Substrate processing apparatus and transfer positioning method thereof
KR20200075395A (ko) 기판 처리 장치 및 반송 로봇 핸드의 티칭 방법
JP7374683B2 (ja) 基板搬送装置および基板搬送装置のハンドの位置補正方法
CN112342519B (zh) 成膜系统、成膜系统的异常部位判别方法及计算机可读取的存储介质
JP6442596B2 (ja) 基板搬送装置および基板搬送方法
KR101736849B1 (ko) 기판 중심 검출 방법, 기판 반송 방법, 반송 유닛 및 이를 포함하는 기판 처리 장치.
KR101909181B1 (ko) 기판 이송 어셈블리, 이를 포함하는 기판 처리 장치 및 위치 보정 방법
KR101765092B1 (ko) 기판 중심 검출 방법, 기판 반송 방법, 반송 유닛 및 이를 포함하는 기판 처리 장치.

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right