KR102068102B1 - 가스 전달 시스템 및 그것을 이용하는 방법 - Google Patents

가스 전달 시스템 및 그것을 이용하는 방법 Download PDF

Info

Publication number
KR102068102B1
KR102068102B1 KR1020147030562A KR20147030562A KR102068102B1 KR 102068102 B1 KR102068102 B1 KR 102068102B1 KR 1020147030562 A KR1020147030562 A KR 1020147030562A KR 20147030562 A KR20147030562 A KR 20147030562A KR 102068102 B1 KR102068102 B1 KR 102068102B1
Authority
KR
South Korea
Prior art keywords
gas
flow
gas delivery
flow paths
gases
Prior art date
Application number
KR1020147030562A
Other languages
English (en)
Other versions
KR20140140114A (ko
Inventor
지유안 예
발라수브라마니안 라마찬드란
데니스 데마스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140140114A publication Critical patent/KR20140140114A/ko
Application granted granted Critical
Publication of KR102068102B1 publication Critical patent/KR102068102B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85938Non-valved flow dividers

Abstract

가스 전달 시스템 및 그것을 이용하는 방법이 여기에 제공된다. 일부 실시예들에서, 가스 전달 시스템은 제1 유동 경로를 따라 제1 가스를 제공하기 위한 제1 가스 공급부; 제1 유동 경로를 복수의 대응 가스 전달 구역으로 이어지는 복수의 제2 유동 경로로 분할하기 위해 제1 유동 경로 내에 배치된 유동 분할기; 및 제2 가스를 복수의 제2 유동 경로 중의 각자의 제2 유동 경로에 독립적으로 제공하기 위해 제2 유동 경로들 중 대응하는 것에 각자 연결되는 복수의 제2 가스 공급부를 포함할 수 있다.

Description

가스 전달 시스템 및 그것을 이용하는 방법{GAS DELIVERY SYSTEMS AND METHODS OF USE THEREOF}
본 발명의 실시예들은 일반적으로 반도체 처리 장비에 관한 것이다.
프로세스 챔버에 프로세스 가스들을 제공하기 위해 이용되는 종래의 가스 공급 시스템들은 프로세스 가스들을 프로세스 챔버에 전달하는 것을 용이하게 하기 위해 캐리어 가스들을 이용하는 경우가 많다. 그러한 시스템들에서, 프로세스 가스들과 캐리어 가스는 전형적으로 혼합되어 단일 유동 경로 내에 제공되며, 그 다음에 그러한 단일 유동 경로는 임의의 별개의 가스 전달 구역들에의 프로세스 가스 및 캐리어 가스의 전달을 용이하게 하기 위해, 프로세스 가스 및 캐리어 가스 혼합 지점의 다운스트림에서 복수의 유동 경로로 분할될 수 있다. 그러나, 본 발명자들은 혼합된 가스들을 복수의 유동 경로로 분할하기 위해서는 고가의 장비가 요구된다는 것을 알아차렸다. 더욱이, 본 발명자들은, 그러한 시스템들에서 개별 가스 전달 구역들에 전달되는 프로세스 가스의 양에 대한 제어가 제한된다는 것을 알아차렸다.
그러므로, 본 발명자들은 개선된 가스 전달 시스템을 제공한다.
가스 전달 시스템 및 그것을 이용하는 방법이 여기에 제공된다. 일부 실시예들에서, 가스 전달 시스템은 제1 유동 경로를 따라 제1 가스를 제공하는 제1 가스 공급부; 제1 유동 경로 내에 배치되어 제1 유동 경로를 복수의 대응 가스 전달 구역으로 이어지는 복수의 제2 유동 경로로 분할하는 유동 분할기(flow divider); 및 제2 유동 경로들 중 대응하는 것에 각자 연결되어 제2 가스를 복수의 제2 유동 경로 중의 각자의 제2 유동 경로에 독립적으로 제공하는 복수의 제2 가스 공급부를 포함할 수 있다.
일부 실시예들에서, 기판 처리 시스템은 챔버 바디 - 챔버 바디는 챔버 바디의 내부 용적 내에 배치된 기판을 지지하기 위한 기판 지지체를 갖고, 내부 용적은 복수의 가스 전달 구역을 가짐 - ; 내부 용적에 제1 가스를 제공하기 위한 제1 가스 공급부; 제1 가스 공급부와 챔버 바디 사이에 배치되어 제1 가스 공급부로부터의 제1 가스의 유동을 복수의 가스 전달 구역 중의 각자의 가스 전달 구역에 유동적으로 연결된 복수의 유동 경로로 분할하는 유동 분할기; 및 각각 복수의 유동 경로 중 대응하는 유동 경로에 각자 연결되어 제2 가스를 복수의 유동 경로에 독립적으로 제공하는 복수의 제2 가스 공급부를 포함할 수 있다.
일부 실시예들에서, 기판을 처리하는 방법은 제1 가스 공급부로부터의 제1 가스의 유동을 기판을 처리하기 위한 프로세스 챔버의 대응하는 복수의 가스 전달 구역에 연결된 복수의 유동 경로로 분할하는 단계; 및 제1 가스의 유동과는 독립적으로 제2 가스의 유동을 복수의 유동 경로 각각에 제공하여, 복수의 가스 전달 구역 각각 내로 유동하는 제1 가스 및 제2 가스의 독립적으로 제어가능한 혼합물들을 형성하는 단계를 포함할 수 있다.
본 발명의 다른 실시예들 및 추가의 실시예들이 이하에 설명된다.
위에서 간략하게 요약하고 이하에 더 상세하게 논의되는 본 발명의 실시예들은 첨부 도면들에 도시된 본 발명의 예시적인 실시예들을 참조하여 이해될 수 있다. 그러나, 본 발명은 동등한 효과의 다른 실시예들을 허용할 수 있으므로, 첨부 도면들은 본 발명의 전형적인 실시예들만을 도시하며, 따라서 그것의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1은 본 발명의 일부 실시예들에 따른 가스 전달 장치이다.
도 2는 본 발명의 일부 실시예들에 따른 가스 전달 장치와 함께 이용하기에 적합한 프로세스 챔버이다.
이해를 쉽게 하기 위해, 가능한 경우에는 도면들에 공통인 동일한 구성요소를 지칭하는 데에 동일한 참조 번호들이 이용되었다. 도면들이 비례에 맞춰 그려지지는 않으며, 명확성을 위해 단순화될 수 있다. 일 실시예의 구성요소들 및 특징들은 더 이상의 언급 없이도 다른 실시예들에서 유리하게 포함될 수 있을 것으로 생각된다.
가스 전달 시스템의 실시예들이 여기에 제공된다. 일부 실시예들에서, 여기에 설명되는 것과 같은 본 발명의 가스 전달 시스템은 유리하게도 낮은 유량(flow rates)에서의 프로세스 가스들의 분할을 용이하게 할 수 있으며, 그에 따라 고가의 고유동 유동 비율 제어기(high-flow flow ratio controller)를 불필요하게 한다. 일부 실시예들에서, 여기에 설명되는 것과 같은 본 발명의 가스 전달 장치는 유리하게도 복수의 가스 전달 구역에 걸쳐 실질적으로 균일한 유동장들(flow fields)을 제공하고, 그에 의해 프로세스 챔버에 걸쳐 결합된 가스들의 균일한 전달을 용이하게 한다. 일부 실시예들에서, 여기에 설명되는 것과 같은 본 발명의 가스 전달 장치는 유리하게도 각각의 가스 전달 구역에 대하여, 프로세스 가스/캐리어 가스 혼합물의 유량 및 조성에 대한 독립적인 제어를 용이하게 할 수 있다.
도 1은 본 발명의 일부 실시예들에 따른 가스 전달 시스템(100)의 개략도를 도시한다. 일부 실시예들에서, 가스 전달 시스템(100)은 제1 가스를 제1 유동 경로(136)에 제공하기 위한 제1 가스 공급부(104); 제1 유동 경로(136)를 복수의 제2 유동 경로(138)로 분할하기 위해 제1 유동 경로(136) 내에 배치된 유동 분할기(112); 및 제2 가스를 복수의 제2 유동 경로(138) 중의 각자의 제2 유동 경로에 독립적으로 제공하기 위해 복수의 제2 유동 경로(138)에 각자 연결되는 복수의 제2 가스 공급부(102)를 일반적으로 포함할 수 있다. 일부 실시예들에서, 복수의 제2 가스 공급부(102)는 제1 가스 공급부(104)와의 교차점(junction)의 업스트림에서 복수의 제2 유동 경로(138)에 각자 연결된다. 일부 실시예들에서, 복수의 제2 유동 경로(138) 각각은 제1 가스 공급부(104) 및 복수의 제2 가스 공급부(102)에 의해 각자 제공되는 제1 가스 및 제2 가스의 혼합물을 프로세스 챔버(128)의 둘 이상의 가스 전달 구역(140)에 제공할 수 있다.
제1 가스 공급부(104)는 프로세스 챔버(128) 내에서 원하는 프로세스를 수행하는 데에 필요한 임의의 개수의 가스 공급부(예를 들어, 도 1에 도시된 가스 공급부(110A-N))를 포함할 수 있다. 예를 들어, 제1 가스 공급부(104)는 일부 실시예들에서는 하나의 가스 공급부(예를 들어, 가스 공급부(110A))를 포함할 수 있고, 또는 일부 실시예들에서는 둘 이상의 가스 공급부(예를 들어, 가스 공급부(110A-N))를 포함할 수 있다. 제1 가스 공급부(104)가 둘 이상의 가스 공급부(110A-N)를 포함하는 실시예들에서, 가스 공급부들(110A-N)은 가스 패널의 일부일 수 있거나, 일부 실시예들에서는, 도 1에 도시된 것과 같은 제1 유동 경로(136)에 개별적으로 연결될 수 있다. 일부 실시예들에서, 제1 가스 공급부(104)의 각각의 가스 공급부(110A-N)는 가스 공급부들(110A-N)로부터 공급되는 각각의 가스의 유량에 대한 제어를 허용하기 위해, 예를 들어 유동 제한기(flow restrictor), 대량 유동 제어기(mass flow controller), 밸브, 유동 비율 제어기, 또는 그와 유사한 것과 같은 유동 제어 메커니즘(111A-N)을 포함할 수 있다.
제1 가스는 프로세스 챔버(128) 내에서 원하는 프로세스를 수행하는 데에 적합한 임의의 프로세스 가스 또는 가스 혼합물일 수 있다. 예를 들어 Ⅲ-Ⅴ족 반도체 재료를 퇴적하기 위해 에피택셜 퇴적 프로세스와 같은 퇴적 프로세스가 수행되는 일부 실시예들에서, 가스 공급부들은 실례로서 갈륨(Ga), 인듐(In), 비소(As), 알루미늄(Al) 또는 그와 유사한 것을 포함하는 프로세스 가스들을 제공할 수 있다. 특정 프로세스를 수행하기 위해 요구되는 대로, 다른 가스들 또는 가스 혼합물들이 또한 제공될 수 있다.
제2 가스는 제1 가스와 혼합되어 프로세스 챔버(128)에 전달되는 임의의 적절한 가스일 수 있다. 일부 실시예들에서, 제2 가스는 예를 들어 수소(H2), 질소(N2), 아르곤(Ar), 헬륨(He) 또는 그와 유사한 것과 같이, 프로세스 챔버(128)에의 프로세스 가스들의 전달을 용이하게 하는 데에 적합한 캐리어 가스일 수 있다. 일부 실시예들에서, 복수의 제2 가스 공급부(102) 각각에 의해 제공되는 제2 가스는 동일한 가스일 수 있다. 대안적으로, 복수의 제2 가스 공급부(102) 각각에 의해 공급되는 제2 가스는 상이한 가스일 수 있다.
예를 들어 제1 가스가 낮은 유량(예를 들어 약 2000 sccm 미만, 또는 일부 실시예들에서는 약 5 내지 약 10 sccm의 유량)에서 제공되는 것과 같은 일부 실시예들에서, 제3 가스 공급부(113)는 제1 유동 경로에 제3 가스를 제공하기 위해 제1 가스 공급부(104)의 업스트림에 배치될 수 있다. 그러한 실시예들에서, 제3 가스의 유량에 대한 제어를 용이하게 하기 위해, 유동 제어 메커니즘(115)(예를 들어, 대량 유동 제어기, 유동 제한기, 또는 그와 유사한 것)이 제3 가스 공급부(113)에 연결될 수 있다. 제공 시에, 제3 가스는 "푸시 플로우(push flow)"로서 기능하여, 제1 가스가 제1 유동 경로(136)를 통해 유동 분할기(112)를 향해 이동하는 것을 용이하게 할 수 있다. 제3 가스는 예를 들어 위에서 설명된 캐리어 가스들 중 임의의 것과 같이, 그러한 이동을 용이하게 하는 데에 적합한 임의의 가스일 수 있다.
본 발명자들은, 종래의 가스 공급 시스템들에서, 위에서 설명된 프로세스 가스들(즉, 제1 가스)과 같은 프로세스 가스들이 전형적으로는 캐리어 가스(즉, 제2 가스)의 고유동(high flow)(예를 들어, 약 5000 sccm을 초과하거나, 일부 실시예들에서는 약 10000 sccm을 초과하는 유량)을 통해 프로세스 챔버에 전달된다는 것을 알아차렸다. 그러한 시스템들에서는, 프로세스 가스들과 캐리어 가스가 단일 유동 스트림으로 혼합되고, 후속하여 다운스트림에서 복수의 유동 경로로 분할되어, 혼합된 가스들을 가스 전달 구역들에 전달하는 것을 용이하게 한다. 그러나, 본 발명자들은, 캐리어 가스 공급부의 다운스트림에서 가스의 유동을 분할하는 것은, (캐리어 가스 없이) 프로세스 가스의 유량이 낮을 수 있는 경우에서조차도, 프로세스 가스들의 전달을 용이하게 하는 데에 필요한 캐리어 가스의 고유동으로 인해 고가의 장비(예를 들어, 고유동 유동 비율 제어기(FRC))를 필요로 한다는 것을 알아차렸다.
따라서, 일부 실시예들에서는, 유동 분할기(112)가 복수의 제2 가스 공급부(102)의 업스트림에서 제1 유동 경로(136) 내에 배치되어, 제1 유동 경로(136)를 복수의 제2 유동 경로(138)로 분할할 수 있다. 본 발명자들은 캐리어 가스의 유량에 비해 상당히 낮은 프로세스 가스의 유량 때문에, 복수의 제2 가스 공급부(102)의 업스트림에 유동 분할기(112)를 제공하면, 제1 유동 경로(136)가 낮은 유량(예를 들어 약 2000 sccm 미만, 또는 일부 실시예들에서는 약 3000 sccm 미만의 유량)에서 복수의 제2 유동 경로로 분할될 수 있으며, 그에 의해 고가의 고유동 유동 비율 제어기가 불필요해진다는 것을 알아차렸다.
유동 분할기(112)는 제1 유동 경로(136)를 임의의 개수의 제2 유동 경로(138)로 분할할 수 있다. 예를 들어, 2개의 제2 유동 경로(138)(제2 유동 경로(142, 144))만이 도시되어 있지만, 일부 실시예들에서는 2개보다 많은 제2 유동 경로(138), 예를 들어 3개 이상의 제2 유동 경로가 이용될 수 있다. 이용되는 제2 유동 경로(138)의 개수는 프로세스 챔버(128)의 물리적 특성(예를 들어, 크기, 형상, 대칭성 또는 그와 유사한 것), 프로세스 챔버(128) 내에서 수행되는 프로세스의 유형, 처리되는 기판, 그들의 조합, 또는 그와 유사한 것과 같은 인자들에 기초하여 결정될 수 있다. 일부 실시예들에서, 유동 제어 메커니즘(114, 116)(예를 들어, 유동 비율 제어기, 대량 유동 제어기, 유동 제한기, 또는 그와 유사한 것)이 제2 유동 경로(138) 각각에 연결되어, 제1 가스 공급부(104)에 의해 제2 유동 경로들(138) 각각에 제공되는 프로세스 가스의 양을 독립적으로 제어할 수 있다.
제2 가스 공급부들(102)의 업스트림에 유동 분할기(112)를 제공함으로써, 그리고 선택적인 유동 제어 메커니즘들(114, 116)을 이용함으로써, 제1 가스 공급부(104)에 의해 복수의 제2 유동 경로(138) 중의 각각의 유동 경로(예를 들어, 제2 유동 경로들(142, 144))에 제공되는 프로세스 가스의 양이 서로에 독립하여 제어될 수 있고, 그에 의해, 각각의 가스 전달 구역(122, 124, 126)에 제공되는 캐리어 가스 내의 프로세스 가스의 농도에 대한 제어가 허용되며, 그에 따라 프로세스 유연성 및 조정가능성이 제공된다.
일부 실시예들에서, 제1 가스 공급부(104)에 의해 프로세스 챔버(128)에 제공되는 프로세스 가스들의 전달을 용이하게 하기 위해, 복수의 제2 가스 공급부(102) 각각이 복수의 제2 유동 경로(138) 중의 대응하는 것들에 각자 연결되어 제2 가스(즉, 캐리어 가스)를 각각의 제2 유동 경로들(142, 144)에 공급한다. 예를 들어, 도 1에 도시된 바와 같이, 제2 유동 경로들(142, 144) 각각은 그에 각자 연결된 제2 가스 공급부(106, 108)를 갖는다. 일부 실시예들에서, 각각의 제2 가스 공급부(106, 108)에 의해 제공되는 캐리어 가스(즉, 제2 가스)의 유량에 대한 제어를 용이하게 하기 위해, 예를 들어 유동 제한기, 대량 유동 제어기, 밸브, 유동 비율 제어기, 또는 그와 유사한 것과 같은 유동 제어 메커니즘(107, 109)이 각각의 제2 가스 공급부(106, 108)에 연결될 수 있다. 일부 실시예들에서, 복수의 제2 가스 공급부(102)는 독립적인 복수의 제2 가스 공급부를 제공하기 위해 분할되고 나서 독립적으로 제어되는 출력부를 갖는 공동 가스 공급부에 의해 제공될 수 있다.
본 발명자들은, 제2 가스 공급부(106, 108)를 복수의 제2 유동 경로(138) 각각에 제공하면, 캐리어 가스의 유량이 복수의 제2 유동 경로(138) 각각의 내부에서 서로에 독립하여 조절될 수 있고, 그에 의해 둘 이상의 가스 전달 구역(140) 각각 내부에서의 유동장의 독립적인 조절이 용이해진다는 것을 알아차렸다. 더욱이, 본 발명자들은 캐리어 가스를 복수의 제2 가스 공급부(102)를 통해 복수의 제2 유동 경로(138) 각각에 따로따로 제공하면, 복수의 제2 유동 경로(138) 내에서의 프로세스 가스 및 캐리어 가스 혼합물의 전체적인 유량이 (예를 들어, 제1 가스 공급부들(104) 및/또는 유동 제어 메커니즘들(111A-N)에 의해 결정되는) 캐리어 가스 내의 프로세스 가스의 농도에 독립하여 조절될 수 있으며, 그에 의해, 캐리어 가스 내의 프로세스 가스의 농도를 둘 이상의 가스 전달 구역(140) 각각의 유동장에 독립하여 조절하는 것이 허용된다는 것을 더 알아차렸다.
따라서, 본 발명에 따른 가스 전달 장치는 유리하게도, 각각의 가스 전달 구역에 제공되는 프로세스 가스(또는 제1 가스)의 양은 물론, 각각의 가스 전달 구역 내에서의 캐리어 가스(또는 제2 가스)에 대한 프로세스 가스의 비율의 독립적인 제어를 제공할 수 있다. 비교에서, 본 발명자들은 프로세스 가스 및 캐리어 가스 혼합 지점의 다운스트림에서 프로세스 가스 및 캐리어 가스 혼합물을 분할하는 종래의 장치에서는, 캐리어 가스 내의 프로세스 가스의 농도가 각각의 가스 전달 구역에 대해 독립적으로 제어될 수 없고, 그에 따라 프로세스 조정가능성 및/또는 유연성이 제한된다는 것을 알아차렸다. 추가로, 본 발명자들은 프로세스 가스 및 캐리어 가스 혼합물을 그러한 방식으로 분할하면, 복수의 유동 경로의 상이한 길이들에 의해 야기되는 유동 전도성(flow conductance)의 차이로 인해 프로세스 챔버 내에 불균일한 유동장들을 야기할 수 있고, 그에 의해 프로세스 가스들의 불균일한 전달이 야기된다는 것을 더 알아차렸다. 예를 들어, 3개의 가스 전달 구역(예를 들어, 이하에 설명되는 프로세스 챔버(128)의 가스 전달 구역들(122, 124, 126)과 같은 것)을 갖는 프로세스 챔버에서, 프로세스 가스 및 캐리어 가스 혼합물의 유동은 내측 구역(예를 들어, 가스 전달 구역(124)) 내에서의 프로세스 가스 및 캐리어 가스 혼합물의 유동에 비교하여, 외측 구역들(예를 들어, 가스 전달 구역들(122, 126))에서 상당히 더 클 수 있고, 그에 의해 외측 바이어스(outer bias)를 갖는 프로세스 챔버에 걸친 유동장을 생성한다. 대안적으로, 프로세스 가스 및 캐리어 가스 혼합물의 유동은 내측 구역(예를 들어, 가스 전달 구역(124))에서보다 외측 구역들(예를 들어, 가스 전달 구역들(122, 126))에서 상당히 더 클 수 있고, 그에 의해 내측 바이어스(inner bias)를 갖는 프로세스 챔버에 걸친 유동장을 생성한다.
복수의 제2 유동 경로(138)는 결합된 가스들(제1 가스 공급부(104)에 의해 제공되는 제1 가스 및 복수의 제2 가스 공급부(102)에 의해 제공되는 제2 가스)를 프로세스 챔버(128)의 둘 이상의 가스 전달 구역(140)에 제공한다. 일부 실시예들에서, 결합된 가스들은 둘 이상의 유입구 세트(도시된 3개의 유입구 세트(130, 132, 134))를 통해 둘 이상의 가스 전달 구역(140)에 제공될 수 있다. 여기에서 이용될 때, 세트는 하나 이상의 유입구를 포함할 수 있다. 일부 실시예들에서, 예를 들어 샤워헤드, 노즐들 또는 그와 유사한 것과 같이, 둘 이상의 유입구 세트(130, 132, 134)가 프로세스 챔버(128) 내에 배치된 가스 전달 메커니즘에 연결될 수 있다.
도 1에는 3개의 가스 전달 구역(122, 124, 126)이 도시되어 있지만, 프로세스 챔버(128) 내에 원하는 유동 패턴을 제공하기 위해, 둘 이상의 가스 전달 구역(140)이 이용될 수 있다. 가스 전달 구역(140)의 개수는 프로세스 챔버(128)의 물리적 특성(예를 들어, 크기, 형상, 대칭성 또는 그와 유사한 것)과 같은 인자들에 기초하여 결정될 수 있다. 예를 들어, 일부 실시예들에서, 둘 이상의 가스 전달 구역(140)은 도 1에 도시된 것과 같이, 내측 가스 전달 구역(예를 들어, 가스 전달 구역(124)) 및 외측 가스 전달 구역들(예를 들어, 가스 전달 구역들(122, 126))을 포함할 수 있다.
복수의 제2 유동 경로(138) 중의 각각의 유동 경로는 결합된 가스들을 둘 이상의 가스 전달 구역(140) 중 하나 이상에 제공할 수 있다. 예를 들어, 일부 실시예들에서, 결합된 가스들을 둘 이상의 가스 전달 구역(140) 중의 외측 가스 전달 구역들(예를 들어, 가스 전달 구역들(122, 126))에 제공하기 위해, 복수의 제2 유동 경로(138) 중 하나(예를 들어, 제2 유동 경로(142))는 유동 분할기(118)를 통해 둘 이상의 3차 유동 경로(도시된 2개의 3차 유동 경로(150, 152))로 분할될 수 있다. 그러한 실시예들에서, 복수의 제2 유동 경로(138) 중의 다른 유동 경로(예를 들어, 제2 유동 경로(144))는 결합된 가스들을 둘 이상의 가스 전달 구역(140) 중 내측 구역(예를 들어, 가스 전달 구역(124))에 제공할 수 있다. 본 발명자들은, 결합된 가스들을 둘 이상의 가스 전달 구역(140)에 (위에서 설명된 것과 같은) 대칭 배열로 제공하면, 가스 전달 구역들(122, 124, 126)에 걸쳐서 실질적으로 균일한 유동장이 생성될 수 있고(점선(146, 148)에 의해 나타남), 그에 의해, 프로세스 챔버(128)에 걸쳐 결합된 가스들의 균일한 전달이 용이해진다는 것을 알아차렸다.
도 1에는 단 하나의 가스 전달 시스템(100)만이 도시되어 있지만, 하나보다 많은 가스 전달 시스템(100)(예를 들어, 둘 이상의 가스 전달 시스템(100))이 프로세스 챔버(예를 들어, 프로세스 챔버(128))에 연결될 수 있음이 이해되어야 한다. 하나보다 많은 가스 전달 시스템(100)을 이용하면 복수의 가스 혼합물들(예를 들어, 비상용성이거나(incompatible) 반응성인 가스 혼합물들)을 프로세스 챔버에 따로따로 전달하는 것을 허용할 수 있고, 그에 의해, 복수의 가스 혼합물을 프로세스 챔버(예를 들어, 프로세스 챔버(128))의 가스 전달 구역들(예를 들어, 가스 전달 구역들(122, 126))에 전달하기 전에 복수의 가스 혼합물들 간의 반응을 방지할 수 있다.
도 2는 본 발명의 일부 실시예들에 따른 본 발명의 가스 전달 시스템(100)과 함께 이용하기에 적합한 프로세스 챔버(200)(예를 들어, 도 1과 관련하여 위에서 설명된 프로세스 챔버(128))의 개략적 측면도를 보여준다. 일부 실시예들에서, 프로세스 챔버(200)는 캘리포니아주 산타클라라의 Applied Materials, Inc.로부터 입수가능한 RP EPI® 리액터, 또는 에피택셜 실리콘 퇴적 프로스세를 수행하도록 구성된 임의의 적절한 반도체 프로세스 챔버와 같이, 상업적으로 입수가능한 프로세스 챔버로부터 수정된 것일 수 있다. 위에서 언급된 바와 같이, 여기에 설명된 교시에 따른 가스 전달 시스템들은 에피택셜 퇴적을 위해 이용되지 않는 것들을 포함하여, 다른 프로세스 챔버들에서도 이용될 수 있다.
프로세스 챔버(200)는 챔버 바디(210), 온도 제어된 반응 용적(201), 인젝터(injector)(214), 선택적인 샤워헤드(270), 및 가열식 배기 매니폴드(heated exhaust manifold)(218)를 일반적으로 포함할 수 있다. 기판(225)을 지지하기 위한 기판 지지체(224)는 온도 제어된 반응 용적(201) 내에 배치될 수 있다. 프로세스 챔버(200)는 이하에 더 상세히 논의되는 바와 같이, 지원 시스템(230) 및 제어기(240)를 더 포함할 수 있다.
가스 전달 시스템(100)은 하나 이상의 프로세스 가스를 인젝터(214) 및/또는 샤워헤드(270)(존재하는 경우)를 통해 프로세스 챔버에 제공하기 위해 이용될 수 있다. 일부 실시예들에서, 단일 가스 전달 시스템(100)은 인젝터(214) 및/또는 샤워헤드(270) 둘 다에 연결될 수 있다. 대안적으로, 일부 실시예들에서, 가스 전달 시스템(100)은 도 2에 도시된 바와 같이, 인젝터(214) 및 샤워헤드(270) 각각에 연결될 수 있다.
인젝터(214)는 하나 이상의 프로세스 가스를 예를 들어 위에서 논의된 가스 전달 시스템(100)으로부터 프로세스 챔버(200)에 제공하기 위해, 챔버 바디(210) 내부에 배치된 기판 지지체(224)의 제1 측(221)에 배치될 수 있다. 인젝터(214)는 제1 프로세스 가스를 제공하기 위한 제1 유동 경로, 및 제1 프로세스 가스와는 독립적으로 제2 프로세스 가스를 제공하기 위한 제2 유동 경로를 가질 수 있다.
가열식 배기 매니폴드(218)는 인젝터(214)에 대향하여 기판 지지체(224)의 제2 측(229)에 배치되어, 프로세스 챔버(200)로부터 하나 이상의 프로세스 가스를 배기할 수 있다. 가열식 배기 매니폴드(218)는 기판(225)의 직경과 거의 동일하거나 그보다 큰 폭을 갖는 개구를 포함할 수 있다. 가열식 배기 매니폴드는 접착 감소 라이너(adhesion reducing liner)(도시되지 않음)를 포함할 수 있다. 예를 들어, 접착 감소 라이너는 석영, 니켈 함침형 불소 중합체(nickel impregnated fluoropolymer), 니켈 이산화물, 또는 그와 유사한 것 중 하나 이상을 포함할 수 있다.
챔버 바디(210)는 일반적으로, 상측 부분(202), 하측 부분(204) 및 인클로저(220)를 포함한다. 상측 부분(202)은 하측 부분(204) 상에 배치되고, 챔버 리드(206) 및 상측 챔버 라이너(216)를 포함한다. 일부 실시예들에서, 처리 동안 기판의 처리 표면의 온도에 관한 데이터를 제공하기 위해 상측 고온계(pyrometer)(256)가 제공될 수 있다. 챔버 리드(206) 최상부에 배치된 클램프 링, 및/또는 상측 챔버 라이너가 놓여질 수 있는 베이스플레이트와 같은 추가의 요소들은 도 2에는 생략되어 있을 수 있지만, 프로세스 챔버(200) 내에 선택적으로 포함될 수 있다. 챔버 리드(206)는 (도시된 바와 같이) 평평하거나 돔 형상(도시되지 않음)을 갖는 것과 같은 임의의 적절한 기하형상을 가질 수 있으며, 또는 S자형 곡선 리드(reverse curve lid)와 같은 다른 형상들도 예상된다. 일부 실시예들에서, 챔버 리드(206)는 석영 또는 그와 유사한 것과 같은 재료를 포함할 수 있다. 따라서, 챔버 리드(206)는 기판(225)으로부터 및/또는 기판 지지체(224) 아래에 배치된 램프들로부터 방사되는 에너지를 적어도 부분적으로 반사시킬 수 있다. 샤워헤드(270)가 제공되며 리드(도시되지 않음) 아래에 배치되는 별개의 컴포넌트인 실시예들에서, 샤워헤드(270)는 예를 들어 위에서 논의된 바와 같이 에너지를 적어도 부분적으로 반사시키기 위해, 석영 또는 그와 유사한 것과 같은 재료를 포함할 수 있다.
상측 챔버 라이너(216)는 인젝터(214) 및 가열식 배기 매니폴드(218) 위에, 그리고 챔버 리드(206) 아래에 배치될 수 있다. 일부 실시예들에서, 상측 챔버 라이너(216)는 예를 들어 위에서 논의된 바와 같이 에너지를 적어도 부분적으로 반사시키기 위해, 석영 또는 그와 유사한 것과 같은 재료를 포함할 수 있다. 일부 실시예들에서, 상측 챔버 라이너(216), 챔버 리드(206) 및 하측 챔버 라이너(231)(이하에 논의됨)는 석영일 수 있고, 그에 의해 유리하게도 기판(225)을 둘러싸는 석영 엔벨로프(quartz envelope)를 제공한다.
하측 부분(204)은 일반적으로 베이스플레이트 어셈블리(219), 하측 챔버 라이너(231), 하측 돔(232), 기판 지지체(224), 사전 가열 링(222), 기판 리프트 어셈블리(260), 기판 지지 어셈블리(264), 가열 시스템(251) 및 하측 고온계(258)를 포함한다. 가열 시스템(251)은 기판 지지체(224)에 열 에너지를 제공하기 위해 기판 지지체(224) 아래에 배치될 수 있다. 가열 시스템(251)은 하나 이상의 외측 램프(252) 및 하나 이상의 내측 램프(254)를 포함할 수 있다. 사전 가열 링(222)과 같은 프로세스 챔버의 소정 컴포넌트들을 기술하기 위해 "링"이라는 용어가 이용되지만, 이러한 컴포넌트들의 형상이 원형일 필요는 없으며, 직사각형, 다각형, 타원형 및 그와 유사한 것을 포함하지만 그에 한정되지는 않는 임의의 형상을 포함할 수 있음이 예상된다. 하측 챔버 라이너(231)는 예를 들어 인젝터(214) 및 가열식 배기 매니폴드(218) 아래에, 그리고 베이스플레이트 어셈블리(219) 위에 배치될 수 있다. 인젝터(214) 및 가열식 배기 매니폴드(218)는 일반적으로 상측 부분(202)과 하측 부분(204) 사이에 배치되며, 상측 부분(202)과 하측 부분(204) 중 어느 하나 또는 둘 다에 연결될 수 있다.
일부 실시예들에서, 샤워헤드(270)가 존재하는 경우, 그 샤워헤드는 기판(225)의 처리 표면(223)에 하나 이상의 프로세스 가스를 제공하기 위해, 기판 지지체(224) 위에 (예를 들어, 기판 지지체(224)에 대향하여) 배치될 수 있다. 일부 실시예들에서, 가스 전달 시스템(100)은 하나 이상의 프로세스 가스를 샤워헤드(270)를 통해 프로세스 챔버(200)에 제공하기 위해 샤워헤드(270)에 연결될 수 있다.
샤워헤드(270)는 (도 2에 도시된 것과 같이) 챔버 리드(206)와 통합될 수 있거나, 별도의 컴포넌트일 수 있다. 예를 들어, 유출구(271)는 챔버 리드(206) 내로 천공된 홀일 수 있고, 챔버 리드(206) 내로 천공된 홀을 관통하여 배치된 인서트(insert)들을 선택적으로 포함할 수 있다. 대안적으로, 샤워헤드(270)는 챔버 리드(206) 아래에 배치된 별도의 컴포넌트일 수 있다. 일부 실시예들에서, 샤워헤드(270) 및 챔버 리드(206)는 예를 들어 샤워헤드(270) 또는 챔버 리드(206)가 외측 및 내측 램프들(252, 254)로부터의 또는 기판(225)으로부터의 에너지를 흡수하는 것을 제한하기 위해, 둘 다 석영을 포함할 수 있다.
기판 지지체(224)는 그 위에 기판(225)을 지지하기 위한 플레이트(도 2에 도시됨) 또는 링(도 2에서 점선으로 도시됨)과 같은 임의의 적절한 기판 지지체일 수 있다. 기판 지지 어셈블리(264)는 기판 지지체(224)에 연결된 복수의 지지 핀(266)을 갖는 지지 브라켓(234)을 일반적으로 포함한다. 기판 리프트 어셈블리(260)는 기판 리프트 샤프트(226), 및 기판 리프트 샤프트(226)의 개별 패드들(227) 상에 선택적으로 놓이는 복수의 리프트 핀 모듈(261)을 포함한다. 일 실시예에서, 리프트 핀 모듈(261)은 기판 지지체(224) 내의 제1 개구(262)를 관통하여 이동가능하게 배치된 리프트 핀(228)의 선택적인 상측 부분을 포함한다. 동작 시에, 기판 리프트 샤프트(226)는 리프트 핀들(228)에 체결되도록 이동된다. 체결된 때, 리프트 핀들(228)은 기판(225)을 기판 지지체(224)보다 높게 상승시키거나, 기판(225)을 기판 지지체(224) 상에 하강시킬 수 있다.
기판 지지체(224)는 기판 지지 어셈블리(264)에 연결된 리프트 메커니즘(272) 및 회전 메커니즘(274)을 더 포함할 수 있다. 리프트 메커니즘(272)은 기판 지지체(224)를 기판(225)의 처리 표면(223)에 수직한 방향으로 이동시키기 위해 이용될 수 있다. 예를 들어, 리프트 메커니즘(272)은 샤워헤드(270) 및 인젝터(214)에 대하여 기판 지지체(224)를 위치시키기 위해 이용될 수 있다. 회전 메커니즘(274)은 중심 축에 관하여 기판 지지체(224)를 회전시키기 위해 이용될 수 있다. 동작 시에, 리프트 메커니즘은 인젝터(214) 및/또는 샤워헤드(270)에 의해 생성되는 유동장에 대한 기판(225)의 위치의 동적 제어를 용이하게 할 수 있다. 유동장에 대한 기판(225)의 처리 표면(223)의 노출을 최적화하여 퇴적 균일성 및/또는 조성을 최적화하고 처리 표면(223) 상의 잔류물 형성을 최소화하기 위해, 기판(225) 위치의 동적 제어와 회전 메커니즘(274)에 의한 기판(225)의 연속적 회전이 함께 이용될 수 있다.
처리 동안, 기판(225)은 기판 지지체(224) 상에 배치된다. 외측 및 내측 램프들(252, 254)은 적외선(IR) 복사(즉, 가열)의 소스이고, 동작 시에 기판(225)에 걸쳐 미리 결정된 온도 분포를 생성한다. 챔버 리드(206), 상측 챔버 라이너(216) 및 하측 돔(232)은 위에서 논의된 바와 같이 석영으로 형성될 수 있지만, 다른 IR 투과 및 프로세스 호환가능 재료들도 이러한 컴포넌트들을 형성하기 위해 이용될 수 있다. 외측 및 내측 램프(252, 254)는 기판 지지체(224)의 후면측에 열 균일성을 제공하기 위한 멀티-존 램프 가열 장치의 일부일 수 있다. 예를 들어, 가열 시스템(251)은 복수의 가열 구역을 포함할 수 있는데, 각각의 가열 구역은 복수의 램프를 포함한다. 예를 들어, 하나 이상의 외측 램프(252)는 제1 가열 구역일 수 있고, 하나 이상의 내측 램프(254)는 제2 가열 구역일 수 있다. 외측 및 내측 램프(252, 254)는 섭씨 약 200도 내지 약 900도의 넓은 열 범위를 제공할 수 있다. 외측 및 내측 램프(252, 254)는 초당 섭씨 약 5도 내지 약 20도의 고속 응답 제어를 제공할 수 있다. 예를 들어, 외측 및 내측 램프(252, 254)의 열 범위 및 고속 응답 제어는 기판(225) 상의 퇴적 균일성을 제공할 수 있다. 또한, 하측 돔(232)은 기판 지지체(224)의 후면측의, 및/또는 기판(225)의 처리 표면(223) 상의 열 균일성의 제어를 더 돕기 위해, 예를 들어 능동 냉각, 윈도우 설계 또는 그와 유사한 것에 의해 온도 제어될 수 있다.
온도 제어된 반응 용적(201)은 복수의 챔버 컴포넌트에 의해 챔버 리드(206)에 의해 형성될 수 있다. 예를 들어, 그러한 챔버 컴포넌트들은 챔버 리드(206), 상측 챔버 라이너(216), 하측 챔버 라이너(231) 및 기판 지지체(224) 중 하나 이상을 포함할 수 있다. 온도 제어된 반응 용적(201)은 온도 제어된 반응 용적(201)을 형성하는 챔버 컴포넌트들 중 임의의 하나 이상의 컴포넌트의 표면과 같이, 석영을 포함하는 내부 표면들을 포함할 수 있다. 온도 제어된 반응 용적(201)은 약 20 내지 약 40 리터일 수 있다. 온도 제어된 반응 용적(201)은 예를 들어 200mm, 300mm 또는 그와 유사한 것과 같은 임의의 적절한 크기의 기판을 수용할 수 있다. 예를 들어, 일부 실시예들에서, 기판(225)이 약 300 mm인 경우, 예를 들어 상측 및 하측 챔버 라이너(216, 231)의 내부 표면들은 기판(225)의 에지로부터 약 50 mm까지 떨어져 있을 수 있다. 예를 들어, 일부 실시예들에서, 상측 및 하측 챔버 라이너(216, 231)와 같은 내부 표면들은 기판(225)의 에지로부터 기판(225)의 직경의 약 18%까지의 거리에 떨어져 있을 수 있다. 예를 들어, 일부 실시예들에서, 기판(225)의 처리 표면(223)은 챔버 리드(206)로부터 약 100 밀리미터까지일 수 있거나, 약 0.8 내지 약 1 인치의 범위일 수 있다.
온도 제어된 반응 용적(201)은 다양한 용적을 가질 수 있는데, 예를 들어 온도 제어된 반응 용적(201)의 크기는 리프트 메커니즘(272)이 기판 지지체(224)를 챔버 리드(206)에 가깝게 상승시킬 때 수축할 수 있고, 리프트 메커니즘(272)이 기판 지지체(224)를 챔버 리드(206)로부터 멀어지게 하강시킬 때 확장할 수 있다. 온도 제어된 반응 용적(201)은 하나 이상의 능동 또는 수동 냉각 컴포넌트에 의해 냉각될 수 있다. 예를 들어, 온도 제어된 반응 용적(201)은 예를 들어 스테인레스 스틸 또는 그와 유사한 것일 수 있는 프로세스 챔버(200)의 벽들에 의해 수동적으로 냉각될 수 있다. 예를 들어 수동 냉각과는 별도로, 또는 그와 함께, 온도 제어된 반응 용적(201)은 예를 들어 프로세스 챔버(200) 부근에 냉각제를 유동시킴으로써 능동적으로 냉각될 수 있다. 예를 들어, 냉각제는 기체일 수 있다.
지원 시스템들(230)은 프로세스 챔버(200) 내에서 미리 결정된 프로세스들(예를 들어, 에피택셜 실리콘 필름들의 성장)을 실행하고 모니터링하기 위해 이용되는 컴포넌트들을 포함한다. 그러한 컴포넌트들은 프로세스 챔버(200)의 다양한 서브시스템들(예를 들어, 가스 패널(들), 가스 분배 도관, 진공 및 배기 서브시스템, 및 그와 유사한 것) 및 장치들(예를 들어, 전원, 프로세스 제어 기기 및 그와 유사한 것)을 일반적으로 포함한다.
제어기(240)는 프로세스 챔버(200) 및 지원 시스템(230)에 직접(도 2에 도시된 바와 같이), 또는 대안적으로는 프로세스 챔버 및/또는 지원 시스템에 연관된 컴퓨터들(또는 제어기들)을 경유하여 연결될 수 있다. 제어기(240)는 다양한 챔버 및 서브-프로세서를 제어하기 위해 산업용 세팅에서 이용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. CPU(242)의 메모리 또는 컴퓨터 판독가능한 매체(244)는 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 임의의 다른 형태의 로컬 또는 원격 디지털 저장소와 같은 쉽게 이용가능한 메모리 중 하나 이상일 수 있다. 지원 회로(246)는 종래의 방식으로 프로세서를 지원하기 위해 CPU(242)에 연결된다. 이러한 회로들은 캐시, 전력 공급부, 클럭 회로, 입력/출력 회로망, 서브시스템 및 그와 유사한 것을 포함한다.
이와 같이, 가스 전달 시스템 및 그것을 이용하는 방법이 여기에 제공되었다. 일부 실시예들에서, 본 발명의 가스 전달 시스템은 유리하게도 고유동 캐리어 가스 공급부들의 업스트림에 유동 분할기를 제공할 수 있고, 그에 의해 낮은 유량에서의 프로세스 가스들의 분할을 허용할 수 있으며, 그에 따라 고가의 고유동 유동 비율 제어기를 불필요하게 한다. 일부 실시예들에서, 본 발명의 가스 전달 장치는 유리하게도 대칭적인 배열로 배치된 둘 이상의 가스 전달 구역에 프로세스 가스들을 제공할 수 있고, 그에 의해 가스 전달 구역들에 걸쳐 실질적으로 균일한 유동장을 제공하고, 그에 의해 프로세스 챔버에 걸친 결합된 가스들의 균일한 전달을 용이하게 한다. 일부 실시예들에서, 본 발명의 가스 전달 장치는 유리하게도 복수의 유동 경로 각각에 캐리어 가스를 따로따로 제공할 수 있고, 그에 의해 캐리어 가스의 유량이 다른 유동 경로들에 대하여 독립적으로 조절되는 것을 허용한다. 더욱이, 본 발명의 가스 전달 장치는 유리하게도 복수의 유동 경로 각각에 캐리어 가스를 따로따로 제공함으로써, 각각의 유동 경로 내의 프로세스 가스 및 캐리어 가스 혼합물의 전체적인 유량이 캐리어 가스 내의 프로세스 가스의 농도와는 독립적으로 조절되는 것을 더 허용할 수 있으며, 그에 의해 프로세스 챔버 내의 유동장을 캐리어 가스 내의 프로세스 가스의 농도와는 독립적으로 조절하는 것을 허용한다.
상술한 것은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 실시예들 및 추가의 실시예들은 그것의 기본 범위로부터 벗어나지 않고서 안출될 수 있다.

Claims (15)

  1. 가스 전달 시스템으로서,
    제1 유동 경로를 따라 복수의 제1 가스를 제공하는 복수의 제1 가스 공급부;
    상기 제1 유동 경로 내에 배치되어 상기 제1 유동 경로를 복수의 대응 가스 전달 구역으로 이어지는 복수의 제2 유동 경로로 분할하는 유동 분할기(flow divider);
    상기 제2 유동 경로들 중 대응하는 것에 각자 연결되어 제2 가스를 상기 복수의 제2 유동 경로 중의 각자의 제2 유동 경로에 독립적으로 제공하는 복수의 제2 가스 공급부;
    상기 복수의 제1 가스 공급부의 업스트림에서 상기 제1 유동 경로에 연결되어, 상기 제1 유동 경로에 제3 가스를 공급하고 상기 제1 유동 경로를 통한 상기 복수의 제1 가스의 이동을 용이하게 하는 제3 가스 공급부;
    상기 복수의 제1 가스 공급부에 대응하는 복수의 대량 유동 제어기 - 상기 복수의 대량 유동 제어기 각각은 상기 복수의 제1 가스 공급부 중 대응하는 제1 가스 공급부와 상기 유동 분할기 사이에 배치되어 상기 제1 유동 경로 내의 상기 복수의 제1 가스의 상대적 농도를 제어함 -; 및
    상기 복수의 제2 유동 경로 각각에 연결되어 상기 복수의 제2 유동 경로 각각에 제공되는 상기 제2 가스 및 상기 복수의 제1 가스의 양을 제어하는 유동 비율 제어기(flow ratio controller)
    를 포함하는 가스 전달 시스템.
  2. 제1항에 있어서, 상기 복수의 제1 가스는 프로세스 가스이고, 상기 제2 가스는 캐리어 가스인, 가스 전달 시스템.
  3. 삭제
  4. 제1항 또는 제2항에 있어서, 상기 복수의 제2 유동 경로는 상기 복수의 가스 전달 구역에 연결되어 상기 복수의 제1 가스 및 상기 제2 가스를 상기 복수의 가스 전달 구역에 제공하는, 가스 전달 시스템.
  5. 제4항에 있어서, 상기 복수의 제2 유동 경로 각각은 상기 복수의 제1 가스 및 상기 제2 가스를 복수의 유입구(inlet)를 통해 상기 복수의 가스 전달 구역에 제공하는, 가스 전달 시스템.
  6. 제5항에 있어서, 상기 복수의 유입구는 가스 주입 노즐들(gas injections nozzles) 또는 샤워헤드(showerhead)에 연결되는, 가스 전달 시스템.
  7. 제4항에 있어서, 상기 복수의 가스 전달 구역은 프로세스 챔버의 가스 전달 구역들인, 가스 전달 시스템.
  8. 제7항에 있어서, 상기 복수의 가스 전달 구역은 내측 가스 전달 구역 및 2개의 외측 가스 전달 구역을 포함하고, 상기 2개의 외측 가스 전달 구역 각각은 상기 내측 가스 전달 구역의 반대되는 측들 부근에, 그리고 상기 내측 가스 전달 구역에 인접하게 배치되는, 가스 전달 시스템.
  9. 제8항에 있어서, 상기 복수의 제2 유동 경로는 2개의 제2 유동 경로를 포함하고, 상기 2개의 제2 유동 경로 중 하나는 상기 내측 가스 전달 구역에 연결되고, 상기 2개의 제2 유동 경로 중 다른 하나는 상기 2개의 외측 가스 전달 구역에 연결되는, 가스 전달 시스템.
  10. 기판 처리 시스템으로서,
    챔버 바디를 갖는 프로세스 챔버 - 상기 챔버 바디는 상기 챔버 바디의 내부 용적 내에 배치된 기판을 지지하기 위한 기판 지지체를 갖고, 상기 내부 용적은 복수의 가스 전달 구역을 가짐 -;
    상기 내부 용적에 복수의 제1 가스를 제공하기 위한 복수의 제1 가스 공급부;
    상기 복수의 제1 가스 공급부와 상기 챔버 바디 사이에 배치되어 상기 복수의 제1 가스 공급부로부터의 상기 복수의 제1 가스의 유동을 상기 복수의 가스 전달 구역 중의 각자의 가스 전달 구역에 유동적으로 연결된(fluidly coupled) 복수의 유동 경로로 분할하는 유동 분할기; 및
    복수의 제2 가스 공급부 - 상기 복수의 제2 가스 공급부 각각은 상기 복수의 유동 경로 중 대응하는 유동 경로들에 각자 연결되어 제2 가스를 상기 복수의 유동 경로에 독립적으로 제공함 -;
    상기 복수의 제1 가스 공급부에 대응하는 복수의 대량 유동 제어기 - 상기 복수의 대량 유동 제어기 각각은 상기 복수의 제1 가스 공급부 중 대응하는 제1 가스 공급부와 상기 유동 분할기 사이에 배치되어 상기 복수의 제1 가스의 상대적 농도를 제어함 -;
    상기 기판 지지체의 제1 면 상에 배치되고 상기 복수의 제1 가스 및 제2 가스를 유동시키기 위해 상기 기판 지지체의 지지 표면에 평행하게 배치되는 인젝터; 및
    상기 복수의 유동 경로 각각에 연결되어 상기 복수의 유동 경로 각각에 제공되는 상기 복수의 제1 가스의 양을 제어하는 유동 비율 제어기
    를 포함하는 기판 처리 시스템.
  11. 제10항에 있어서, 상기 복수의 제1 가스는 프로세스 가스이고, 상기 제2 가스는 캐리어 가스인, 기판 처리 시스템.
  12. 삭제
  13. 제10항 또는 제11항에 있어서, 상기 복수의 유동 경로 각각은 상기 복수의 제1 가스 및 상기 제2 가스를 복수의 유입구를 통해 상기 복수의 가스 전달 구역에 제공하는, 기판 처리 시스템.
  14. 제13항에 있어서, 상기 복수의 유입구는 상기 프로세스 챔버의 내부 용적 내에 배치된 가스 주입 노즐들 또는 샤워헤드에 연결되는, 기판 처리 시스템.
  15. 삭제
KR1020147030562A 2012-03-30 2013-03-18 가스 전달 시스템 및 그것을 이용하는 방법 KR102068102B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261617826P 2012-03-30 2012-03-30
US61/617,826 2012-03-30
US13/789,819 2013-03-08
US13/789,819 US20130255784A1 (en) 2012-03-30 2013-03-08 Gas delivery systems and methods of use thereof
PCT/US2013/032789 WO2013148395A1 (en) 2012-03-30 2013-03-18 Gas delivery systems and methods of use thereof

Publications (2)

Publication Number Publication Date
KR20140140114A KR20140140114A (ko) 2014-12-08
KR102068102B1 true KR102068102B1 (ko) 2020-01-20

Family

ID=49233259

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147030562A KR102068102B1 (ko) 2012-03-30 2013-03-18 가스 전달 시스템 및 그것을 이용하는 방법

Country Status (5)

Country Link
US (1) US20130255784A1 (ko)
KR (1) KR102068102B1 (ko)
CN (1) CN104205290B (ko)
TW (1) TWI582263B (ko)
WO (1) WO2013148395A1 (ko)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6026333B2 (ja) * 2013-03-25 2016-11-16 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US20150184292A1 (en) * 2013-12-30 2015-07-02 Lam Research Corporation Systems and methods for preventing mixing of two gas streams in a processing chamber
JP2016081945A (ja) * 2014-10-09 2016-05-16 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438860B2 (en) * 2016-04-22 2019-10-08 Applied Materials, Inc. Dynamic wafer leveling/tilting/swiveling steps for use during a chemical vapor deposition process
US10260149B2 (en) * 2016-04-28 2019-04-16 Applied Materials, Inc. Side inject nozzle design for processing chamber
US10691145B2 (en) * 2016-10-03 2020-06-23 Applied Materials, Inc. Multi-channel flow ratio controller and processing chamber
WO2018235202A1 (ja) * 2017-06-21 2018-12-27 三菱電機株式会社 太陽電池セルおよび太陽電池モジュール
JP2022519622A (ja) * 2019-02-05 2022-03-24 アプライド マテリアルズ インコーポレイテッド マルチチャネルスプリッタスプール
KR20210004024A (ko) * 2019-07-03 2021-01-13 주성엔지니어링(주) 기판처리장치용 가스공급장치
CN111455458B (zh) * 2019-09-18 2021-11-16 北京北方华创微电子装备有限公司 外延装置及应用于外延装置的进气结构
KR20220037350A (ko) * 2020-09-17 2022-03-24 도쿄엘렉트론가부시키가이샤 가스 도입 구조 및 처리 장치
US20220285133A1 (en) * 2021-03-02 2022-09-08 Applied Materials, Inc. Methods and apparatus for processing a substrate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070056929A1 (en) * 2005-09-15 2007-03-15 Go Miya Plasma etching apparatus and plasma etching method
US20110120563A1 (en) * 2006-02-06 2011-05-26 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4369031A (en) * 1981-09-15 1983-01-18 Thermco Products Corporation Gas control system for chemical vapor deposition system
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
JPH06295862A (ja) * 1992-11-20 1994-10-21 Mitsubishi Electric Corp 化合物半導体製造装置及び有機金属材料容器
US5916369A (en) * 1995-06-07 1999-06-29 Applied Materials, Inc. Gas inlets for wafer processing chamber
EP0753082B1 (de) * 1994-03-29 1999-07-07 Schott Glas Pcvd-verfahren und vorrichtung zur beschichtung von gewölbten substraten
TW576873B (en) * 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6418954B1 (en) * 2001-04-17 2002-07-16 Mks Instruments, Inc. System and method for dividing flow
JP2002339071A (ja) * 2001-05-18 2002-11-27 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Alcvdシステムにおける処理ガス供給機構
KR20040019293A (ko) * 2001-05-24 2004-03-05 셀레리티 그룹 아이엔씨 소정 비율의 프로세스 유체를 제공하는 방법 및 장치
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
US6766260B2 (en) * 2002-01-04 2004-07-20 Mks Instruments, Inc. Mass flow ratio system and method
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
KR101185298B1 (ko) * 2003-08-20 2012-09-21 비코 인스트루먼츠 인코포레이티드 수직 유동 회전 디스크 반응기용 알킬 압출 유동
WO2005054537A2 (en) * 2003-12-01 2005-06-16 Structured Materials Industries, Inc. System and method for forming multi-component films
JP4326461B2 (ja) * 2004-11-15 2009-09-09 Smc株式会社 小流量液体の温調システム
JP4934595B2 (ja) * 2005-01-18 2012-05-16 エーエスエム アメリカ インコーポレイテッド 薄膜成長用反応装置
JP2006210727A (ja) * 2005-01-28 2006-08-10 Hitachi High-Technologies Corp プラズマエッチング装置およびプラズマエッチング方法
US7673645B2 (en) * 2005-04-21 2010-03-09 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using a multiple antisymmetric optimal control arrangement
WO2006127889A2 (en) * 2005-05-25 2006-11-30 Velocys Inc. Support for use in microchannel processing
US20070254100A1 (en) * 2006-04-26 2007-11-01 Applied Materials, Inc. MOCVD reactor without metalorganic-source temperature control
US20070254093A1 (en) * 2006-04-26 2007-11-01 Applied Materials, Inc. MOCVD reactor with concentration-monitor feedback
KR101501426B1 (ko) * 2006-06-02 2015-03-11 어플라이드 머티어리얼스, 인코포레이티드 차압 측정들에 의한 가스 유동 제어
US20080078746A1 (en) * 2006-08-15 2008-04-03 Noriiki Masuda Substrate processing system, gas supply unit, method of substrate processing, computer program, and storage medium
US9416464B1 (en) * 2006-10-11 2016-08-16 Ostendo Technologies, Inc. Apparatus and methods for controlling gas flows in a HVPE reactor
JP4642115B2 (ja) * 2006-12-12 2011-03-02 株式会社堀場エステック 流量比率制御装置
US7706925B2 (en) * 2007-01-10 2010-04-27 Mks Instruments, Inc. Integrated pressure and flow ratio control system
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
JP5034594B2 (ja) * 2007-03-27 2012-09-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8092599B2 (en) * 2007-07-10 2012-01-10 Veeco Instruments Inc. Movable injectors in rotating disc gas reactors
US8202393B2 (en) * 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
JP5028193B2 (ja) * 2007-09-05 2012-09-19 株式会社日立ハイテクノロジーズ 半導体製造装置における被処理体の搬送方法
WO2009084422A1 (ja) * 2007-12-27 2009-07-09 Horiba Stec, Co., Ltd. 流量比率制御装置
US20110020187A1 (en) * 2008-03-06 2011-01-27 Toyo Tanso Co., Ltd. Surface treatment apparatus
WO2009117565A2 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus of a substrate etching system and process
US8571817B2 (en) * 2008-09-10 2013-10-29 Palo Alto Research Center Incorporated Integrated vapor delivery systems for chemical vapor deposition precursors
KR101519024B1 (ko) * 2009-01-15 2015-05-12 삼성전자 주식회사 플라즈마 식각 장치의 가스공급장치
JP5610438B2 (ja) * 2010-01-29 2014-10-22 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US8409352B2 (en) * 2010-03-01 2013-04-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
JP5722595B2 (ja) * 2010-11-11 2015-05-20 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US9303319B2 (en) * 2010-12-17 2016-04-05 Veeco Instruments Inc. Gas injection system for chemical vapor deposition using sequenced valves

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070056929A1 (en) * 2005-09-15 2007-03-15 Go Miya Plasma etching apparatus and plasma etching method
US20110120563A1 (en) * 2006-02-06 2011-05-26 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method

Also Published As

Publication number Publication date
TWI582263B (zh) 2017-05-11
KR20140140114A (ko) 2014-12-08
WO2013148395A1 (en) 2013-10-03
CN104205290A (zh) 2014-12-10
US20130255784A1 (en) 2013-10-03
CN104205290B (zh) 2018-01-16
TW201348505A (zh) 2013-12-01

Similar Documents

Publication Publication Date Title
KR102068102B1 (ko) 가스 전달 시스템 및 그것을 이용하는 방법
CN104756231B (zh) 具有可定制的流动注入的外延腔室
US11075127B2 (en) Suppressing interfacial reactions by varying the wafer temperature throughout deposition
JP6355772B2 (ja) 基板上に材料を堆積するための装置
US9512520B2 (en) Semiconductor substrate processing system
US9499905B2 (en) Methods and apparatus for the deposition of materials on a substrate
US20150122357A1 (en) Gas dispersion apparatus
US20190177851A1 (en) System and method for gas phase deposition
TWI674331B (zh) 用於epi腔室的注射插件
JP2641351B2 (ja) 可変分配率ガス流反応室
KR20070089817A (ko) 기판 표면 처리 장치
US20160010206A1 (en) H2/o2 side inject to improve process uniformity for low temperature oxidation process

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant