KR101865752B1 - 광 검출기의 형성 방법 - Google Patents

광 검출기의 형성 방법 Download PDF

Info

Publication number
KR101865752B1
KR101865752B1 KR1020110130309A KR20110130309A KR101865752B1 KR 101865752 B1 KR101865752 B1 KR 101865752B1 KR 1020110130309 A KR1020110130309 A KR 1020110130309A KR 20110130309 A KR20110130309 A KR 20110130309A KR 101865752 B1 KR101865752 B1 KR 101865752B1
Authority
KR
South Korea
Prior art keywords
single crystal
film
forming
semiconductor layer
trench
Prior art date
Application number
KR1020110130309A
Other languages
English (en)
Other versions
KR20130063767A (ko
Inventor
김상훈
김경옥
김인규
주지호
장기석
Original Assignee
한국전자통신연구원
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 한국전자통신연구원 filed Critical 한국전자통신연구원
Priority to KR1020110130309A priority Critical patent/KR101865752B1/ko
Priority to US13/612,736 priority patent/US8859319B2/en
Publication of KR20130063767A publication Critical patent/KR20130063767A/ko
Application granted granted Critical
Publication of KR101865752B1 publication Critical patent/KR101865752B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12004Combinations of two or more optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • G02B6/131Integrated optical circuits characterised by the manufacturing method by using epitaxial growth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0232Optical elements or arrangements associated with the device
    • H01L31/02327Optical elements or arrangements associated with the device the optical elements being integrated or being directly associated to the device, e.g. back reflectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by potential barriers, e.g. phototransistors
    • H01L31/101Devices sensitive to infrared, visible or ultraviolet radiation
    • H01L31/102Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier
    • H01L31/103Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier the potential barrier being of the PN homojunction type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by potential barriers, e.g. phototransistors
    • H01L31/101Devices sensitive to infrared, visible or ultraviolet radiation
    • H01L31/102Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier
    • H01L31/103Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier the potential barrier being of the PN homojunction type
    • H01L31/1037Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier the potential barrier being of the PN homojunction type the devices comprising active layers formed only by AIVBVI compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by potential barriers, e.g. phototransistors
    • H01L31/101Devices sensitive to infrared, visible or ultraviolet radiation
    • H01L31/102Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier
    • H01L31/109Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier the potential barrier being of the PN heterojunction type
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Optics & Photonics (AREA)
  • Manufacturing & Machinery (AREA)
  • Light Receiving Elements (AREA)

Abstract

광 검출기의 형성 방법이 제공된다. 본 발명에 따른 광 검출기의 형성 방법은 반도체층이 제공된 기판을 준비하는 것, 상기 반도체층내에 트렌치를 형성하는 것, 상기 트렌치내에 선택적 단결정 성장 공정에 의해서 제1 단결정막 및 제2 단결정막을 형성하는 것 및 상기 제1 단결정막, 상기 제2 단결정막 및 상기 반도체층을 패터닝하는 것에 의해서 제1 단결정 패턴, 제2 단결정 패턴 및 광도파로를 형성하는 것을 포함할 수 있다.

Description

광 검출기의 형성 방법{METHODS FOR FORMING PHOTODETECTORS}
본 발명은 광 검출기의 형성 방법에 관한 것으로, 더욱 상세하게는 선택적 에피택셜 공정을 이용하는 광 검출기의 형성 방법에 관한 것이다.
최근 광을 사용하는 여러 기술 분야들의 발전이 두드러지고 있으며, 특히 광을 정보의 매체로 사용하는 광통신 분야, 사물로부터 반사되는 광을 받아들여 전기적 신호로 변환시키는 이미지 센서 등에 대한 기술이 지속적으로 발전하고 있다. 빛을 매개로 하는 신호를 전기적 신호로 변환하는 광 검출기나 이미지 센서 등은 대개 반도체로 제작되며, 용도에 따라 다른 종류의 반도체 물질이 사용된다. 반도체로 제작된 광 검출기나 이미지 센서의 흡수층은 빛을 받아 전자(electron)와 정공(hole)을 발생시키며, 발생된 전자와 전공이 각각의 전극으로 이동하여 전기적 신호가 만들어진다.
일반적으로 실리콘 상보성 금속 산화막 반도체(complementary metal oxide semiconductor; CMOS) 소자와의 집적(integration)이 가능한 고속, 고효율의 광 검출기로서, 빠른 반응성 및 속도를 갖는 InGaAs계 PIN 광 검출기가 이용되고 있으나, InGaAs계 PIN 광 검출기는 제조 비용이 과다한 문제 점을 나타내고 있다.
이러한 문제점을 해결할 수 있고, 신뢰성이 및 전기적 특성이 개선된 광 검출기를 형성하는 방법에 대한 다양한 연구들이 수행되고 있다.
본 발명이 해결하고자 하는 일 기술적 과제는 제조 공정이 단순화된 광검출기의 형성 방법을 제공하는데 있다.
상술된 기술적 과제들을 해결하기 위한 광 검출기의 형성 방법이 제공된다. 본 발명의 일 실시 예에 따른 광 검출기의 형성 방법은 반도체층이 제공된 기판을 준비하는 것, 상기 반도체층내에 트렌치를 형성하는 것, 상기 트렌치내에 선택적 단결정 성장 공정에 의해서 제1 단결정막 및 제2 단결정막을 형성하는 것 및 상기 제1 단결정막, 상기 제2 단결정막 및 상기 반도체층을 패터닝하는 것에 의해서 제1 단결정 패턴, 제2 단결정 패턴 및 광도파로를 형성하는 것을 포함할 수 있다.
일 실시 예에 따르면, 상기 트렌치의 바닥면은 상기 반도체층의 일부분에 의해서 정의되고, 상기 반도체층의 일부분내에 제1 도전형의 도펀트를 주입하여 도핑 영역을 형성하는 것을 더 포함할 수 있다.
일 실시 예에 다르면, 상기 반도체층 및 상기 기판 사이에 매몰 절연막이 개재될 수 있다.
일 실시 예에 따르면, 상기 도핑 영역은 상기 매몰 절연막과 접촉할 수 있다.
본 발명의 일 실시 예에 따른 광 검출기의 형성 방법은 상기 기판상에 층간 유전막을 형성하는 것 및 상기 층간 유전막내에 상기 층간 유전막을 관통하는 제1 금속 패드 및 제2 금속 패드를 형성하는 것을 더 포함하되, 상기 제1 금속 패드는 상기 도핑 영역에 접촉하고, 상기 제2 금속 패드는 상기 제2 단결정 패턴에 접촉할 수 있다.
일 실시 예에 따르면, 상기 제2 단결정 패턴은 제2 도전형의 도펀트를 포함하고, 상기 제2 도전형의 도펀트는 상기 제1 도전형의 도펀트와 서로 다른 도전형일 수 있다.
일 실시 예에 따르면, 상기 제1 단결정 패턴, 상기 제2 단결정 패턴 및 상기 광도파로를 형성하는 는 것은 상기 제1 단결정막, 상기 제2 단결정막 및 상기 반도체층의 일부분을 식각하여 상기 도핑 영역의 적어도 일부분을 노출시키는 것을 포함할 수 있다.일 실시 예에 따르면, 상기 광도파로는 상기 식각된 반도체층으로부터 돌출된 형태일 수 있다.
일 실시 예에 따르면, 상기 광도파로는 일 방향으로 연장되는 라인형태이고, 상기 광도파로의 일단은 상기 제1 단결정 패턴과 접촉할 수 있다.
일 실시 예에 따르면, 상기 제1 단결정 패턴 및 상기 제2 단결정 패턴을 형성하는 것과 상기 광도파로를 형성하는 것은 각각 서로 다른 패터닝 공정에 의해서 수행될 수 있다.
일 실시 예에 따르면, 상기 제1 단결정막을 형성하는 것은 상기 트렌치의 측면들 및 바닥면으로부터 단결정 성장이 이루어지는 것을 포함할 수 있다.
일 실시 예에 따르면, 상기 선택적 단결정 성장 공정은 감압 화학 기상 증착 공정 또는 초고진공 화학 기상 증착 공정을 수행하는 것을 포함할 수 있다.
일 실시 예에 따르면, 상기 제1 단결정막을 형성하는 것은 저메인 및 수소를 포함하는 제1 반응 가스를 사용하여 550 내지 650℃의 증착 온도에서 수행되는 상기 감압 화학 기상 증착 공정 또는 상기 초고진공 화학 기상 증착 공정을 수행하는 것을 포함할 수 있다. 상기 제1 반응 가스의 유량은 10 내지 50sccm일 수 있다.
일 실시 예에 따르면, 상기 제2 단결정막을 형성하는 것은 반도체 원소를 포함하는 원료 가스, 운반 가스 및 식각 가스를 포함하는 제2 반응 가스를 사용하여 600 내지 800℃의 증착 온도에서 수행되는 감압 화학 기상 증착 공정 또는 초고진공 화학 기상 증착 공정을 수행하는 것을 것을 포함할 수 있다.
일 실시 예에 따르면, 상기 원료 가스는 다이클로로실란(SiH2Cl2), 사염화 규소(SiCl4), 실란(SiH4) 및 저메인(GeH4) 중에서 적어도 하나를 포함하고, 운반 가스는 수소를 포함하고, 식각 가스는 염화 수소를 포함할 수 있다.
일 실시 예에 따르면, 상기 염화수소의 유량은 10 내지 200sccm일 수 있다.
일 실시 예에 따르면, 상기 제2 반응 가스는 도펀트 가스를 더 포함하고, 상기 도펀트 가스는 다이보레인(Diborane) 또는 포스핀(phosphine)을 포함할 수 있다.
일 실시 예에 따르면, 상기 제1 단결정막 및 상기 제2 단결정막을 형성하기 위한 선택적 단결정 성장 공정은 하나의 공정 챔버내에서 수행될 수 있다.
일 실시 예에 따르면, 상기 트렌치의 깊이는 상기 트렌치의 일 방향의 폭과 동일하거나 상기 트렌치의 일 방향의 폭의 1.5배보다 클 수 있다.
일 실시 예에 따르면, 상기 제2 단결정막의 두께는 50 내지 300㎚으로 형성될 수 있다.
본 발명의 실시 예들에 따르면, 광 도파로, 제1 단결정 패턴 및 제2 단결정 패턴이 별도의 공정들을 수행하지 않고 동시에 형성될 수 있다. 따라서, 광도파로를 형성하기 위한 추가적이 공정들을 생략할 수 있으므로, 광검출기의 제조 공정을 단순화할 수 있다. 또한, 제조 공정이 단순화되는 것에 의해서 광검출기의 제조 비용을 줄 일 수 있다.
도1a 내지 도6a는 본 발명의 일 실시 예에 따른 광 검출기의 형성 방법을 설명하기 위한 사시도들이다.
도1b 내지 도6b는 본 발명의 일 실시 예에 따른 광 검출기의 형성 방법을 설명하기 위해 도1a 내지 도6a의 Ⅰ-Ⅰ'를 따라 취해진 단면도들이다.
도4c는 본 발명의 일 실시 예에 따른 광 검출기의 형성 방법을 설명하기 위해 도4a의 Ⅱ-Ⅱ'를 따라 취해진 단면도이다.
이상의 본 발명의 목적들, 다른 목적들, 특징들 및 이점들은 첨부된 도면과 관련된 이하의 바람직한 실시 예들을 통해서 쉽게 이해될 것이다. 그러나, 본 발명은 여기서 설명되는 실시 예들에 한정되지 않고 다른 형태로 구체화될 수도 있다. 오히려, 여기서 소개되는 실시 예는 개시된 내용이 철저하고 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되는 것이다.
본 명세서에서 사용된 용어는 실시 예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 '포함한다(comprises)' 및/또는 '포함하는(comprising)'은 언급된 구성요소, 단계, 동작 및/또는 소자는 하나 이상의 다른 구성요소, 단계, 동작 및/또는 소자의 존재 또는 추가를 배제하지 않는다. 본 명세서에서 어떤 막(또는 층)이 다른 막(또는 층) 또는 기판상에 있다고 언급되는 경우에 그것은 다른 막(또는 층) 또는 기판상에 직접 형성될 수 있거나 또는 그들 사이에 제 3의 막(또는 층)이 개재될 수도 있다.
본 명세서에서 기술하는 실시 예들은 본 발명의 이상적인 예시도인 단면도 및/또는 평면도들을 참고하여 설명될 것이다. 도면들에 있어서, 구성들의 크기 및 두께 등은 명확성을 위하여 과장된 것이다. 따라서, 제조 기술 및/또는 허용 오차 등에 의해 예시도의 형태가 변형될 수 있다. 본 발명의 실시 예들은 도시된 특정 형태로 제한되는 것이 아니라 제조 공정에 따라 생성되는 형태의 변화도 포함하는 것이다. 예를 들면, 직각으로 도시된 식각 영역은 라운드 지거나 소정 곡률을 가지는 형태일 수 있다. 따라서, 도면에서 예시된 영역들은 개략적인 속성을 가지며, 도면에서 예시된 영역들의 모양은 소자의 영역의 특정 형태를 예시하기 위한 것이며 발명의 범주를 제한하기 위한 것이 아니다.
본 명세서의 다양한 실시 예들에서 제1, 제2, 제3 등의 용어가 다양한 영역, 막들(또는 층들) 등을 기술하기 위해서 사용되었지만, 이들 영역, 막들이 이 같은 용어들에 의해서 한정되어서는 안 된다. 이들 용어들은 단지 어느 소정 영역 또는 막(또는 층)을 다른 영역 또는 막(또는 층)과 구별시키기 위해서 사용되었을 뿐이다. 따라서, 어느 한 실시 예에의 제1막질로 언급된 막질이 다른 실시 예에서는 제2막질로 언급될 수도 있다. 여기에 설명되고 예시되는 각 실시 예는 그것의 상보적인 실시 예도 포함한다. 명세서 전체에 걸쳐서 동일한 참조번호로 표시된 부분들은 동일한 구성요소들을 나타낸다.
이하, 도면들을 참조하여 광 검출기의 형성 방법에 대해서 설명한다. 도1a 내지 도6a는 본 발명의 일 실시 예에 따른 광 검출기의 형성 방법을 설명하기 위한 사시도들이고, 도1b 내지 도6b는 도1a 내지 도6a의 I-I'를 따라 취해진 단면도들이며, 도4c는 도4a의 Ⅱ-Ⅱ'를 따라 취해진 단면도이다.
도1a 및 도1b를 참조하면, 벌크 기판(100)상에 매몰 절연막(110) 및 반도체층(120)이 형성될 수 있다. 즉, 상기 벌크 기판(100) 및 상기 반도체층(120) 사이에 상기 매몰 절연막(110)이 개재될 수 있다. 상기 벌크 기판(100) 및 상기 반도체층(120)은 동일한 반도체 원소를 포함할 수 있다. 예를 들어, 상기 벌크 기판(100) 및 상기 반도체층(120)은 실리콘 또는 게르마늄을 포함할 수 있다. 상기 매몰 절연막(110)은 산화막, 질화막 또는 산질화막을 포함할 수 있다. 일 실시 예에 따르면, 상기 벌크 기판(100), 상기 매몰 절연막(110) 및 상기 반도체층(120)은 SOI(Silicon on insulator) 기판을 구성할 수 있다. 일 실시 예에 따르면, 상기 매몰 절연막(110)은 생략될 수도 있다.
상기 반도체층(120)상에 마스크 패턴(130)을 형성할 수 있다. 상기 마스크 패턴(130)은 개구부를 포함할 수 있다. 상기 개구부는 상기 반도체층(120)의 적어도 일부분을 노출시킬 수 있다. 일 실시 예에 따르면, 상기 마스크 패턴(130)을 형성하는 것은 상기 반도체층(120)상에 마스크막을 형성하고, 상기 마스크막을 식각하는 것에 의해서 형성할 수 있다. 상기 마스크막은 상기 반도체층(120)에 대해서 식각 선택비를 갖는 물질로 형성될 수 있다. 예를 들어, 상기 반도체층(120)이 폴리 실리콘을 포함하는 경우, 상기 마스크막은 산화물, 질화물 또는 산질화물을 포함할 수 있다.
도2a 및 도2b를 참조하면, 상기 반도체층(120)내에 트렌치(121)를 형성할 수 있다. 상기 트렌치(121)는 상기 마스크 패턴(130)을 식각 마스크로 이용하여 상기 반도체층(120)을 식각하는 것에 의해서 형성될 수 있다. 상기 트렌치(121)를 형성하는 것은 건식 식각 공정 및 습식 식각 공정 중에서 적어도 하나를 수행하는 것을 포함할 수 있다.
상기 트렌치(121)의 바닥면은 상기 반도체층(120)의 하부면보다 높게 위치할 수 있다. 즉, 상기 트렌치(121)의 바닥면은 상기 반도체층(120)의 일부분으로 정의될 수 있다. 상기 반도체층(120)의 일부분은 상기 트렌치(121)와 상기 매몰 절연막(110) 사이에 개재된 부분일 수 있다.
상기 트렌치(121)는 제1 방향으로 제1 폭을 가질 수 있다. 상기 제1 방향은 x축에 평행한 방향일 수 있다. 일 실시 예에 따르면, 상기 트렌치(121)의 높이는 상기 제1폭보다 작을 수 있다. 이와 달리, 상기 트렌치(121)의 높이는 상기 제1폭과 동일하거나 제1폭보다 클 수도 있다. 예를 들어, 상기 트렌치(121)의 높이는 상기 제1 폭의 1.5배보다 클 수 있다.
상기 반도체층(120)의 일부분내에 도핑 영역(123)을 형성할 수 있다. 상기 도핑 영역(123)은 상기 트렌치(121)에 의해 노출된 상기 반도체층(120)의 일부분내에 제1 도전형 도펀트를 주입하는 것에 의해서 형성될 수 있다. 일 실시 예에 따르면, 상기 제1 도전형 도펀트는 N형 도펀트일 수 있다. 일 실시 예에 따르면, 상기 도핑 영역(123)은 상기 매몰 절연막(110)과 접촉할 수 있다. 하지만, 본 발명은 이에 한정되지 않는다. 상기 도핑 영역(123)은 도시된 것과 달리 다양한 형태로 형성될 수 있다. 예를 들어, 상기 도핑 영역(123)의 하부면은 상기 매몰 절연막(110)의 상부면보다 높게 위치하도록 형성될 수도 있다.
도3a 및 도3b를 참조하면, 상기 트렌치(121)내에 제1 단결정막(140)을 형성할 수 있다. 일 실시 예에 따르면, 상기 제1 단결정막(140)의 상부면은 상기 반도체층(120)의 상부면과 실질적으로 동일한 레벨에 위치하도록 형성될 수 있다. 하지만, 본 발명은 이에 한정되지 않는다. 도시된 것과 달리, 상기 제1 단결정막(140)의 상부면은 상기 반도체층(120)의 상부면보다 높은 레벨에 위치하도록 형성될 수도 있다 또는, 상기 제1 단결정막(140)의 상부면은 상기 반도체층(120)의 상부면보다 낮은 레벨에 위치하도록 형성될 수도 있다.
상기 제1 단결정막(140)과 상기 반도체층(120)은 서로 다른 반도체 원소를 포함할 수 있다. 일 실시 예에 따르면, 상기 제1 단결정막(140)은 상기 반도체층(120)에 포함된 반도체 원소보다 더 높은 전자 및 정공 이동성을 가지며, 생성된 캐리어들을 더 빠르게 모을 수 있는 반도체 원소를 포함할 수 있다. 예를 들어, 상기 반도체층(120)이 실리콘 원소를 포함하는 경우, 상기 제1 단결정막(140)은 게르마늄 원소를 포함할 수 있다.
일 실시 예에 따르면, 상기 제1 단결정막(140)은 상기 트렌치(121)의 내면을 씨드층으로 하는 선택적 단결정 성장 공정에 의해 형성될 수 있다. 즉, 상기 제1 단결정막(140)은 상기 트렌치(121)의 측벽들 및 상기 바닥면으로부터 단결정이 성장하는 것에 의해서 형성될 수 있다. 예를 들어, 상기 선택적 단결정 성장 공정은 감압 화학 기상 증착(Reduced Pressure Chemical Vapor Deposition: RPCVD) 및/또는 초고진공 화학 기상 증착(Ultra-High Vacuum Chemical Vapor Deposition: UHVCVD)에 의해 수행될 수 있다.
일 실시 예에 따르면, 상기 제1 단결정막(140)의 상기 선택적 단결정 성장 공정은 550 내지 650℃ 의 증착 온도 및 100Torr 이하의 공정 압력에서 수행될 수 있고, 상기 제1 단결정막(140)의 상기 선택적 단결정 성장 공정은 약 10 ~ 50sccm의 원료 가스 및 약 10 ~ 50sccm의 운반 가스를 포함하는 제1 반응 가스를 이용하여 수행될 수 있다.
만약 상기 선택적 단결정 성장 공정의 증착 온도가 650℃를 초과한다면, 결정의 성장 속도가 증가하여 상기 마스크 패턴(130)의 상부면상에 핵생성이 진행될 수 있다. 따라서, 상기 선택 성장 공정의 증착 온도는 650℃ 이하인 것이 바람직하다.
또한, 만약 제1 단결정막(140)의 상기 선택적 단결정 성장 공정에서 원료 가스의 유량이 50sccm보다 많은 경우, 제1 단결정막(140)내에 빈 공간(Void)이 생길 수 있고, 광 검출기의 신뢰성이 열화될 수 있다. 따라서, 제1 단결정막(140)의 상기 선택적 단결정 성장 공정에서 원료 가스의 유량은 50sccm이하인 것이 바람직하다.
만약, 상기 제1 단결정막(140)이 게르마늄 원소를 포함하는 경우, 원료 가스는 저메인(GeH4)을 포함할 수 있고, 운반 가스는 수소 가스일를 포함할 수 있다. 이 경우, 저메인(GeH4)은 전체 가스의 약 5~30%일 수 있다.
상기 제1 단결정막(140) 상에 제2 단결정막(150)을 형성할 수 있다. 일 실시 예에 따르면, 상기 제2 단결정막(150)의 상부면은 상기 마스크 패턴(130)의 상부면과 실질적으로 동일한 레벨에 위치하도록 형성될 수 있다. 하지만, 본 발명은 이에 한정되지 않는다. 도시된 것과 달리, 상기 제2 단결정막(150)의 상부면은 상기 마스크 패턴(130)의 상부면보다 높은 레벨에 위치하도록 형성될 수도 있다 또는, 상기 제2 단결정막(150)의 상부면은 상기 마스크 패턴(130)의 상부면보다 낮은 레벨에 위치하도록 형성될 수도 있다. 일 실시 예에 따르면, 상기 제2 단결정막(150)의 두께는 약 50 ~ 300nm일 수 있다.
상기 제2 단결정막(150)과 상기 반도체층(120)은 서로 동일한 반도체 원소를 포함할 수 있고, 상기 제2 단결정막(150)과 상기 제1 단결정막(140)은 다른 반도체 원소를 포함할 수 있다. 예를 들어, 상기 반도체층(120)이 실리콘 원소를 포함하고, 상기 제1 단결정막(140)은 게르마늄 원소를 포함하는 경우, 상기 제2 단결정막(150)은 실리콘 원소를 포함할 수 있다. 하지만, 본 발명은 이에 한정되지 않는다. 상기 제2 단결정막(150)은 상기 제1 단결정막(140)에 포함된 반도체 원소와 동일한 반도체 원소와 다른 반도체 원소를 동시에 포함할 수 있다. 예를 들어, 상기 제1 단결정막(140)이 게르마늄 원소를 포함하는 경우, 상기 제2 단결정막(150)은 실리콘 원소 및 게르마늄 원소를 동시에 포함할 수도 있다.
상기 제2 단결정막(150)은 제2 도전형 도펀트를 함유할 수 있다. 상기 제2 도전형 도펀트는 상기 1 도전형 도펀트와 서로 다른형일 수 있다. 예를 들어, 상기 제1 도전형 도펀트가 N형 도펀트인 경우, 상기 제2 도전형 도펀트는 P형 도펀트일 수 있다. 이와 달리, 상기 제1 도전형 도펀트가 P형 도펀트인 경우, 상기 제2 도전형 도펀트는 N형 도펀트일 수 있다.
일 실시 예에 따르면, 상기 제2 단결정막(150)은 선택적 단결정 성장 공정에 의해 형성될 수 있다. 예를 들어, 상기 제2 단결정막(150)의 형성하기 위한 상기 선택적 단결정 성장 공정은 감압 화학 기상 증착(Reduced Pressure Chemical Vapor Deposition: RPCVD) 및/또는 초고진공 화학 기상 증착(Ultra-High Vacuum Chemical Vapor Deposition: UHVCVD)에 의해 수행될 수 있다.
일 실시 예에 따르면, 상기 제2 단결정막(150)의 상기 선택적 단결정 성장 공정은 약 600 ~ 800℃의 증착 온도 및 100Torr 이하의 공정 압력에서 수행될 수 있고, 약 50 ~ 500sccm의 원료 가스 및 약 5 ~ 50sccm의 운반 가스를 포함하는 제2 반응가스를 이용하여 수행될 수 있다. 일 실시 예에 따르면, 상기 원료 가스는 반도체 원소를 포함하는 것일 수 있다. 예를 들어, 상기 원료 가스는 다이클로로실란(SiH2Cl2), 사염화 규소(SiCl4), 실란(SiH4) 및 저메인(GeH4) 중에서 적어도 하나를 포함할 수 있다. 예를 들어, 상기 제2 단결정막(150)이 실리콘막인 경우, 다이클로로실란(SiH2Cl2), 사염화 규소(SiCl4) 또는 실란(SiH4)이 원료 가스로 사용될 수 있다. 이와 달리, 상기 제2 단결정막(150)이 실리콘-게르마늄 화합물막인 경우, 실란(SiH4) 및 저메인(GeH4)이 원료 가스로 사용될 수 있다.
일 실시 예에 따르면, 상기 제2 단결정막(150)의 상기 선택적 단결정 성장 공정에 사용되는 상기 반응 가스는 식각 가스 및 도펀트 가스를 더 포함할 수 있다. 예를 들어, 상기 선택적 단결정 성장 공정에 사용되는 제2 반응 가스는 식각 가스로써 염화 수소(HCl)을 더 포함하고, 도펀트 가스로써 B2H6 가스 또는 PH3 가스를 더 포함할 수 있다. 이 경우, 상기 염화 수소의 유량은 약 10 ~ 200 sccm일 수 있다.
일 실시 예에 따르면, 상기 제1 단결정막(140)의 선택적 단결정 성장 공정과 상기 제2 단결정막(150)의 선택적 단결정 성장 공정은 하나의 공정 챔버 내에서 수행될 수 도 있다.
도4a 및 도4b를 참조하면, 상기 마스크 패턴(130)을 제거할 수 있다. 상기 마스크 패턴(130)을 제거하는 것은 상기 반도체층(120) 및 상기 제2 단결정막(150)에 대해서 식각 선택비를 갖는 에천트를 이용하는 습식 식각 공정에 의해 수행될 수 있다.
도5a, 도5b 및 도5c를 참조하면, 상기 반도체층(120), 상기 제1 단결정막(140) 및 상기 제2 단결정막(150)을 패터닝하여 광도파로(125), 제1 단결정 패턴(145) 및 제2 단결정 패턴(155)을 형성할 수 있다. 상기 광도파로(125), 상기 제1 단결정 패턴(145) 및 상기 제2 단결정 패턴(155)은 상기 반도체층(120) 및 상기 제2 단결정막(150)상에 제2 방향으로 연장하고, 상기 반도체층(120) 및 상기 제2 단결정막(150)의 상부면들의 일부분을 덮는 마스크 패턴을 형성하고, 상기 마스크 패턴(130)을 식각 마스크로 이용하여, 상기 반도체층(120), 상기 제1 단결정막(140) 및 상기 제2 단결정막(150)을 식각하는 것에 의해서 형성될 수 있다. 일 실시 예에 따르면, 상기 제2 방향은 상기 제1 방향에 교차하는 방향일 수 있다. 예를 들어, 상기 제2 방향은 y축에 평행한 방향일 수 있다. 상기 반도체층(120), 상기 제1 단결정막(140) 및 상기 제2 단결정막(150)을 식각하는 것은 건식 식각 공정에 의해 수행될 수 있다. 일 실시 예에 따르면, 상기 반도체층(120), 상기 제1 단결정막(140) 및 상기 제2 단결정막(150)을 식각하는 것은 하나의 공정 챔버내에서 수행될 수 있다.
이와 달리, 상기 제1 단결정 패턴(145) 및 상기 제2 단결정 패턴(155)을 형성하는 것과 상기 광도파로(125)를 형성하는 것은 서로 다른 패터닝 공정에 의해 수행될 수 있다. 즉, 상기 제1 단결정 패턴(145) 및 상기 제2 단결정 패턴(155)은 상기 제2 단결정막(150)의 일부분을 노출시키는 제1 마스크 패턴을 형성하고, 상기 제1 마스크 패턴을 식각 마스크로 이용하여 상기 제1 단결정막(140) 및 상기 제2 단결정막(150)을 식각하는 것에 의해서 형성될 수 있다. 또한, 상기 광도파로(125)는 상기 반도체층(120)의 일부분을 덮는 제2 마스크 패턴을 형성하고, 상기 제2 마스크 패턴을 식각 마스크로 이용하여 상기 제1 단결정막(140) 및 상기 제2 단결정막(150)을 식각하는 것에 의해서 형성될 수 있다.
상기 광도파로(125)는 평면적 관점에서 상기 제2 방향으로 연장되는 라인 형태일 수 있다. 일 실시 예에 따르면, 상기 광도파로(125)는 상기 제1 단결정 패턴(145)의 일 측면과 접촉될 수 있다. 상기 광도파로(125)는 상기 반도체층(120)의 일부분일 수 있다. 즉, 상기 광도파로(125)는 상기 식각된 반도체층(127)으로부터 위로 돌출된 형태일 수 있다. 일 실시 예에 따르면, 상기 광 도파로의 상부면은 상기 제1 단결정 패턴(145)의 상부면과 실질적으로 동일한 레벨에 위치할 수 있다. 하지만, 본 발명은 이에 한정되지 않는다. 도시된 것과 달리, 상기 광 도파로의 상부면은 상기 제1 단결정 패턴(145)의 상부면보다 높은 레벨에 위치할 수도 있고, 또는 상기 제1 단결정 패턴(145)의 상부면보다 낮은 레벨에 위치할 수도 있다.
상기 제1 단결정 패턴(145) 및 상기 제2 단결정 패턴(155)은 순차적으로 적층되어 상기 도핑 영역(123)상에 배치 형태로 형성될 수 있다. 상기 제1 단결정 패턴(145)은 상기 제1 방향으로 서로 대향되는 한 쌍의 측벽들을 포함할 수 있다. 상기 식각 공정에 의해서 상기 제1 단결정 패턴(145)의 한 쌍의 측벽들에 인접한 도핑 영역(123)의 부분들이 노출될 수 있다.
도6a 및 도6b를 참조하면, 상기 벌크 기판(100)상에 층간 유전막(160)을 형성할 수 있다. 상기 층간 유전막(160)은 산화막, 질화막 또는 산질화막을 포함할 수 있다. 상기 층간 유전막(160)은 화학 기상 증착 공정에 의해 형성될 수 있다.
상기 층간 유전막(160)내에 한 쌍의 제1 금속 패드(165) 및 제2 금속 패드(167)을 형성할 수 있다. 상기 한 쌍의 제1 금속 패드들(165)은 상기 도핑 영역(123)에 전기적으로 연결되고, 상기 제2 금속 패드들(167)은 상기 제2 단결정 패턴(155)에 전기적으로 연결될 수 있다.
일 실시 예에 따르면, 상기 한 쌍의 제1 금속 패드들(165) 및 상기 제2 금속 패드들(167)은 상기 제1 방향에 평행한 하나의 열을 따라 배열될 수 있다. 상기 한 쌍의 제1 금속 패드들(165) 사이에 상기 제2 금속 패드들(167)이 배치될 수 있다.
상기 한 쌍의 제1 금속 패드들(165) 및 상기 제2 금속 패드들(167)은 상기 층간 절연막내에 홀들을 형성하고, 상기 홀들 내에 도전 물질을 채우는 것에 의해서 형성될 수 있다. 일 실시 예에 따르면, 상기 제1 금속 패드들(165) 및 상기 제2 금속 패드(167)의 일부분은 상기 홀들의 외부에 형성될 수 있다. 본 발명에 실시 예들에 따르면, 광도파로(125)가 상기 제1 단결정 패턴(145) 및 상기 제2 단결정 패턴(155)을 형성하기 위한 식각 공정에서 동시에 형성될 수 있고, 상기 광 도파로를 형성하기 위한 추가적인 공정을 생략할 수 있다. 만약, 반도체층(120)내에 트렌치(121)를 형성하고 트렌치(121)내에 선택적 단결정 성장 공정에 의해서 제1 단결정막(140) 및 제2 단결정막(150)을 형성한 후, 상기 제1 단결정막(140) 및 상기 제2 단결정막(150)을 패터닝하는 것에 의해서 상기 제1 단결정 패턴(145) 및 상기 제2 단결정 패턴(155)을 형성하지 않는 경우, 상기 제1 단결정 패턴(145) 및 상기 제2 단결정 패턴(155)을 형성하기 위한 공정들과 상기 광도파로(125)를 형성하기 위한 공정들이 별도로 수행되어야 한다. 따라서, 본 발명의 실시 예들에 따르면, 광검출기의 제조 공정을 단순화할 수 있다.
또한, 본 발명의 실시 예들에 따르면, 상기 광 도파로(125)와 상기 제1 단결정 패턴(145)가 자기 정렬될 수 있다. 따라서, 상기 광도파로와 상기 제1 단결정 패턴의 오정렬로 인한 불량을 최소화할 수 있다.
이상, 첨부된 도면들을 참조하여 본 발명의 실시 예들을 설명하였지만, 본 발명은 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수도 있다. 그러므로 이상에서 기술한 실시 예들에는 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
100: 벌크 기판
110: 매몰 절연막
120: 반도체층
121: 트렌치
123: 도핑 영역
125: 광도파로
145: 제1 단결정 패턴
155: 제2 단결정 패턴

Claims (20)

  1. 반도체층이 제공된 기판을 준비하는 것;
    상기 반도체층내에 트렌치를 형성하는 것;
    상기 트렌치를 통해 노출된 상기 반도체층의 일부분에 제1 도전형의 도펀트를 주입하여 도핑 영역을 형성하는 것;
    상기 트렌치내에 선택적 단결정 성장 공정에 의해서 제1 단결정막 및 제2 단결정막을 형성하는 것; 및
    상기 제1 단결정막, 상기 제2 단결정막 및 상기 반도체층을 패터닝하는 것에 의해서 제1 단결정 패턴, 제2 단결정 패턴 및 광도파로를 형성하는 것을 포함하되,
    상기 제1 단결정 패턴, 상기 제2 단결정 패턴 및 상기 광도파로를 형성하는 것은, 상기 제1 단결정막, 상기 제2 단결정막 및 상기 반도체층의 일부분을 식각하여 상기 도핑 영역의 적어도 일부분을 노출시키는 것을 포함하는 광 검출기의 형성 방법.
  2. 삭제
  3. 제1항에 있어서,
    상기 반도체층 및 상기 기판 사이에 매몰 절연막이 개재되는 광 검출기의 형성 방법.
  4. 제3항에 있어서,
    상기 도핑 영역은 상기 매몰 절연막과 접촉하는 광 검출기의 형성 방법.
  5. 제1항에 있어서,
    상기 기판상에 층간 유전막을 형성하는 것; 및
    상기 층간 유전막내에 상기 층간 유전막을 관통하는 제1 금속 패드 및 제2 금속 패드를 형성하는 것을 더 포함하되,
    상기 제1 금속 패드는 상기 도핑 영역에 접촉하고, 상기 제2 금속 패드는 상기 제2 단결정 패턴에 접촉하는 광 검출기의 형성 방법.
  6. 제1항에 있어서,
    상기 제2 단결정 패턴은 제2 도전형의 도펀트를 포함하고,
    상기 제2 도전형의 도펀트는 상기 제1 도전형의 도펀트와 서로 다른 도전형인 광 검출기의 형성 방법.
  7. 삭제
  8. 제7항에 있어서,
    상기 광도파로는 상기 식각된 반도체층으로부터 돌출된 형태인 광 검출기의 형성 방법.
  9. 제8항에 있어서,
    상기 광도파로는 일 방향으로 연장되는 라인형태이고,
    상기 광도파로의 일단은 상기 제1 단결정 패턴과 접촉하는 광 검출기의 형성 방법.
  10. 제1항에 있어서,
    상기 제1 단결정 패턴 및 상기 제2 단결정 패턴을 형성하는 것과 상기 광도파로를 형성하는 것은 각각 서로 다른 패터닝 공정에 의해서 수행되는 광 검출기의 형성 방법.
  11. 제1항에 있어서,
    상기 제1 단결정막을 형성하는 것은,
    상기 트렌치의 측면들 및 바닥면으로부터 단결정 성장이 이루어지는 것을 포함하는 광 검출기의 형성 방법.
  12. 제1항에 있어서,
    상기 선택적 단결정 성장 공정은 감압 화학 기상 증착 공정 또는 초고진공 화학 기상 증착 공정을 수행하는 것을 포함하는 광 검출기의 형성 방법.
  13. 제12항에 있어서,
    상기 제1 단결정막을 형성하는 것은 저메인 및 수소를 포함하는 제1 반응 가스를 사용하여 550 내지 650℃의 증착 온도에서 수행되는 상기 감압 화학 기상 증착 공정 또는 상기 초고진공 화학 기상 증착 공정을 수행하는 것을 포함하되,
    상기 제1 반응 가스의 유량은 10 내지 50sccm인 광 검출기의 형성 방법.
  14. 제12항에 있어서,
    상기 제2 단결정막을 형성하는 것은,
    반도체 원소를 포함하는 원료 가스, 운반 가스, 식각 가스를 포함하는 제2 반응 가스를 사용하여 600 내지 800℃의 증착 온도에서 수행되는 감압 화학 기상 증착 공정 또는 초고진공 화학 기상 증착 공정을 수행하는 것을 포함하는 광 검출기의 형성 방법.
  15. 제14항에 있어서,
    상기 원료 가스는 다이클로로실란(SiH2Cl2), 사염화 규소(SiCl4), 실란(SiH4) 및 저메인(GeH4) 중에서 적어도 하나를 포함하고,
    운반 가스는 수소를 포함하고, 식각 가스는 염화 수소를 포함하는 광 검출기의 형성 방법.
  16. 제15항에 있어서,
    상기 염화 수소의 유량은 10 내지 200sccm인 광 검출기의 형성 방법.
  17. 제14항에 있어서,
    상기 제2 반응 가스는 도펀트 가스를 더 포함하고,
    상기 도펀트 가스는 다이보레인(Diborane) 또는 포스핀(phosphine)을 포함하는 광 검출기의 형성 방법.
  18. 제1항에 있어서,
    상기 제1 단결정막 및 상기 제2 단결정막을 형성하기 위한 선택적 단결정 성장 공정은 하나의 공정 챔버 내에서 수행되는 광 검출기의 형성 방법.
  19. 제1항에 있어서,
    상기 트렌치의 깊이는 상기 트렌치의 일 방향의 폭과 동일하거나 상기 트렌치의 일 방향의 폭의 1.5배보다 큰 광 검출기의 형성 방법.
  20. 제1항에 있어서,
    상기 제2 단결정막의 두께는 50 내지 300㎚으로 형성되는 광 검출기의 형성 방법.
KR1020110130309A 2011-12-07 2011-12-07 광 검출기의 형성 방법 KR101865752B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020110130309A KR101865752B1 (ko) 2011-12-07 2011-12-07 광 검출기의 형성 방법
US13/612,736 US8859319B2 (en) 2011-12-07 2012-09-12 Methods of forming photo detectors

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020110130309A KR101865752B1 (ko) 2011-12-07 2011-12-07 광 검출기의 형성 방법

Publications (2)

Publication Number Publication Date
KR20130063767A KR20130063767A (ko) 2013-06-17
KR101865752B1 true KR101865752B1 (ko) 2018-06-12

Family

ID=48572338

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110130309A KR101865752B1 (ko) 2011-12-07 2011-12-07 광 검출기의 형성 방법

Country Status (2)

Country Link
US (1) US8859319B2 (ko)
KR (1) KR101865752B1 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8872294B2 (en) * 2012-08-21 2014-10-28 Micron Technology, Inc. Method and apparatus for reducing signal loss in a photo detector
US9231131B2 (en) 2014-01-07 2016-01-05 International Business Machines Corporation Integrated photodetector waveguide structure with alignment tolerance
US9768330B2 (en) * 2014-08-25 2017-09-19 Micron Technology, Inc. Method and optoelectronic structure providing polysilicon photonic devices with different optical properties in different regions
CN111509080A (zh) * 2020-01-20 2020-08-07 中国科学院微电子研究所 一种探测器及其制作方法
US11611002B2 (en) 2020-07-22 2023-03-21 Globalfoundries U.S. Inc. Photodiode and/or pin diode structures
US11424377B2 (en) * 2020-10-08 2022-08-23 Globalfoundries U.S. Inc. Photodiode with integrated, light focusing element
US11949034B2 (en) 2022-06-24 2024-04-02 Globalfoundries U.S. Inc. Photodetector with dual doped semiconductor material

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010020629A (ko) * 1999-02-05 2001-03-15 이데이 노부유끼 광 도파 장치, 광 송수신 장치, 광 도파 장치의 제조방법, 및 광 송수신 장치의 제조 방법
US20100006961A1 (en) * 2008-07-09 2010-01-14 Analog Devices, Inc. Recessed Germanium (Ge) Diode

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2867983B2 (ja) * 1996-12-03 1999-03-10 日本電気株式会社 フォトディテクタおよびその製造方法
US7138697B2 (en) 2004-02-24 2006-11-21 International Business Machines Corporation Structure for and method of fabricating a high-speed CMOS-compatible Ge-on-insulator photodetector
US7596158B2 (en) * 2005-10-28 2009-09-29 Massachusetts Institute Of Technology Method and structure of germanium laser on silicon
US20110084308A1 (en) * 2007-08-08 2011-04-14 Ter-Hoe Loh Semiconductor arrangement and a method for manufacturing the same
US8257997B2 (en) * 2007-10-17 2012-09-04 Sifotonics Technologies (Usa) Inc. Semiconductor photodetectors
KR101272783B1 (ko) * 2009-08-31 2013-06-11 한국전자통신연구원 도파로 광 검출기
JP2011064793A (ja) * 2009-09-15 2011-03-31 Fujitsu Ltd 光半導体素子及びその製造方法
KR101287196B1 (ko) * 2009-12-03 2013-07-16 한국전자통신연구원 광 검출기 및 그 제조방법
KR20120015929A (ko) * 2010-08-13 2012-02-22 삼성전자주식회사 광전 집적 회로 장치 및 그 형성 방법
JP5790211B2 (ja) * 2011-07-01 2015-10-07 住友電気工業株式会社 導波路型フォトダイオードの製造方法
KR101857160B1 (ko) * 2011-12-16 2018-05-15 한국전자통신연구원 반도체 레이저 및 그의 제조방법
US9653639B2 (en) * 2012-02-07 2017-05-16 Apic Corporation Laser using locally strained germanium on silicon for opto-electronic applications

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010020629A (ko) * 1999-02-05 2001-03-15 이데이 노부유끼 광 도파 장치, 광 송수신 장치, 광 도파 장치의 제조방법, 및 광 송수신 장치의 제조 방법
US20100006961A1 (en) * 2008-07-09 2010-01-14 Analog Devices, Inc. Recessed Germanium (Ge) Diode

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Journal (2007.10.09.) 1부. *
비특허문헌*

Also Published As

Publication number Publication date
KR20130063767A (ko) 2013-06-17
US20130149806A1 (en) 2013-06-13
US8859319B2 (en) 2014-10-14

Similar Documents

Publication Publication Date Title
KR101865752B1 (ko) 광 검출기의 형성 방법
CN109494158B (zh) 具有改进的内间隔件的纳米片晶体管
US20190221483A1 (en) Single work function enablement for silicon nanowire device
US8586441B1 (en) Germanium lateral bipolar junction transistor
US9406545B2 (en) Bulk semiconductor fins with self-aligned shallow trench isolation structures
KR101716113B1 (ko) 반도체 소자 및 이의 제조 방법
KR100855977B1 (ko) 반도체 소자 및 그 제조방법
US9024355B2 (en) Embedded planar source/drain stressors for a finFET including a plurality of fins
CN104517976B (zh) Cmos图像传感器的像素结构及其形成方法
KR100657143B1 (ko) 이미지 센서 및 그 제조 방법
KR101796148B1 (ko) 광 검출 소자 및 이를 제조하는 방법
US8772902B2 (en) Fabrication of a localized thick box with planar oxide/SOI interface on bulk silicon substrate for silicon photonics integration
KR20160089927A (ko) 광 수신 소자
KR20140082839A (ko) 실리콘 카바이드 에피택시
KR102181323B1 (ko) 레이저 장치 및 이의 제조방법
US20240105877A1 (en) Germanium-Based Sensor with Junction-Gate Field Effect Transistor and Method of Fabricating Thereof
KR101287196B1 (ko) 광 검출기 및 그 제조방법
TWI755117B (zh) 半導體裝置及其形成方法
CN105637639A (zh) 提供具有缺陷的减少的外延光子装置的方法及所得结构
KR20050092572A (ko) 이형 반도체 기판 및 그 형성 방법
TWI528532B (zh) 半導體感光結構與其製造方法
CN103247565A (zh) 一种浅沟槽隔离结构及其制作方法
TW202145357A (zh) 半導體結構及其製造方法
KR20180046339A (ko) 광 검출 소자 및 그의 제조 방법
US11855237B2 (en) Germanium-based sensor with junction-gate field effect transistor and method of fabricating thereof

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right