KR101724394B1 - 기판 처리 장치 및 반도체 장치의 제조 방법 및 기록 매체 - Google Patents

기판 처리 장치 및 반도체 장치의 제조 방법 및 기록 매체 Download PDF

Info

Publication number
KR101724394B1
KR101724394B1 KR1020150029067A KR20150029067A KR101724394B1 KR 101724394 B1 KR101724394 B1 KR 101724394B1 KR 1020150029067 A KR1020150029067 A KR 1020150029067A KR 20150029067 A KR20150029067 A KR 20150029067A KR 101724394 B1 KR101724394 B1 KR 101724394B1
Authority
KR
South Korea
Prior art keywords
gas
processing region
processing
plasma
substrate
Prior art date
Application number
KR1020150029067A
Other languages
English (en)
Other versions
KR20150105216A (ko
Inventor
카츠히코 야마모토
유키 타이라
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20150105216A publication Critical patent/KR20150105216A/ko
Application granted granted Critical
Publication of KR101724394B1 publication Critical patent/KR101724394B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 발명은 1사이클마다 잔류물이 잔류하는 것을 억제한 층을 형성하는 것에 의해 고품질의 박막을 형성한다.
제1 처리 영역, 제2 처리 영역, 제3 처리 영역, 제4 처리 영역 및 상기 제1 처리 영역과 상기 제4 처리 영역의 사이에 설치되는 퍼지 영역을 포함하고, 상기 제1 처리 영역 내, 상기 제2 처리 영역 내, 상기 제3 처리 영역 내, 상기 제4 처리 영역 내 및 상기 퍼지 영역에서 기판을 처리하는 처리실; 상기 기판을 재치하는 기판 재치대를 회전시키는 회전부; 상기 제1 처리 영역 내에 원료 가스를 공급하고, 상기 제2 처리 영역 내에 반응 가스를 공급하고, 상기 제3 처리 영역 내에 개질 가스를 공급하고, 상기 제4 처리 영역 내에 전처리 가스를 공급하는 처리 가스 공급계; 상기 퍼지 영역 내에 불활성 가스를 공급하는 불활성 가스 공급계; 상기 제2 처리 영역 내에 상기 반응 가스의 플라즈마를 생성하는 반응 가스 플라즈마 생성부; 상기 제3 처리 영역 내에 상기 개질 가스의 플라즈마를 생성하는 개질 가스 플라즈마 생성부;제4 처리 영역 내에 상기 전처리 가스의 플라즈마를 생성하는 전처리 가스 플라즈마 생성부; 및 상기 기판 재치대를 회전시켜서 상기 제1 처리 영역, 상기 퍼지 영역, 상기 제4 처리 영역, 상기 제2 처리 영역 및 상기 제3 처리 영역을 순서대로 상기 기판을 통과시킬 때, 상기 기판이 상기 제1 처리 영역을 통과 중에 상기 기판 상에 제1층을 형성시키고, 상기 기판이 상기 퍼지 영역을 통과 중에 상기 불활성 가스에 의해 상기 기판의 표면을 퍼지시키고, 상기 기판이 상기 제4 처리 영역을 통과 중에 상기 전처리 가스의 플라즈마에 의해 상기 제1층을 개질시키고, 상기 기판이 상기 제2 처리 영역을 통과 중에 상기 반응 가스의 플라즈마를 상기 전처리 가스의 플라즈마에 의해 개질된 상기 제1층과 반응시켜서 제2층을 형성시키고, 상기 기판이 상기 제3 처리 영역을 통과 중에 상기 개질 가스의 플라즈마에 의해 상기 제2층을 개질시키도록, 상기 회전부, 상기 처리 가스 공급계, 상기 불활성 가스 공급계, 상기 반응 가스 플라즈마 생성부 및 상기 개질 가스 플라즈마 생성부를 각각 제어하도록 구성되는 제어부;를 포함하는 기판 처리 장치가 제공된다.

Description

기판 처리 장치 및 반도체 장치의 제조 방법 및 기록 매체{SUBSTRATE PROCESSING APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM}
본 발명은 기판 처리 장치 및 반도체 장치의 제조 방법 및 기록 매체에 관한 것이다.
예컨대 플래시 메모리나 DRAM(Dynamic Random Access Memory) 등의 반도체 장치의 제작에 이용되는 박막의 형성 방법 중 하나로서 CVD(Chemical Vapor Deposition)법이 알려져 있다. CVD법이란 원료 가스 및 반응 가스의 기상(氣相) 중 또는 기판 표면에서의 반응을 이용하여 원료 가스의 분자에 포함되는 원소를 구성 요소로 하는 박막을 기판에 퇴적하는 방법이다.
또한 박막의 형성 방법 중 하나로서 처리실 내의 가스 분위기를 변화시키는 대신에 원료 가스가 공급된 처리 영역과 반응 가스가 공급된 처리 영역에 기판을 순차적으로 통과시키는 것에 의해 기판 상에 박막을 형성하는 방법이 있다.
하지만 이 기법으로는 원료 가스가 공급된 처리 영역과 반응 가스가 공급된 처리 영역을 순서대로 통과하는 것만으로는 반응 가스에 의한 반응이 불충분하여 기판 상에 형성되는 박막 중에 원료 가스의 일부의 성분 등의 잔류물이 잔류하는 경우가 있다.
본 발명의 목적은 잔류물이 잔류하는 것을 억제하면서 박막을 형성하는 것에 의해 고품질의 박막을 형성할 수 있는 구성을 제공하는 데 있다.
본 발명의 일 형태에 의하면, 제1 처리 영역, 제2 처리 영역, 제3 처리 영역, 제4 처리 영역 및 상기 제1 처리 영역과 상기 제4 처리 영역의 사이에 설치되는 퍼지 영역을 포함하고, 상기 제1 처리 영역 내, 상기 제2 처리 영역 내, 상기 제3 처리 영역 내, 상기 제4 처리 영역 내 및 상기 퍼지 영역에서 기판을 처리하는 처리실; 상기 기판을 재치하는 기판 재치대를 회전시키는 회전부; 상기 제1 처리 영역 내에 원료 가스를 공급하고, 상기 제2 처리 영역 내에 반응 가스를 공급하고, 상기 제3 처리 영역 내에 개질 가스를 공급하고, 상기 제4 처리 영역 내에 전처리 가스를 공급하는 처리 가스 공급계; 상기 퍼지 영역 내에 불활성 가스를 공급하는 불활성 가스 공급계; 상기 제2 처리 영역 내에 상기 반응 가스의 플라즈마를 생성하는 반응 가스 플라즈마 생성부; 상기 제3 처리 영역 내에 상기 개질 가스의 플라즈마를 생성하는 개질 가스 플라즈마 생성부;제4 처리 영역 내에 상기 전처리 가스의 플라즈마를 생성하는 전처리 가스 플라즈마 생성부; 및 상기 기판 재치대를 회전시켜서 상기 제1 처리 영역, 상기 퍼지 영역, 상기 제4 처리 영역, 상기 제2 처리 영역 및 상기 제3 처리 영역을 순서대로 상기 기판을 통과시킬 때, 상기 기판이 상기 제1 처리 영역을 통과 중에 상기 기판 상에 제1층을 형성시키고, 상기 기판이 상기 퍼지 영역을 통과 중에 상기 불활성 가스에 의해 상기 기판의 표면을 퍼지시키고, 상기 기판이 상기 제4 처리 영역을 통과 중에 상기 전처리 가스의 플라즈마에 의해 상기 제1층을 개질시키고, 상기 기판이 상기 제2 처리 영역을 통과 중에 상기 반응 가스의 플라즈마를 상기 전처리 가스의 플라즈마에 의해 개질된 상기 제1층과 반응시켜서 제2층을 형성시키고, 상기 기판이 상기 제3 처리 영역을 통과 중에 상기 개질 가스의 플라즈마에 의해 상기 제2층을 개질시키도록, 상기 회전부, 상기 처리 가스 공급계, 상기 불활성 가스 공급계, 상기 반응 가스 플라즈마 생성부 및 상기 개질 가스 플라즈마 생성부를 각각 제어하도록 구성되는 제어부;를 포함하는 기판 처리 장치가 제공된다.
본 발명의 다른 형태에 의하면, 처리실 내에 회전 가능하도록 설치된 기판 재치대에 기판을 재치하는 공정; 상기 처리실 내에 설치된 제1 처리 영역 내에 원료 가스를 공급하는 공정; 상기 처리실 내에 설치된 퍼지 영역 내에 불활성 가스를 공급하는 공정; 상기 처리실 내에 설치된 제4 처리 영역 내에 전처리 가스의 플라즈마를 생성하는 공정; 상기 처리실 내에 설치된 제2 처리 영역 내에 반응 가스의 플라즈마를 생성하는 공정; 상기 처리실 내에 설치된 제3 처리 영역 내에 개질 가스의 플라즈마를 생성하는 공정; 상기 기판 재치대의 회전에 의해 상기 제1 처리 영역, 상기 퍼지 영역, 상기 제4 처리 영역, 상기 제2 처리 영역 및 제3 처리 영역을 순서대로 상기 기판을 통과시킬 때, 상기 기판이 상기 제1 처리 영역을 통과 중에 상기 기판 상에 제1층을 형성하는 공정; 상기 기판이 상기 퍼지 영역을 통과 중에 상기 불활성 가스에 의해 상기 기판의 표면을 퍼지하는 공정; 상기 기판이 상기 제4 처리 영역을 통과 중에 상기 전처리 가스의 플라즈마에 의해 상기 제1층을 개질하는 공정; 상기 기판이 상기 제2 처리 영역을 통과 중에 상기 반응 가스의 플라즈마와 상기 전처리 가스의 플라즈마에 의해 개질된 상기 제1층을 반응시키는 것에 의해 제2층을 형성하는 공정; 및 상기 기판이 상기 제3 처리 영역을 통과 중에 상기 개질 가스의 플라즈마에 의해 상기 제2층을 개질하는 공정;을 포함하는 반도체 장치의 제조 방법이 제공된다.
본 발명의 또 다른 형태에 의하면, 처리실 내에 회전 가능하도록 설치된 기판 재치대에 기판을 재치하는 단계; 상기 처리실 내에 설치된 제1 처리 영역 내에 원료 가스를 공급하는 단계; 상기 처리실 내에 설치된 퍼지 영역 내에 불활성 가스를 공급하는 단계; 상기 처리실 내에 설치된 제4 처리 영역 내에 전처리 가스의 플라즈마를 생성하는 단계; 상기 처리실 내에 설치된 제2 처리 영역 내에 반응 가스의 플라즈마를 생성하는 단계; 상기 처리실 내에 설치된 제3 처리 영역 내에 개질 가스의 플라즈마를 생성하는 단계; 상기 기판 재치대의 회전에 의해 상기 제1 처리 영역, 상기 퍼지 영역, 상기 제4 처리 영역, 상기 제2 처리 영역 및 제3 처리 영역을 순서대로 상기 기판을 통과시킬 때, 상기 기판이 상기 제1 처리 영역을 통과 중에 상기 기판 상에 제1층을 형성하는 단계; 상기 기판이 상기 퍼지 영역을 통과 중에 상기 불활성 가스에 의해 상기 기판의 표면을 퍼지하는 단계; 상기 기판이 상기 제4 처리 영역을 통과 중에 상기 전처리 가스의 플라즈마에 의해 상기 제1층을 개질하는 단계; 상기 기판이 상기 제2 처리 영역을 통과 중에 상기 반응 가스의 플라즈마와 상기 전처리 가스의 플라즈마에 의해 개질된 상기 제1층을 반응시키는 것에 의해 제2층을 형성하는 단계; 및 상기 기판이 상기 제3 처리 영역을 통과 중에 상기 개질 가스의 플라즈마에 의해 상기 제2층을 개질하는 단계;를 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
본 발명에 의하면, 잔류물이 잔류하는 것을 억제하면서 박막을 형성하는 것에 의해 고품질의 박막을 형성할 수 있다.
도 1은 본 발명의 제1 실시 형태에 따른 클러스터형의 기판 처리 장치의 횡단면(橫斷面) 개략도.
도 2는 본 발명의 제1 실시 형태에 따른 클러스터형의 기판 처리 장치의 종단면(縱斷面) 개략도.
도 3은 본 발명의 제1 실시 형태에 따른 기판 처리 장치가 구비하는 프로세스 챔버의 횡단면 개략도.
도 4는 본 발명의 제1 실시 형태에 따른 기판 처리 장치가 구비하는 프로세스 챔버의 종단면 개략도이며, 도 3에 도시하는 프로세스 챔버의 A-A'선 단면도.
도 5는 본 발명의 제1 실시 형태에 따른 기판 처리 장치가 구비하는 프로세스 챔버의 상면(上面) 개략도.
도 6은 본 발명의 제1 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 컨트롤러의 개략 구성도.
도 7은 본 발명의 제1 실시 형태에 따른 기판 처리 공정을 도시하는 플로우 차트.
도 8은 본 발명의 제1 실시 형태에 따른 박막 형성 공정을 도시하는 플로우 차트.
도 9는 본 발명의 제2 실시 형태에 따른 기판 처리 장치가 구비하는 프로세스 챔버의 횡단면 개략도.
도 10은 본 발명의 제2 실시 형태에 따른 박막 형성 공정을 도시하는 플로우 차트.
도 11은 본 발명의 제3 실시 형태에 따른 기판 처리 장치가 구비하는 프로세스 챔버의 횡단면 개략도.
도 12는 본 발명의 제4 실시 형태에 따른 기판 처리 장치가 구비하는 프로세스 챔버의 종단면 개략도.
도 13은 본 발명의 제4 실시 형태에 따른 기판 처리 장치가 구비하는 프로세스 챔버의 상면 개략도.
<본 발명의 제1 실시 형태>
이하, 본 발명의 제1 실시 형태에 대하여 도면을 참조하여 설명한다.
(1) 기판 처리 장치의 구성
우선 도 1 및 도 2를 이용하여 본 실시 형태에 따른 기판 처리 장치(10)에 대하여 설명한다.
또한 본 발명이 적용되는 기판 처리 장치(10)에서는 기판으로서의 웨이퍼(200)를 반송하는 캐리어로서 FOUP(100)(Front Opening Unified Pod: 이하, 포드라고 부른다)가 사용된다. 본 실시 형태에 따른 클러스터형의 기판 처리 장치(10)의 반송 장치는 진공측과 대기(大氣)측으로 나뉜다.
또한 이하의 설명에서 전후좌우는 도 1을 기준으로 한다. 도 1에 도시되는 X1의 방향을 오른쪽, X2의 방향을 왼쪽, Y1의 방향을 앞, Y2의 방향을 뒤로 한다.
〔진공 측의 구성〕
도 1 및 도 2에 도시하는 바와 같이 기판 처리 장치(10)는 진공 상태 등의 대기압 미만의 압력[부압(負壓)]을 견딜 수 있는 제1 반송실(103)을 구비한다. 제1 반송실(103)의 광체(101)(筐體)는 평면시(平面視)에서 예컨대 오각형이며, 상하 양단(兩端)이 폐색(閉塞)된 상자 형상으로 형성된다. 또한 이하에서 말하는 "평면시"란 기판 처리 장치(10)의 연직 상측으로부터 연직 하측을 보았을 때를 말한다.
제1 반송실(103) 내에는 부압 하에서 2매의 웨이퍼(200)를 동시에 이재(移載)할 수 있는 제1 웨이퍼 이재기(112)가 설치된다. 제1 웨이퍼 이재기(112)는 제1 웨이퍼 이재기 엘리베이터(115)에 의해 제1 반송실(103)의 기밀성을 유지하면서 승강할 수 있도록 구성된다.
광체(101)의 5매의 측벽 중 전측(前側)에 위치하는 측벽에는 예비실(122, 123)(로드록 실)이 각각 게이트 밸브(126, 127)를 개재하여 연결된다. 예비실(122, 123)은 웨이퍼(200)를 반입하는 기능과 웨이퍼(200)를 반출하는 기능을 병용 가능하도록 구성되고, 각각 부압에 견딜 수 있는 구조로 구성된다.
또한 예비실(122, 123) 내에는 기판 지지대(140)에 의해 2매의 웨이퍼(200)를 중첩하도록 재치하는 것이 가능하다. 예비실(122, 123)에는 웨이퍼(200)의 사이에 배치되는 격벽판(141)(중간 플레이트)이 설치된다.
제1 반송실(103)의 광체(101)의 5매의 측벽 중 후측(後側)[배면측(背面側)]에 위치하는 4매의 측벽에는 기판에 원하는 처리를 수행하는 제1 프로세스 챔버(202a)와, 제2 프로세스 챔버(202b), 제3 프로세스 챔버(202c), 제4 프로세스 챔버(202d)가 게이트 밸브(150, 151, 152, 153)를 개재하여 각각 인접해서 연결된다. 이 프로세스 챔버(제1 프로세스 챔버(202a) 등)에 대해서는 상세를 후술한다.
〔대기측의 구성〕
예비실(122, 123) 전측에는 진공 하 및 대기압 하의 상태에서 웨이퍼(200)를 반송할 수 있는 제2 반송실(121)이 게이트 밸브(128, 129)를 개재하여 연결된다. 제2 반송실(121)에는 웨이퍼(200)를 이재하는 제2 웨이퍼 이재기(124)가 설치된다. 제2 웨이퍼 이재기(124)는 제2 반송실(121) 내에 설치된 제2 웨이퍼 이재기 엘리베이터(131)에 의해 승강되도록 구성되는 것과 함께 리니어 액츄에이터(132)에 의해 좌우 방향으로 왕복 이동되도록 구성된다.
제2 반송실(121)의 좌측에는 노치(notch) 맞춤 장치(106)가 설치된다. 또한 노치 맞춤 장치(106)는 오리엔테이션 플랫 맞춤 장치이어도 좋다. 또한 제2 반송실(121)의 상부에는 클린 에어를 공급하는 클린 유닛(118)이 설치된다.
제2 반송실(121)의 광체(125) 전측에는 웨이퍼(200)를 제2 반송실(121)에 대하여 반입 반출하기 위한 기판 반입 반출구(134)와, 포드 오프너(108)가 설치된다. 기판 반입 반출구(134)를 개재하여 포드 오프너(108)와 반대측, 즉 광체(125)의 외측에는 로드 포트(IO스테이지)(105)가 설치된다. 포드 오프너(108)는 포드(100)의 캡(100a)을 개폐하는 것과 함께 기판 반입 반출구(134)를 폐색 가능한 클로저(142)와, 클로저(142)를 구동(驅動)하는 구동 기구(136)를 구비한다. 로드 포트(105)에 재치된 포드(100)의 캡(100a)을 개폐하는 것에 의해 포드(100)에 대한 웨이퍼(200)의 출입을 가능하도록 한다. 또한 포드(100)는 도시되지 않는 공정 내 반송 장치(OHT 등)에 의해 로드 포트(105)에 대하여 공급 및 배출되도록 이루어진다.
(2) 프로세스 챔버의 구성
계속해서 본 실시 형태에 따른 처리로로서의 프로세스 챔버의 구성에 대하여 주로 도 3 내지 도 5를 이용하여 설명한다. 또한 A-A'선은 A로부터 반응 용기(203)의 중심을 통하여 A'을 향하는 절곡선(折曲線)이다.
여기서 본 실시 형태의 예컨대 제1 프로세스 챔버(202a), 제2 프로세스 챔버(202b), 제3 프로세스 챔버(202c), 제4 프로세스 챔버(202d)는 예컨대 각각 마찬가지의 구성으로 이루어진다. 이하에서는 제1 프로세스 챔버(202a), 제2 프로세스 챔버(202b), 제3 프로세스 챔버(202c), 제4 프로세스 챔버(202d)를 총칭하여 「프로세스 챔버(202)」라고 부른다.
〔처리실〕
도 3 및 도 4에 도시하는 바와 같이 처리로로서의 프로세스 챔버(202)는 원통 형상의 기밀 용기인 반응 용기(203)를 구비한다. 반응 용기(203) 내에는 웨이퍼(200)를 처리하는 처리실(201)이 형성된다.
처리실(201)은 복수의 영역으로 분할되고, 예컨대 제1 처리 영역(206a), 제1 퍼지 영역(207a), 제2 처리 영역(206b), 제3 처리 영역(206c) 및 제2 퍼지 영역(207b)를 포함한다. 후술하는 바와 같이 제1 처리 영역(206a) 내에는 원료 가스가 공급되고, 제2 처리 영역(206b) 내에는 반응 가스의 플라즈마가 생성되고, 제3 처리 영역(206c) 내에는 개질 가스의 플라즈마가 생성되고, 또한 제1 퍼지 영역(207a) 및 제2 퍼지 영역(207b)에는 불활성 가스가 공급된다. 이에 의해 각각의 영역 내에 공급되는 가스에 따라 웨이퍼(200)에 대하여 소정의 처리가 수행된다.
또한 예컨대 반응 용기(203) 내의 상측에는 중심부로부터 방사상으로 연장하는 5매의 분할 구조체로서의 경계판(205)이 설치된다. 5매의 경계판(205)은 후술하는 서셉터(217)의 회전에 의해 웨이퍼(200)가 통과 가능한 상태에서 처리실(201)을 제1 처리 영역(206a), 제1 퍼지 영역(207a), 제2 처리 영역(206b), 제3 처리 영역(206c) 및 제2 퍼지 영역(207b)으로 구분하도록(또는 분할하도록) 구성된다. 구체적으로는 처리실(201)은 복수의 경계판(205) 하에 웨이퍼(200)가 통과 가능한 극간(隙間)을 포함하고, 복수의 경계판(205)은 처리실(201) 내의 천정부(天井部)로부터 서셉터(217)의 직상(直上)까지의 공간을 차단하도록 설치된다. 경계판(205)의 하단은 경계판(205)이 웨이퍼(200)에 간섭하지 않을 정도로 서셉터(217)에 인접해서 배치된다. 이에 의해 경계판(205)과 서셉터(217) 사이를 통과하는 가스가 적어져서, 처리실(201) 내의 각각의 영역 사이에 가스가 혼합하는 것이 억제된다.
또한 경계판(205)의 수평 방향의 단부(端部)와 반응 용기(203)의 측벽 사이에는 가스를 통과할 수 있도록 소정의 폭의 극간이 설치된다. 이 극간을 개재하여 제1 퍼지 영역(207a) 내 및 제2 퍼지 영역(207b) 내로부터 제1 처리 영역(206a) 내 및 제2 처리 영역(206b) 내를 향하여 불활성 가스를 분출시킨다. 이에 의해 제1 퍼지 영역(207a) 내 및 제2 퍼지 영역(207b) 내로의 제1 가스 및 제2 가스 등의 처리 가스의 침입을 억제할 수 있고, 제1 퍼지 영역(207a) 내 및 제2 퍼지 영역(207b) 내에서의 처리 가스의 반응을 억제할 수 있다.
여기서 소정의 웨이퍼(200)가 제1 처리 영역(206a), 제1 퍼지 영역(207a), 제2 처리 영역(206b), 제3 처리 영역(206c), 제2 퍼지 영역(207b)을 통과하는 시간, 즉 각 영역에서의 웨이퍼(200)의 처리 시간은 후술하는 서셉터(217)의 회전 속도가 일정할 때, 각 영역의 넓이(용적)에 의존한다. 또한 각 영역에서의 웨이퍼(200)의 처리 시간은 후술하는 서셉터(217)의 회전 속도가 일정할 때, 제1 처리 영역(206a), 제1 퍼지 영역(207a), 제2 처리 영역(206b), 제3 처리 영역(206c), 제2 퍼지 영역(207b)의 각각의 평면시에서의 면적에 의존한다. 바꿔 말하면, 각 영역에서의 웨이퍼(200)의 처리 시간은 인접하는 경계판(205)의 각도에 의존한다.
본 실시 형태에서는 개질 가스의 플라즈마가 생성되는 제3 처리 영역(206c)은 반응 가스의 플라즈마가 생성되는 제2 처리 영역(206b)보다 넓다. 제2 처리 영역(206b) 및 제3 처리 영역(206c)을 합친 영역은 제1 처리 영역(206a)보다 넓다. 또한 반응 가스의 플라즈마가 생성되는 제2 처리 영역(206b)은 경계판(205)을 개재하여 개질 가스의 플라즈마가 생성되는 제3 처리 영역(206c)에 인접해서 설치된다. 이에 의해 플라즈마에 의한 조사(照射) 시간을 길게 할 수 있다. 이에 의해 막의 개질(불순물 제거 등)을 효율적으로 수행하는 것을 가능하게 한다.
〔서셉터〕
경계판(205)의 하측, 즉 반응 용기(203) 내의 저측(底側) 중앙에는 예컨대 반응 용기(203)의 중심에 회전축을 포함하고, 회전 가능하도록 구성되는 기판 재치대로서의 서셉터(217)가 설치된다. 서셉터(217)는 웨이퍼(200)의 금속 오염을 저감할 수 있도록 예컨대 질화알루미늄(AlN), 세라믹스, 석영 등의 비금속 재료로 형성된다. 또한 서셉터(217)와 반응 용기(203)는 전기적으로 절연된다.
서셉터(217)는 반응 용기(203) 내에 복수 매(5매)의 웨이퍼(200)를 동일면 상에 또한 회전 방향을 따라 동일원주 상에 배열하여 지지하도록 구성된다. 여기서 말하는 「동일면」이란 완전한 동일면에 한정되지 않고, 서셉터(217)를 상면에서 보았을 때에 복수 매의 웨이퍼(200)가 서로 중첩되지 않도록 배열되면 좋다.
서셉터(217) 표면에서의 웨이퍼(200)의 지지 위치에는 웨이퍼 재치부(217b)가 설치된다. 처리하는 웨이퍼(200)의 매수와 동일한 매수의 웨이퍼 재치부(217b)가 서셉터(217)의 중심으로부터 동심원 상의 위치에 서로 등간격(예컨대 72°의 간격)으로 배치된다.
각각의 웨이퍼 재치부(217b)는 예컨대 서셉터(217)의 상면에서 보았을 때 원 형상이며, 측면에서 보았을 때 요(凹) 형상이다. 웨이퍼 재치부(217b)의 지름은 웨이퍼(200)의 지름보다 근소하게 커지도록 구성하는 것이 바람직하다. 이 웨이퍼 재치부(217b) 내에 웨이퍼(200)를 재치하는 것에 의해 웨이퍼(200)의 위치 결정을 용이하게 수행할 수 있고, 또한 서셉터(217)의 회전에 따른 원심력에 의해 웨이퍼(200)가 서셉터(217)로부터 돌출하는 등의 웨이퍼(200)의 위치 어긋남이 발생하는 것을 억제할 수 있다.
서셉터(217)에는 서셉터(217)를 승강시키는 승강 기구(268)가 설치된다. 서셉터(217)의 각 웨이퍼 재치부(217b)의 위치에는 관통공(217a)이 복수 설치된다. 전술한 반응 용기(203)의 저면(底面)에는 반응 용기(203) 내로의 웨이퍼(200)의 반입·반출 시에 웨이퍼(200)를 승강시키고 웨이퍼(200)의 이면(裏面)을 지지하는 웨이퍼 승강핀(266)이 복수 설치된다. 관통공(217a) 및 웨이퍼 승강핀(266)은 웨이퍼 승강핀(266)을 상승시켰을 때, 또는 승강 기구(268)에 의해 서셉터(217)를 하강시켰을 때에 웨이퍼 승강핀(266)이 서셉터(217)와는 접촉하지 않는 상태에서 관통공(217a)을 통과하도록 서로 배치된다.
승강 기구(268)에는 복수의 웨이퍼(200)가 순차적으로 제1 처리 영역(206a), 제1 퍼지 영역(207a), 제2 처리 영역(206b), 제3 처리 영역(206c) 및 제2 퍼지 영역(207b)을 통과하도록 서셉터(217)를 회전시키는 회전 기구(267)가 설치된다. 회전 기구(267)의 회전축(도시되지 않음)은 서셉터(217)에 접속되고, 서셉터(217)를 회전시키는 것에 의해 5개의 웨이퍼 재치부(217b)가 일괄하여 회전되도록 구성된다.
또한 회전 기구(267)에는 후술하는 컨트롤러(300)가 커플링부(267a)를 개재하여 접속된다. 커플링부(267a)는 예컨대 회전측과 고정측 사이를 금속 브러쉬 등에 의해 전기적으로 접속하는 슬립링 기구로서 구성된다. 이에 의해 서셉터(217)의 회전이 저해되지 않도록 이루어진다.
〔가열부〕
서셉터(217)의 내부에는 가열부로서의 히터(218)가 일체적으로 매립되어, 히웨이퍼(200)가 가열될 수 있도록 구성된다. 히터(218)는 웨이퍼(200)의 표면을 소정 온도(예컨대 실온 내지 1,000℃정도)까지 가열 가능하도록 구성된다. 또한 히터(218)는 서셉터(217)에 재치된 각각의 웨이퍼(200)를 개별로 가열하도록 구성되어도 좋다.
서셉터(217)에는 온도 센서(249)가 설치된다. 히터(218) 및 온도 센서(249)에는 전력 공급선(222)를 개재하여 전력 조정기(224), 히터 전원(225) 및 온도 조정기(223)가 전기적으로 접속된다.
〔가스 도입부〕
도 3 및 도 4에 도시되는 바와 같이 반응 용기(203)의 천정부의 중앙부에는 제1 가스 도입부(281), 제2 가스 도입부(282), 불활성 가스 도입부(285) 및 클리닝 가스 도입부(289)를 구비하는 가스 도입부(280)가 설치된다. 가스 도입부(280)의 상단은 반응 용기(203)의 천정부에 개설(開設)된 개구(開口)에 기밀하게 접속된다.
가스 도입부(280)는 예컨대 통 형상이다. 가스 도입부(280)의 내부에는 각 가스 도입부가 구획된다. 구체적으로는 가스 도입부(280) 내의 제1 처리 영역(206a) 측에는 제1 가스 도입부(281)가 설치된다. 가스 도입부(280) 내의 제2 처리 영역(206b) 측에는 제1 가스 도입부(281)로부터 이간하여 제2 가스 도입부(282)가 설치된다. 가스 도입부(280) 내의 제3 처리 영역(206c) 측에는 제1 가스 도입부(281) 및 제2 가스 도입부(282)로부터 이간하여 제3 가스 도입부(283)가 설치된다. 가스 도입부(280) 내 중 제1 가스 도입부(281), 제2 가스 도입부(282) 및 제3 가스 도입부(283) 사이에는 불활성 가스 도입부(285)가 설치된다. 또한 가스 도입부(280)의 중앙에는 클리닝 가스 도입부(289)가 설치된다.
제1 가스 도입부(281)의 제1 처리 영역(206a) 측의 측벽에는 제1 처리 영역(206a)에 개구하는 제1 가스 분출구(251)가 설치된다. 제2 가스 도입부(282)의 제2 처리 영역(206b) 측의 측벽에는 제2 처리 영역(206b)에 개구하는 제2 가스 분출구(252)가 설치된다. 제3 가스 도입부(283)의 제3 처리 영역(206c) 측의 측벽에는 제3 처리 영역(206c)에 개구하는 제3 가스 분출구(253)가 설치된다.
불활성 가스 도입부(285)의 제1 퍼지 영역(207a) 측 및 제2 퍼지 영역(207b) 측에서의 측벽에는 각각 제1 퍼지 영역(207a)에 개구하는 제1 불활성 가스 분출구(256), 제2 퍼지 영역(207b)에 개구하는 제2 불활성 가스 분출구(257)가 설치된다.
가스 도입부(280)의 저부(底部)에는 클리닝 가스 도입부(289)의 단부인 클리닝 가스 공급공(259)이 설치된다. 즉 클리닝 가스 공급공(259)은 제1 가스 분출구(251), 제2 가스 분출구(252), 제3 가스 분출구(253), 각 불활성 가스 분출구(256, 257)보다 낮은 위치에 설치된다.
또한 본 실시 형태에서는 후술하는 각각의 플라즈마 생성부에도 가스가 공급되도록 가스 도입부가 설치된다.
반응 용기(203)의 제2 처리 영역(206b)에서의 천정부에는 제1 플라즈마 생성부측 가스 도입부(286)가 설치된다. 제1 플라즈마 생성부측 가스 도입부(286)의 상단은 반응 용기(203)의 천정에 개설된 개구에 기밀하게 접속된다. 제1 플라즈마 생성부측 가스 도입부(286)의 하단은 후술하는 반응 가스 플라즈마 생성부(270a)의 상부에 접속된다. 반응 가스 플라즈마 생성부(270a) 내에는 후술하는 한 쌍의 봉 형상의 전극(271a)의 연재 방향을 따라 가스 도입로(도시되지 않음)가 설치된다. 가스 도입로에는 서로 등간격으로 복수의 가스 분출구(도시되지 않음)가 설치된다. 이에 의해 반응 가스 플라즈마 생성부(270a)는 플라즈마를 생성할 때에 제1 플라즈마 생성부측 가스 도입부(286)로부터 제2 처리 영역(206b) 내에 반응 가스를 공급하도록 구성된다.
또한 제1 플라즈마 생성부측 가스 도입부(286)와 마찬가지로 반응 용기(203)의 제3 처리 영역(206c)에서의 천정부에는 제2 플라즈마 생성부측 가스 도입부(287)가 설치된다. 후술하는 개질 가스 플라즈마 생성부(270b)는 플라즈마를 생성할 때에 제2 플라즈마 생성부측 가스 도입부(287)로부터 가스 도입로(도시되지 않음) 및 가스 분출구(도시되지 않음)를 개재하여 제3 처리 영역(206c) 내에 반응 가스를 공급하도록 구성된다.
〔처리 가스 공급계〕
도 5에 도시하는 바와 같이 제1 가스 도입부(281)의 상단에는 제1 가스 공급관(231a)의 하류단이 접속된다. 제1 가스 공급관(231a)에는 상류 방향부터 순서대로 원료 가스 공급원(231b), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(MFC)(231c) 및 개폐 밸브인 밸브(231d)가 설치된다.
제1 가스 공급관(231a)으로부터 MFC(231c), 밸브(231d), 제1 가스 도입부(281) 및 제1 가스 분출구(251)를 개재하여 제1 원소를 함유하는 가스(이하, 제1 원소 함유 가스)가 제1 처리 영역(206a) 내에 공급된다. 본 실시 형태에서는 제1 원소 함유 가스를 원료 가스로서 이용한다.
여기서 말하는 「원료 가스」란 처리 가스 중 하나이며, 박막 형성 시에 원료가 되는 가스다. 원료 가스는 박막을 구성하는 제1 원소로서 예컨대 티타늄(Ti), 탄탈(Ta), 실리콘(Si), 하프늄(Hf), 지르코늄(Zr), 루테늄(Ru), 니켈(Ni), 니오브(Nb), 알루미늄(Al), 몰리브덴(Mo) 및 텅스텐(W) 중 적어도 어느 하나를 포함한다.
금속계 박막을 형성하는 경우, 원료로서 예컨대 티타늄테트라클로라이드(TiCl4) 가스, 티타늄테트라플루오라이드(TiF4) 가스, 지르코늄테트라클로라이드(ZrCl4) 가스, 지르코늄테트라플루오라이드(ZrF4) 가스, 하프늄테트라클로라이드(HfCl4) 가스, 하프늄테트라플루오라이드(HfF4) 가스, 탄탈펜타클로라이드(TaCl5) 가스, 탄탈펜타플루오라이드(TaF5) 가스, 니오븀펜타클로라이드(NbCl5) 가스, 니오븀펜타플루오라이드(NbF5) 가스, 알루미늄트리클로라이드(AlCl3) 가스, 알루미늄트리플루오라이드(AlF3) 가스, 몰리브덴펜타클로라이드(MoCl5) 가스, 몰리브덴펜타플루오라이드(MoF5) 가스, 텅스텐헥사클로라이드(WCl6) 가스, 텅스텐헥사플루오라이드(WF6) 가스 등의 금속 원소 및 할로겐 원소를 포함하는 무기 금속 가스를 이용할 수 있다. 또한 원료로서 예컨대 트리메틸알루미늄[Al(CH3)3, 약칭: TMA] 가스 등의 금속 원소 및 C를 포함하는 유기 금속 가스를 이용할 수도 있다.
Si함유 막을 형성하는 경우, 원료 가스로서 예컨대 디클로로실란(SiH2Cl2, 약칭: DCS) 가스, 모노클로로실란(SiH3Cl, 약칭: MCS) 가스, 헥사클로로디실란(Si2Cl6, 약칭: HCDS) 가스, 테트라클로로실란, 즉 실리콘테트라클로라이드(SiCl4, 약칭: STC) 가스, 트리클로로실란(SiHCl3, 약칭: TCS) 가스, 테트라플루오로실란(SiF4, 약칭: TFS) 가스, 헥사플루오로디실란(Si2F6, 약칭: HFDS) 가스, 트리실란(Si3H8, 약칭: TS) 가스, 디실란(Si2H6, 약칭: DS) 가스, 모노실란(SiH4, 약칭: MS) 가스 등의 무기 원료 가스나, 아미노실란, TSA가스, 테트라키스디메틸아미노실란(Si[N(CH3)2]4, 약칭: 4DMAS) 가스, 트리스디메틸아미노실란(Si[N(CH3)2]3H, 약칭: 3DMAS) 가스, 비스디에틸아미노실란(Si[N(C2H5)2]2H2, 약칭: BDEAS) 가스, 비스터셔리부틸아미노실란(SiH2[NH(C4H9)]2, 약칭: BTBAS) 가스 등의 유기 원료 가스를 이용할 수 있다.
또한 원료 가스는 박막을 구성하는 원소 외에 박막을 형성할 때에 탈리(脫離)하는 배위자(配位子) 등을 포함하고, 예컨대 할로겐기(基)를 포함한다. 기판 상에 흡착한 원료 가스와 후술하는 반응 가스의 플라즈마의 반응이 불충분할 때, 이 할로겐기가 잔류물(잔류 원소)로서 박막 중에 잔류할 가능성이 있다.
또한 본 실시 형태에서는 제1 가스 공급관(231a)으로부터 소정 원소(제1 원소)를 포함하는 원료로서 예컨대 소정 원소로서의 티타늄(Ti)을 포함하는 티타늄 함유 가스가 MFC(231c), 밸브(231d), 제1 가스 도입부(281) 및 제1 가스 분출구(251)를 개재하여 제1 처리 영역(206a)에 공급되도록 구성된다.
구체적으로는 본 실시 형태에서 원료 가스는 예컨대 TiCl4가스다. 원료 가스 원료가 TiCl4과 같이 상온에서 액체인 경우, MFC(231c)는 액체용 매스 플로우 컨트롤러이며, MFC(231c) 및 밸브(231d) 사이에는 기화기(231v)가 설치된다. 또한 원료 가스 원료가 상온에서 기체인 경우, MFC(231c)는 기체용 매스 플로우 컨트롤러이며, 기화기(231v)는 불필요하다.
주로 제1 가스 공급관(231a), MFC(231c), 밸브(231d), 제1 가스 도입부(281) 및 제1 가스 분출구(251)에 의해 원료 가스 공급계(제1 가스 공급계)가 구성된다. 또한 원료 가스 공급원(231b), 기화기(231v)를 원료 가스 공급계에 포함시켜서 생각해도 좋다.
또한 제2 가스 도입부(282)의 상단에는 제2 가스 공급관(232a)의 하류단이 접속된다. 제2 가스 공급관(232a)에는 상류 방향부터 순서대로 제2 가스 공급원(232b), MFC(232c) 및 밸브(232d)가 설치된다.
또한 제2 가스 공급관(232a)의 밸브(232d)보다 하류측에는 제1 플라즈마 생성부측 가스 공급관(232e)의 상류단이 접속된다. 제1 플라즈마 생성부측 가스 도입부(286)의 상단에는 제1 플라즈마 생성부측 가스 공급관(232e)의 하류단이 접속된다. 제1 플라즈마 생성부측 가스 공급관(232e)에는 개폐 밸브인 밸브(232f)가 설치된다.
제2 가스 공급관(232a)으로부터 MFC(232c), 밸브(232d), 제2 가스 도입부(282) 및 제2 가스 분출구(252)를 개재하여, 또는 제1 플라즈마 생성부측 가스 공급관(232e), 밸브(232f), 반응 가스 플라즈마 생성부(270a) 내의 가스 도입로 및 가스 분출구를 개재하여, 제2 원소를 함유하는 가스(이하, 제2 원소 함유 가스)가 제2 처리 영역(206b) 내에 공급된다. 제2 원소 함유 가스는 반응 가스로서 이용된다. 반응 가스는 반응 가스 플라즈마 생성부(270a)에 의해 플라즈마 상태가 되고, 웨이퍼(200) 상에 조사된다.
여기서 말하는 「제2 원소 함유 가스」란 처리 가스 중 하나이며, 후술하는 바와 같이 플라즈마 상태가 되어 웨이퍼(200) 상에 원료 가스에 의해 형성된 제1 원소 함유층(이후, 제1층으로 생략하는 경우가 있다)과 반응하는 가스다. 제2 원소 함유 가스는 제1 원소와 다른 제2 원소를 함유한다. 제2 원소로서는 예컨대 산소(O), 질소(N), 탄소(C) 중 어느 하나 또는 그 조합이다. 예컨대 제2 원소 함유 가스(반응 가스)는 암모니아(NH3) 가스, 질소(N2) 가스, 수소(H2) 가스 및 산소(O2) 가스 중 적어도 어느 하나 또는 이들의 조합을 들 수 있다. 본 실시 형태에서는 제2 원소 함유 가스(반응 가스)는 예컨대 질소(N) 함유 가스로 한다.
본 실시 형태에서는 제2 가스 공급관(232a)으로부터 전술한 소정 원소와는 다른 원소(제2 원소 내지 제4 원소)를 포함하는 리액턴트로서 예컨대 반응 가스로서의 질소 함유 가스가 MFC(232c), 밸브(232d), 제2 가스 도입부(282) 및 제2 가스 분출구(252)를 개재하여, 또는 제1 플라즈마 생성부측 가스 공급관(232e), 밸브(232f), 반응 가스 플라즈마 생성부(270a) 내의 가스 도입로 및 가스 분출구를 개재하여, 제2 처리 영역(206b)에 공급되도록 구성된다. N함유 가스로서는 예컨대 질화수소계 가스를 이용할 수 있다. 질화수소계 가스는 N 및 H의 2원소만으로 구성되는 물질이라고도 할 수 있으며, 후술하는 기판 처리 공정에서 질화 가스, 즉 N소스로서 작용한다. 질화수소계 가스로서는 예컨대 암모니아(NH3) 가스를 이용할 수 있다.
질화수소계 가스로서 암모니아 가스 외에 예컨대 디아젠(N2H2) 가스, 히드라진(N2H4) 가스, N3H8가스 등의 질화수소계 가스나, 이들의 화합물을 포함하는 가스 등을 이용할 수 있다.
주로 제2 가스 공급관(232a), MFC(232c), 밸브(232d), 제2 가스 도입부(282), 제2 가스 분출구(252), 제1 플라즈마 생성부측 가스 공급관(232e) 및 밸브(232f)에 의해 반응 가스 공급계(제2 가스 공급계)가 구성된다. 또한 제2 가스 공급원(232b) 및 반응 가스 플라즈마 생성부(270a) 내의 가스 도입로 및 가스 분출구를 반응 가스 공급계에 포함시켜서 생각해도 좋다.
또한 제3 가스 도입부(283)의 상단에는 제3 가스 공급관(233a)의 하류단이 접속된다. 제3 가스 공급관(233a)에는 상류 방향부터 순서대로 제3 가스 공급원(233b), MFC(233c) 및 밸브(233d)가 설치된다.
또한 제3 가스 공급관(233a)의 밸브(233d)보다 하류측에는 제2 플라즈마 생성부측 가스 공급관(233e)의 상류단이 접속된다. 제2 플라즈마 생성부측 가스 도입부(287)의 상단에는 제2 플라즈마 생성부측 가스 공급관(233e)의 하류단이 접속된다. 제2 플라즈마 생성부측 가스 공급관(233e)에는 개폐 밸브인 밸브(233f)가 설치된다.
제3 가스 공급관(233a)으로부터 MFC(233c), 밸브(233d), 제3 가스 도입부(283) 및 제3 가스 분출구(253)를 개재하여, 또는 제2 플라즈마 생성부측 가스 공급관(233e), 밸브(233f), 개질 가스 플라즈마 생성부(270b) 내의 가스 도입로 및 가스 분출구를 개재하여, 개질 가스가 제3 처리 영역(206c) 내에 공급된다. 개질 가스는 개질 가스 플라즈마 생성부(270b)에 의해 플라즈마 상태가 되어 웨이퍼(200) 상에 조사된다.
여기서 말하는 「개질 가스」란 처리 가스 중 하나이며, 후술하는 바와 같이 플라즈마 상태가 되어 원료 가스 및 반응 가스에 의해 형성된 제2층을 개질하는 가스다. 개질 가스는 예컨대 H2가스, N2가스, O2가스, He가스 및 Ar가스 중 적어도 어느 하나 또는 그 조합이다. 또한 개질 가스는 이들 가스 중에서 반응 가스와 다른 가스다. 여기서 개질 가스는 예컨대 H2가스다.
주로 제3 가스 공급관(233a), MFC(233c), 밸브(233d), 제3 가스 도입부(283), 제3 가스 분출구(253), 제2 플라즈마 생성부측 가스 공급관(233e) 및 밸브(233f)에 의해 개질 가스 공급계(제3 가스 공급계)가 구성된다. 또한 제3 가스 공급원(233b) 및 개질 가스 플라즈마 생성부(270b) 내의 가스 도입로 및 가스 분출구를 개질 가스 공급계에 포함시켜서 생각해도 좋다.
이상과 같이 주로 원료 가스 공급계, 반응 가스 공급계 및 개질 가스 공급계에 의해 처리 가스 공급계가 구성된다.
〔불활성 가스 공급계〕
불활성 가스 도입부(285)의 상단에는 제1 불활성 가스 공급관(299a)의 하류단이 접속된다. 제1 불활성 가스 공급관(299a)에는 상류 방향부터 순서대로 불활성 가스 공급원(299b), MFC(299c) 및 밸브(299d)가 설치된다. 제1 불활성 가스 공급관(299a)으로부터는 MFC(299c), 밸브(299d), 불활성 가스 도입부(285), 제1 불활성 가스 분출구(256) 및 제2 불활성 가스 분출구(257)를 개재하여 불활성 가스가 제1 퍼지 영역(207a) 내 및 제2 퍼지 영역(207b) 내에 각각 공급된다. 제1 퍼지 영역(207a) 내 및 제2 퍼지 영역(207b) 내에 공급되는 불활성 가스는 퍼지 가스로서 작용한다.
또한 제1 가스 공급관(231a)의 밸브(231d)보다 하류측에는 제2 불활성 가스 공급관(291a)의 하류단이 접속된다. 제2 불활성 가스 공급관(291a)에는 상류 방향부터 순서대로 불활성 가스 공급원(291b), MFC(291c) 및 밸브(291d)가 설치된다. 제2 불활성 가스 공급관(291a)으로부터는 MFC(291c), 밸브(291d), 제1 가스 공급관(231a), 제1 가스 도입부(281) 및 제1 가스 분출구(251)를 개재하여 불활성 가스가 제1 처리 영역(206a) 내에 공급된다. 제1 처리 영역(206a) 내에 공급되는 불활성 가스는 캐리어 가스 또는 희석 가스로서 작용한다.
또한 제2 가스 공급관(232a)의 밸브(232d)보다 하류측에는 제3 불활성 가스 공급관(292a)의 하류단이 접속된다. 제3 불활성 가스 공급관(292a)에는 상류 방향부터 순서대로 불활성 가스 공급원(292b), MFC(292c) 및 밸브(292d)가 설치된다. 제3 불활성 가스 공급관(292a)으로부터는 MFC(292c), 밸브(292d), 제2 가스 공급관(232a), 제2 가스 도입부(282) 및 제2 가스 분출구(252), 또는 제1 플라즈마 생성부측 가스 공급관(232e), 밸브(232f), 반응 가스 플라즈마 생성부(270a) 내의 가스 도입로 및 가스 분출구를 개재하여, 불활성 가스가 제2 처리 영역(206b) 내에 공급된다. 제2 처리 영역(206b) 내에 공급되는 불활성 가스는 제1 처리 영역(206a) 내에 공급되는 불활성 가스와 마찬가지로 캐리어 가스 또는 희석 가스로서 작용한다.
또한 제3 가스 공급관(233a)의 밸브(233d)보다 하류측에는 제4 불활성 가스 공급관(293a)의 하류단이 접속된다. 제4 불활성 가스 공급관(293a)에는 상류 방향부터 순서대로 불활성 가스 공급원(293b), MFC(293c) 및 밸브(293d)가 설치된다. 제4 불활성 가스 공급관(293a)으로부터는 MFC(293c), 밸브(293d), 제3 가스 공급관(233a), 제3 가스 도입부(283) 및 제3 가스 분출구(253), 또는 제2 플라즈마 생성부측 가스 공급관(233e), 밸브(233f), 개질 가스 플라즈마 생성부(270b) 내의 가스 도입로 및 가스 분출구를 개재하여, 불활성 가스가 제3 처리 영역(206c) 내에 공급된다. 제3 처리 영역(206c) 내에 공급되는 불활성 가스는 제1 처리 영역(206a) 내에 공급되는 불활성 가스와 마찬가지로 캐리어 가스 또는 희석 가스로서 작용한다.
여기서 「불활성 가스」는 예컨대 질소(N2) 가스, 헬륨(He) 가스, 네온(Ne) 가스, 아르곤(Ar) 가스 등의 희가스 중 적어도 어느 하나다. 여기서 불활성 가스는 예컨대 N2가스다.
주로 제1 불활성 가스 공급관(299a), MFC(299c) 및 밸브(299d), 불활성 가스 도입부(285), 제1 불활성 가스 분출구(256) 및 제2 불활성 가스 분출구(257)에 의해 제1 불활성 가스 공급계가 구성된다. 또한 불활성 가스 공급원(299b)을 제1 불활성 가스 공급계에 포함시켜서 생각해도 좋다.
또한 주로 제2 불활성 가스 공급관(291a), MFC(291c) 및 밸브(291d)에 의해 제2 불활성 가스 공급계가 구성된다. 또한 불활성 가스 공급원(291b), 제1 가스 공급관(231a), 제1 가스 도입부(281) 및 제1 가스 분출구(251)를 제2 불활성 가스 공급계에 포함시켜서 생각해도 좋다.
또한 주로 제3 불활성 가스 공급관(292a), MFC(292c) 및 밸브(292d)에 의해 제3 불활성 가스 공급계가 구성된다. 또한 불활성 가스 공급원(292b), 제2 가스 공급관(232a), 제2 가스 도입부(282), 제2 가스 분출구(252), 제1 플라즈마 생성부측 가스 공급관(232e), 밸브(232f), 반응 가스 플라즈마 생성부(270a) 내의 가스 도입로 및 가스 분출구를 제3 불활성 가스 공급계에 포함시켜서 생각해도 좋다.
또한 주로 제4 불활성 가스 공급관(293a), MFC(293c) 및 밸브(293d)에 의해 제4 불활성 가스 공급계가 구성된다. 또한 불활성 가스 공급원(293b), 제3 가스 공급관(233a), 제3 가스 도입부(283), 제3 가스 분출구(253), 제2 플라즈마 생성부측 가스 공급관(233e), 밸브(233f), 개질 가스 플라즈마 생성부(270b) 내의 가스 도입로 및 가스 분출구를 제4 불활성 가스 공급계에 포함시켜서 생각해도 좋다.
주로 제1 불활성 가스 공급계, 제2 불활성 가스 공급계, 제3 불활성 가스 공급계 및 제4 불활성 가스 공급계에 의해 불활성 가스 공급계가 구성된다.
〔클리닝 가스 공급계〕
본 실시 형태의 기판 처리 장치(10)는 클리닝 가스 공급계를 포함해도 좋다. 클리닝 가스 도입부(289)의 상단에는 예컨대 클리닝 가스 공급관(239a)의 하류단이 접속된다. 클리닝 가스 공급관(239a)에는 상류 방향부터 순서대로 클리닝 가스 공급원(239b), MFC(239c), 밸브(239d) 및 클리닝 가스 플라즈마를 생성하는 리모트 플라즈마 생성 유닛(239e)이 설치된다.
클리닝 가스 공급관(239a)으로부터 MFC(239c), 밸브(239d), 리모트 플라즈마 생성 유닛(239e), 클리닝 가스 도입부(289), 클리닝 가스 공급공(259)을 개재하여 클리닝 가스가 반응 용기(203) 내에 공급된다. 클리닝 가스는 리모트 플라즈마 생성 유닛(239e)에 의해 플라즈마 상태가 된 클리닝 가스에 의해 반응 용기(203) 내의 부생성물 등이 클리닝된다. 또한 클리닝 가스는 예컨대 3불화질소(NF3) 가스, 불화수소(HF) 가스, 3불화염소가스(ClF3) 가스, 불소(F2) 가스 중 적어도 어느 하나다.
〔배기계〕
도 4에 도시되는 바와 같이 반응 용기(203)의 저부에는 반응 용기(203) 내를 배기하는 배기구(240)가 설치된다. 예컨대 배기구(240)는 복수 설치되고, 제1 처리 영역(206a), 제1 퍼지 영역(207a), 제2 처리 영역(206b), 제3 처리 영역(206c) 및 제2 퍼지 영역(207b)의 각각의 저부에 설치된다.
각각의 배기구(240)에는 배기관(241)의 상류단이 접속된다. 예컨대 각각의 배기구(240)에 접속된 배기관(241)은 하류측에서 하나로 합류된다. 배기관(241)의 합류 부분보다 하류측에는 압력 센서(248), 압력 조정기(압력 조정부)로서의 APC(Auto Pressure Controller)밸브(243) 및 개폐 밸브로서의 밸브(245)를 개재하여 진공 배기 장치로서의 진공 펌프(246)가 접속되고, 처리실(201) 내의 압력이 소정의 압력(진공도)이 되도록 진공 배기할 수 있도록 구성된다. APC밸브(243)는 밸브를 개폐하여 처리실(201) 내의 진공 배기나 진공 배기 정지를 할 수 있고 또한 밸브의 개도(開度)를 조절하여 처리실(201) 내의 압력을 조정 가능하도록 이루어진 개폐 밸브다. 주로 배기관(231), APC밸브(243) 및 밸브(245)에 의해 배기계가 구성된다. 또한 배기계에는 압력 센서(248) 및 진공 펌프(246)를 포함시켜도 좋다.
〔플라즈마 생성부〕
도 3 및 도 4에 도시하는 바와 같이 제2 처리 영역(206b) 내의 상방(上方)에는 반응 가스 플라즈마 생성부(270a)의 적어도 일부가 설치된다. 반응 가스 플라즈마 생성부(270a)는 제2 처리 영역(206b) 내에 반응 가스의 플라즈마를 생성하도록 구성된다. 이와 같이 플라즈마를 이용하는 것에 의해 웨이퍼(200)의 온도가 저온이어도 반응 가스를 활성화시켜 웨이퍼(200)의 처리를 수행할 수 있다.
제2 처리 영역(206b) 내에는 예컨대 서로 수평 방향으로 배열된 한 쌍의 봉 형상의 전극(271a)이 설치된다. 한 쌍의 전극(271a)은 예컨대 석영제의 커버(274a)로 피복된다. 반응 가스 플라즈마 생성부(270a)의 커버(274a) 내에는 전술한 반응 가스의 도입로가 설치된다.
한 쌍의 전극(271a)에는 임피던스를 조정하는 정합기(272a)를 개재하여 고주파 전원(273a)이 접속된다. 고주파 전원(273a)으로부터 전극(271a)에 고주파 전력이 인가되는 것에 의해 한 쌍의 전극(271a)의 주변에 플라즈마가 생성된다. 또한 주로 한 쌍의 전극(271a)의 직하에 플라즈마가 생성된다. 이와 같이 반응 가스 플라즈마 생성부(270a)는 이른바 용량 결합형의 플라즈마를 생성한다.
예컨대 반응 가스 플라즈마 생성부(270a)의 한 쌍의 전극(271a)은 평면시에서 반응 용기(203)의 중심으로부터 외측을 향하는 지름 방향을 따라 설치되고, 또한 웨이퍼(200)의 상면과 평행으로 설치된다. 한 쌍의 전극(271a)은 웨이퍼(200)가 통과하는 경로 상에 배치되고, 또한 예컨대 평면시에서 제2 처리 영역(206b)의 중심과 중첩되도록 배치된다. 한 쌍의 전극(271a)의 길이 방향의 길이는 웨이퍼(200)의 지름보다 길다. 이에 의해 한 쌍의 전극(271a)의 직하를 통과하는 웨이퍼(200)의 모든 면에 순차적으로 플라즈마가 조사된다.
주로 한 쌍의 전극(271a)에 의해 반응 가스 플라즈마 생성부(270a)가 구성된다. 또한 정합기(272a) 및 고주파 전원(273a)을 반응 가스 플라즈마 생성부(270a)에 포함시켜서 생각해도 좋다.
또한 도 3에 도시되는 바와 같이 제3 처리 영역(206c) 내의 상방에는 개질 가스 플라즈마 생성부(270b)의 적어도 일부가 설치된다. 도 3에 도시되는 바와 같이 본 실시 형태에서 개질 가스 플라즈마 생성부(270b)는 반응 가스 플라즈마 생성부(270a)와 마찬가지의 구성을 가지고, 주로 한 쌍의 전극(271b)에 의해 구성된다. 단, 반응 가스 플라즈마 생성부(270a)와 개질 가스 플라즈마 생성부(270b)의 구성은 다른 구성으로 해도 좋다.
개질 가스 플라즈마 생성부(270b)의 한 쌍의 전극(271b)에는 정합기(272b)를 개재하여 고주파 전원(273b)이 접속된다. 정합기(272b) 및 고주파 전원(273b)은 반응 가스 플라즈마 생성부(270a)의 정합기(272a) 및 고주파 전원(273a)과는 별도로 설치된다. 또한 이 정합기(272b) 및 고주파 전원(273b)을 개질 가스 플라즈마 생성부(270b)에 포함시켜서 생각해도 좋다.
〔제어부〕
다음으로 도 6을 이용하여 본 실시 형태의 제어부(제어 수단)인 컨트롤러(300)에 대하여 설명한다.
도 6에 도시되는 바와 같이 제어부(제어 수단)인 컨트롤러(300)는 CPU(301a)(Central Processing Unit), RAM(301b)(Random Access Memory), 기억 장치(301c), I/O 포트(301d)를 구비한 컴퓨터로서 구성된다. RAM(301b), 기억 장치(301c), I/O 포트(301d)는 내부 버스(301e)를 개재하여 CPU(301a)와 데이터 교환 가능하도록 구성된다. 컨트롤러(300)에는 예컨대 터치패널 등으로서 구성된 입출력 장치(302)가 접속된다.
기억 장치(301c)는 예컨대 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성된다. 기억 장치(301c) 내에는 기판 처리 장치(10)의 동작을 제어하는 제어 프로그램이나, 후술하는 성막 처리 등의 기판 처리의 순서나 조건 등이 기재된 프로세스 레시피가 판독 가능하도록 격납된다. 또한 프로세스 레시피는 후술하는 기판 처리 공정에서의 각 순서를 컨트롤러(300)에 실행시켜 소정의 결과를 얻을 수 있도록 조합된 것이며, 프로그램으로서 기능한다. 이하, 이 프로세스 레시피나 제어프로그램 등을 총칭하여 단순히 프로그램이라고도 부른다. 또한 본 명세서에서 프로그램이라는 단어를 이용한 경우는 프로세스 레시피 단체(單體)만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우 또는 그 양방(兩方)을 포함하는 경우가 있다. 또한 RAM(301b)은 CPU(301a)에 의해 판독된 프로그램이나 데이터 등이 일시적으로 보지(保持)되는 메모리 영역(work area)으로서 구성된다.
I/O 포트(301d)는 전술한 MFC(231c 내지 233c, 239c, 291c 내지 293c, 299c), 밸브(231d 내지 233d, 239d, 291d 내지 293d, 299d), 압력 센서(248), APC밸브(243), 진공 펌프(246), 히터(218), 온도 센서(249), 반응 가스 플라즈마 생성부(270a)의 정합기(272a) 및 고주파 전원(273a), 개질 가스 플라즈마 생성부(270b)의 정합기(272b) 및 고주파 전원(273b), 회전 기구(267), 승강 기구(268) 등에 접속된다. 또한 I/O 포트(301d)는 도시되지 않는 전력 조정기(224), 히터 전원(225) 및 온도 조정기(223)에도 접속된다.
CPU(301a)는 기억 장치(301c)로부터 제어프로그램을 판독하여 실행하는 것과 함께, 입출력 장치(302)로부터의 조작 커맨드의 입력 등에 따라 기억 장치(301c)로부터 프로세스 레시피를 판독하도록 구성된다. 그리고 CPU(301a)는 판독한 프로세스 레시피의 내용을 따르도록 MFC(231c 내지 233c, 239c, 291c 내지 293c, 299c)에 의한 각종 가스의 유량 조정 동작, 밸브(231d 내지 233d, 239d, 291d 내지 293d, 299d)의 개폐 동작, APC밸브(243)의 개폐 동작 및 압력 센서(248)에 기초하는 APC밸브(243)에 의한 압력 조정 동작, 온도 센서(249)에 기초하는 히터(218)의 온도 조정 동작, 진공 펌프(246)의 기동 및 정지, 회전 기구(267)에 의한 서셉터(217)의 회전 및 회전 속도 조절 동작, 승강 기구(268)에 의한 서셉터(217)의 승강 동작, 반응 가스 플라즈마 생성부(270a) 및 개질 가스 플라즈마 생성부(270b)의 각각의 고주파 전원(273a, 273b)에 의한 전력 공급 및 정지, 및 정합기(272a, 272b)에 의한 임피던스 조정 동작 등을 제어하도록 구성된다.
또한 컨트롤러(300)는 전용의 컴퓨터로서 구성되는 경우에 한정되지 않고, 범용의 컴퓨터로서 구성되어도 좋다. 예컨대 전술한 프로그램을 격납한 외부 기억 장치(303)[예컨대 자기(磁氣) 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD등의 광(光)디스크, MO 등의 광자기 디스크, USB메모리나 메모리 카드 등의 반도체 메모리]를 준비하고, 이와 같은 외부 기억 장치(303)를 이용하여 범용의 컴퓨터에 프로그램을 인스톨하는 것 등에 의해 본 실시 형태에 따른 컨트롤러(300)를 구성할 수 있다. 또한 컴퓨터에 프로그램을 공급하기 위한 수단은 외부 기억 장치(303)를 개재하여 공급하는 경우에 한정되지 않는다. 예컨대 인터넷이나 전용 회선 등의 통신 수단을 이용하여 외부 기억 장치(303)를 개재하지 않고 프로그램을 공급해도 좋다. 또한 기억 장치(301c)나 외부 기억 장치(303)는 컴퓨터 판독 가능한 기록 매체로서 구성된다. 이하, 이들을 총칭하여 단순히 기록 매체라고도 부른다. 또한 본 명세서에서 기록 매체라는 단어를 이용한 경우는 기억 장치(301c) 단체만을 포함하는 경우, 외부 기억 장치(303) 단체만을 포함하는 경우 또는 그 양방을 포함하는 경우가 있다.
(3) 기판 처리 공정
다음으로 도 7 및 도 8을 이용하여 제1 실시 형태에 따른 기판 처리 공정에 대하여 설명한다. 이하의 설명에서 기판 처리 장치(10)의 프로세스 챔버(202)의 구성 각(各) 부(部)의 동작은 컨트롤러(300)에 의해 제어된다.
여기서는 원료 가스로서 TiCl4가스를 이용하고, 반응 가스로서 NH3가스를 이용하고, 개질 가스로서 H2가스를 이용하여, 웨이퍼(200) 상에 박막으로서 TiN막을 형성하는 예에 대하여 설명한다. 본 명세서에서 「웨이퍼」라는 단어를 이용한 경우는 「웨이퍼 그 자체」를 의미하는 경우나, 「웨이퍼와 그 표면에 형성된 소정의 층이나 막 등의 적층체 (집합체)」를 의미하는 경우, 즉 표면에 형성된 소정의 층이나 막 등을 포함해서 웨이퍼라고 칭하는 경우가 있다. 또한 본 명세서에서 「웨이퍼의 표면」이라는 단어를 이용한 경우는 「웨이퍼 그 자체의 표면(노출면)」을 의미하는 경우나, 「웨이퍼 상에 형성된 소정의 층이나 막 등의 표면, 즉 적층체로서의 웨이퍼의 최표면(最表面)」을 의미하는 경우가 있다.
따라서 본 명세서에서 「웨이퍼에 대하여 소정의 가스를 공급한다」고 기재한 경우는 「웨이퍼 그 자체의 표면(노출면)에 대하여 소정의 가스를 직접 공급한다」는 것을 의미하는 경우나, 「웨이퍼 상에 형성되는 층이나 막 등에 대하여, 즉 적층체로서의 웨이퍼의 최표면에 대하여 소정의 가스를 공급한다」는 것을 의미하는 경우가 있다. 또한 본 명세서에서 「웨이퍼 상에 소정의 층(또는 막)을 형성한다」고 기재한 경우는 「웨이퍼 그 자체의 표면(노출면) 상에 소정의 층 (또는 막)을 직접 형성한다」는 것을 의미하는 경우나, 「웨이퍼 상에 형성되는 층이나 막 등의 상, 즉 적층체로서의 웨이퍼의 최표면 상에 소정의 층(또는 막)을 형성한다」는 것을 의미하는 경우가 있다. 또한 본 실시 형태에서 TiN막이 형성되는 웨이퍼(200)에는 예컨대 반도체 장치의 배선 또는 비어를 형성하기 위한 홈부[溝部]가 형성된다.
또한 본 명세서에서 「기판」이라는 단어를 이용한 경우도 「웨이퍼」라는 단어를 이용한 경우와 마찬가지이며, 그 경우, 상기 설명에서 「웨이퍼」를 「기판」으로 치환해서 생각하면 좋다.
〔기판 반입·재치 공정(S110)〕
예컨대 최대 25매의 웨이퍼(200)가 수납된 포드(100)가 공정 내 반송 장치에 의해 반송되고, 로드 포트(105) 상에 재치된다. 포드(100)의 캡(100a)이 포드 오프너(108)에 의해 제거되어 포드(100)의 기판 출입구가 개방된다. 제2 웨이퍼 이재기(124)는 포드(100)로부터 웨이퍼(200)를 픽업하여 노치 맞춤 장치(106) 상으로 재치한다. 노치 맞춤 장치(106)는 웨이퍼(200)의 위치조정을 수행한다. 제2 웨이퍼 이재기(124)는 웨이퍼(200)를 노치 맞춤 장치(106)로부터 대기압의 상태의 예비실(122) 내에 반입한다. 게이트 밸브(128)가 닫히고 예비실(122) 내가 배기 장치(도시되지 않음)에 의해 부압으로 배기된다.
프로세스 챔버(202)에서는 서셉터(217)를 웨이퍼(200)의 반송 위치까지 하강시키는 것에 의해 서셉터(217)의 관통공(217a)에 웨이퍼 승강핀(266)을 관통시킨다. 그 결과, 웨이퍼 승강핀(266)이 서셉터(217) 표면보다 소정의 높이만큼만 돌출한 상태가 된다. 계속해서 소정의 게이트 밸브를 열고 제1 웨이퍼 이재기(112)를 이용하여 처리실(201) 내에 소정 매수(예컨대 5매)의 웨이퍼(200)(처리 기판)를 반입한다. 그리고 서셉터(217)의 도시되지 않는 회전축을 중심으로 하여 각 웨이퍼(200)가 중첩되지 않도록 서셉터(217)의 회전 방향을 따라 재치한다. 이에 의해 웨이퍼(200)는 서셉터(217)의 표면으로부터 돌출한 웨이퍼 승강핀(266) 상에 수평 자세로 지지된다.
처리실(201) 내에 웨이퍼(200)를 반입하면, 제1 웨이퍼 이재기(112)를 프로세스 챔버(202) 외로 퇴피시키고, 소정의 게이트 밸브를 닫고 반응 용기(203) 내를 밀폐한다. 그 후, 서셉터(217)를 상승시키는 것에 의해 서셉터(217)에 설치된 각 웨이퍼 재치부(217b) 상에 웨이퍼(200)를 재치한다.
또한 웨이퍼(200)를 처리실(201) 내에 반입할 때에는 배기계에 의해 처리실(201) 내를 배기하면서 불활성 가스 공급계로부터 처리실(201) 내에 불활성 가스로서의 N2가스를 공급하는 것이 바람직하다. 즉 진공 펌프(246)를 작동시켜 APC밸브(243)를 여는 것에 의해 처리실(201) 내를 배기한 상태에서 적어도 제1 불활성 가스 공급계의 밸브(299d)를 여는 것에 의해 처리실(201) 내에 N2가스를 공급하는 것이 바람직하다. 이에 의해 처리실(201) 내로의 파티클의 침입이나, 웨이퍼(200) 상으로의 파티클의 부착을 억제하는 것이 가능해진다. 또한 제2 불활성 가스 공급계 및 제3 불활성 가스 공급계로부터 불활성 가스를 공급해도 좋다. 또한 진공 펌프(246)는 적어도 기판 반입·재치 공정(S110) 내지 후술하는 기판 반출 공정(S160)이 종료할 때까지의 사이는 상시 작동시킨 상태로 한다.
웨이퍼(200)를 서셉터(217) 상에 재치할 때에는 서셉터(217)의 내부에 매립된 히터(218)에 전력을 공급하여 웨이퍼(200)의 표면이 소정의 온도가 되도록 제어한다. 웨이퍼(200)의 온도는 예컨대 실온 이상 750℃ 이하이며, 바람직하게는 실온 이상이며 400 ℃이하다. 이 때 히터(218)의 온도는 온도 센서(249)에 의해 검출된 온도 정보에 기초하여 히터(218)로의 통전 상태를 제어하는 것에 의해 조정된다. 또한 히터(218)는 적어도 기판 반입·재치 공정(S110) 내지 후술하는 기판 반출 공정(S160)이 종료할 때까지의 사이는 상시 통전시킨 상태로 한다.
또한 실리콘으로 구성되는 웨이퍼(200)의 가열 처리에서는 표면 온도를 750℃ 이상으로까지 가열하면, 웨이퍼(200)의 표면에 형성된 소스 영역이나 드레인 영역 등의 불순물이 한층 더 확산하여, 회로 특성이 열화하고 반도체 디바이스의 성능이 저하하는 경우가 있다. 웨이퍼(200)의 온도를 전술과 같이 제한하는 것에 의해 웨이퍼(200)의 표면에 형성된 소스 영역이나 드레인 영역에서의 불순물의 확산, 회로 특성의 열화, 반도체 디바이스의 성능의 저하를 억제할 수 있다.
〔서셉터 회전 시작(S120)〕
우선 웨이퍼(200)가 각 웨이퍼 재치부(217b)에 재치되면, 회전 기구(267)에 의해 서셉터(217)의 회전을 시작한다. 이 때 서셉터(217)의 회전 속도는 컨트롤러(300)에 의해 제어된다. 서셉터(217)의 회전 속도는 예컨대 1회전/분 이상 100회전/분 이하다. 구체적으로는 회전 속도는 예컨대 60회전/분이다. 서셉터(217)를 회전시키는 것에 의해 웨이퍼(200)는 제1 처리 영역(206a), 제1 퍼지 영역(207a), 제2 처리 영역(206b), 제3 처리 영역(206c), 제2 퍼지 영역(207b)의 순서대로 이동을 시작한다.
〔가스 공급 시작(S130)〕
웨이퍼(200)를 가열하여 원하는 온도에 달하고, 서셉터(217)가 원하는 회전 속도에 도달하면, 밸브(231d)를 열고 제1 처리 영역(206a) 내에 TiCl4가스의 공급을 시작한다. 이와 병행하여 밸브(232d) 및 밸브(232f)를 열고 제2 처리 영역(206b) 내에 NH3가스를 공급하는 것과 함께, 밸브(233d) 및 밸브(233f)를 열고 제2 처리 영역(206b) 내에 H2가스를 공급한다.
이 때 TiCl4가스의 유량이 소정의 유량이 되도록 MFC(231c)를 조정한다. 또한 TiCl4가스의 공급 유량은 예컨대 0.1g/min 이상 2.0g/min 이하다. 또한 TiCl4가스와 함께 제2 불활성 가스 공급계로부터 캐리어 가스로서 N2가스를 흘려도 좋다.
또한 NH3가스의 유량이 소정의 유량이 되도록 MFC(232c)를 조정한다. 또한 NH3가스의 공급 유량은 예컨대 100sccm 이상 5,000sccm 이하다. 또한 NH3가스와 함께 제3 불활성 가스 공급계로부터 캐리어 가스로서 N2가스를 흘려도 좋다.
또한 H2가스의 유량이 소정의 유량이 되도록 MFC(233c)를 조정한다. 또한 H2가스의 공급 유량은 예컨대 100sccm 이상 5,000sccm 이하다. 또한 H2가스와 함께 제4 불활성 가스 공급계로부터 캐리어 가스로서 N2가스를 흘려도 좋다.
또한 기판 반입·재치 공정(S110) 후, 계속해서 배기부에 의해 처리실(201) 내가 배기되는 것과 함께 불활성 가스 공급계로부터 제1 퍼지 영역(207a) 내 및 제2 퍼지 영역(207b) 내에 퍼지 가스로서의 N2가스가 공급된다. 또한 APC밸브(243)의 개도를 적절히 조정하는 것에 의해 처리실(201) 내, 즉 웨이퍼(200)가 존재하는 각 영역을 포함하는 처리 공간을 소정의 압력으로 한다.
〔박막 형성 공정(S200)〕
다음으로 도 8에 도시되는 바와 같이 박막 형성 공정(S200)에서는 다음과 같이 하여 서셉터(217)의 회전에 의해 복수의 웨이퍼(200)를 제1 처리 영역(206a), 제1 퍼지 영역(207a), 제2 처리 영역(206b), 제3 처리 영역(206c) 및 제2 퍼지 영역(207b)을 순차적으로 통과시킨다.
우선 제2 가스 공급관(232a)으로부터 공급되는 NH3가스의 유량이 안정되면, 반응 가스 플라즈마 생성부(270a)에 의해 제2 처리 영역(206b) 내에 NH3가스의 플라즈마의 생성을 시작한다. 구체적으로는 반응 가스 플라즈마 생성부(270a)의 고주파 전원(273a)으로부터 한 쌍의 전극(271a)에 고주파 전력을 인가는 것과 함께 정합기(272a)에 의해 임피던스를 조정시킨다. 이에 의해 제2 처리 영역(206b) 내에서의 한 쌍의 전극(271a)의 하방(下方)에 NH3가스의 플라즈마를 생성한다.
또한 제3 가스 공급관(233a)으로부터 공급되는 H2가스의 유량이 안정되면, 개질 가스 플라즈마 생성부(270b)에 의해 제3 처리 영역(206c) 내에 H2가스의 플라즈마의 생성을 시작한다. 구체적으로는 개질 가스 플라즈마 생성부(270b)의 고주파 전원(273b)으로부터 한 쌍의 전극(271b)에 고주파 전력을 인가는 것과 함께 정합기(272b)에 의해 임피던스를 조정시킨다. 이에 의해 제3 처리 영역(206c) 내에서의 한 쌍의 전극(271b)의 하방에 H2가스의 플라즈마를 생성한다.
〔제1 처리 영역 통과(S210)〕
웨이퍼(200)가 제1 처리 영역(206a)을 통과할 때에 TiCl4가스가 웨이퍼(200)에 공급된다. 이 때 제1 처리 영역(206a) 내의 가스는 TiCl4 및 불활성 가스만이기 때문에 TiCl4가스는 반응 가스 또는 개질 가스와 반응하지 않고, 직접 웨이퍼(200)의 표면에 접촉(부착)한다. 이에 의해 웨이퍼(200)의 표면에는 제1층으로서의 제1 원소 함유층이 형성된다.
제1층은 예컨대 처리실(201) 내의 압력, TiCl4가스의 유량, 서셉터(217)의 온도, 제1 처리 영역(206a)의 통과에 걸리는 시간[제1 처리 영역(206a)에서의 처리 시간] 등에 따라서 소정의 두께 및 소정의 분포를 가지도록 형성된다.
〔제1 퍼지 영역 통과(S220)〕
다음으로 웨이퍼(200)는 제1 처리 영역(206a)을 통과한 후에 서셉터(217)의 회전 방향 R로 이동하여 제1 퍼지 영역(207a)으로 이동한다. 웨이퍼(200)가 제1 퍼지 영역(207a)을 통과할 때에 제1 처리 영역(206a)에서 웨이퍼(200) 상에서 강고한 결합을 형성하지 못한 TiCl4가스의 일부 등이 불활성 가스로서의 N2가스에 의해 웨이퍼(200) 상으로부터 제거된다.
〔제2 처리 영역 통과(S230)〕
다음으로 웨이퍼(200)는 제1 퍼지 영역(207a)을 통과한 후에 서셉터(217)의 회전 방향 R로 이동하여 제2 처리 영역(206b)으로 이동한다. 웨이퍼(200)가 제2 처리 영역(206b)을 통과할 때에 제2 처리 영역(206b)에서는 제1층이 반응 가스로서의 NH3가스의 플라즈마와 반응한다. 이 때 NH3가스의 활성종 중 질소 성분은 제1층 중의 티타늄(Ti) 성분과 결합하고, NH3가스의 활성종 중 수소 성분은 제1층 중의 염소(Cl) 성분과 반응하여 HCl이 되어 제1층으로부터 탈리한다. 이에 의해 웨이퍼(200) 상에는 적어도 제1 원소인 Ti 및 제2 원소인 N을 포함하는 층(또는 막)이 형성된다. 이후, 제1 원소 및 제2 원소를 포함하는 층(또는 막)을 제2층이라고 칭하는 경우가 있다.
이 때 반응 가스에 의한 반응이 불충분한 경우, 제2층에는 원료 가스로서의 TiCl4에 유래하는 염소 성분이 잔류물로서 잔류할 가능성이 있다. 이 잔류물이 존재하는 상태에서 다음 제1 처리 영역(206a)을 통과한 경우, Ti성분이 엉성하게 부착되기 때문에 막이 엉성한 상태가 되는 등 하여 막 특성이 악화된다. 또한 제1층에 포함되는 염소(Cl) 성분은 박막에서의 불순물이 된다. 이와 같은 상황에서 제1층을 형성하는 공정과 제2층을 형성하는 공정을 반복하여 원하는 막 두께의 박막을 형성하는 경우, 막의 깊이 방향에 걸쳐서 막 밀도나 저항값이 불균일해질 우려가 있다. 그래서 본 실시 형태에서는 웨이퍼(200) 상의 제2층에 대하여 다음과 같이 하여 개질 가스의 플라즈마에 의한 처리가 수행된다.
〔제3 처리 영역 통과(S240)〕
다음으로 웨이퍼(200)는 제2 처리 영역(206b)을 통과한 후에 서셉터(217)의 회전 방향 R로 이동하고 제3 처리 영역(206c)으로 이동한다. 웨이퍼(200)가 제3 처리 영역(206c)을 통과할 때에 제3 처리 영역(206c)에서는 제2층이 개질 가스로서의 H2가스의 플라즈마에 의해 개질된다. 이 때 H2가스의 활성종은 제2층에 잔류한 잔류물로서의 Cl원자(클로로기)와 반응하여 HCl이 되어 제2층으로부터 탈리한다. 이후, 웨이퍼(200) 상의 개질된 제2층을 제3층이라고 칭하는 경우가 있다.
제3층은 예컨대 반응 용기(203) 내의 압력, H2가스의 유량, 서셉터(217)의 온도, 개질 가스 플라즈마 생성부(270b)의 전력 공급 상태 등에 따라서 소정의 분포, 제2층에 대한 소정의 개질 깊이를 가지도록 제2층이 개질되는 것에 의해 형성된다.
여기서 본 실시 형태에서는 예컨대 반응 가스의 플라즈마가 생성되는 제2 처리 영역(206b)은 경계판(205)을 개재하여 개질 가스의 플라즈마가 생성되는 제3 처리 영역(206c)에 인접해서 설치된다. 이에 의해 제1층이 NH3가스의 플라즈마와 반응하는 것에 의해 제2층이 형성된 직후에 제2층을 H2가스에 의해 개질할 수 있다.
또한 본 실시 형태에서 바람직하게는 제3 처리 영역(206c)은 제2 처리 영역(206b)보다 넓다. 즉 제3 처리 영역(206c)에서의 소정의 웨이퍼(200)에 대한 처리 시간은 제2 처리 영역(206b)에서의 처리 시간보다 길다. 이에 의해 제3 처리 영역(206c)에서는 제2층이 제2 처리 영역(206b)보다 장시간을 걸쳐서 H2가스의 플라즈마에 노출되는 것에 의해 1사이클을 마친 제3층 중에 Cl성분이 잔류하는 것이 보다 확실하게 억제된다.
또는 본 실시 형태에서 보다 바람직하게는 제3 처리 영역(206c)에서의 개질 가스로서의 H2가스에 인가되는 플라즈마 전력을 제2 처리 영역(206b)에서의 반응 가스로서의 NH3가스에 인가되는 플라즈마 전력보다 높게 한다. 이에 의해 플라즈마 밀도가 높은 H2가스의 플라즈마가 형성되기 때문에 제2층으로부터의 Cl성분의 탈리를 보다 확실하게 촉진할 수 있다.
또는 본 실시 형태에서 보다 바람직하게는 개질 가스 플라즈마 생성부(270b)의 플라즈마 여기 주파수는 반응 가스 플라즈마 생성부(270a)의 플라즈마 여기 주파수와 다르고, 예컨대 반응 가스 플라즈마 생성부(270a)의 플라즈마 여기 주파수보다 높다. 이에 의해 전력을 높게 한 효과와 마찬가지로 플라즈마 밀도가 높은 H2가스의 플라즈마에 의해 제2층으로부터의 Cl성분의 탈리를 보다 확실하게 촉진할 수 있다.
또는 본 실시 형태에서 보다 바람직하게는 제2 처리 영역(206b) 및 제3 처리 영역(206c)에서 웨이퍼(200)에 플라즈마가 되어 조사되는 NH3가스 및 H2가스의 합계의 공급량(=유량×통과 시간)은 제1 처리 영역(206a)에서 웨이퍼(200)에 공급되는 TiCl4가스의 공급량보다 많다. 구체적으로는 제1 처리 영역(206a)에서 공급되는 TiCl4가스 중의 Cl원자의 몰(mole)수에 대한 제2 처리 영역(206b) 및 제3 처리 영역(206c)에서 공급되는 H원자의 몰수의 비율을 높게 한다. 구체적으로는 제2 처리 영역(206b) 및 제3 처리 영역(206c)에서 공급되는 H원자의 몰수는 제1 처리 영역(206a)에서 공급되는 TiCl4가스 중의 Cl원자의 몰수보다 많다. 이에 의해 제1 처리 영역(206a)에서 모든 TiCl4가스가 웨이퍼(200)에 부착된 경우이어도 제2층 중으로부터 Cl원자(Cl성분)를 탈리시키기 위한 H원자가 부족되는 것이 억제된다.
또한 본 실시 형태에서는 제2층으로부터의 Cl성분의 탈리를 촉진하기 위한 이들의 조건을 조합해서 수행해도 좋다.
〔제2 퍼지 영역 통과(S250)〕
다음으로 웨이퍼(200)는 제3 처리 영역(206c)을 통과한 후에 서셉터(217)의 회전 방향 R로 이동하여 제2 퍼지 영역(207b)으로 이동한다. 웨이퍼(200)가 제2 퍼지 영역(207b)을 통과할 때에 제3 처리 영역(206c)에서 웨이퍼(200) 상의 제3층으로부터 탈리한 HCl이나 잉여가 된 H2가스 등이 불활성 가스로서의 N2가스에 의해 웨이퍼(200) 상으로부터 제거된다.
이상의 제1 처리 영역 통과(S210), 제1 퍼지 영역 통과(S220), 제2 처리 영역 통과(S230), 제3 처리 영역 통과(S240) 및 제2 퍼지 영역 통과(S250)를 1사이클로 한다.
〔판정(S260)〕
그동안 컨트롤러(300)는 상기 1사이클을 소정 횟수(k회: k는 1 이상의 정수) 실시하였는지에 대한 여부를 판정한다. 구체적으로는 컨트롤러(300)는 서셉터(217)의 회전수를 카운트 한다.
상기 1사이클을 k회 실시하지 않았을 때(S260에서 No인 경우), 서셉터(217)의 회전을 한층 더 계속하고, 제1 처리 영역 통과(S210), 제1 퍼지 영역 통과(S220), 제2 처리 영역 통과(S230), 제3 처리 영역 통과(S240), 제2 퍼지 영역 통과(S250)를 포함하는 사이클을 반복한다. 이에 의해 제3층을 적층하는 것에 의해 박막을 형성한다.
상기 1사이클을 k회 실시했을 때(S260에서 Yes인 경우), 박막 형성 공정(S200)을 종료한다. 이와 같이 상기 1사이클을 k회 실시하는 것에 의해 제3층을 적층한 소정 막 두께의 박막이 형성된다.
또한 본 실시 형태에서 형성된 TiN막은 반응 가스로서의 NH3가스의 H원자가 취입(取入)되는 것에 의해 Ti-N-H기(결합)를 포함하는 경우가 있다.
〔가스 공급 정지(S140)〕
박막 형성 공정(S200) 후, 밸브(231d, 232d, 233d)를 닫고 제1 처리 영역(206a)으로의 TiCl4가스의 공급, 제2 처리 영역(206b)으로의 NH3가스의 공급 및 제3 처리 영역(206c)으로의 H2가스의 공급을 정지한다.
〔서셉터 회전 정지(S150)〕
가스 공급 정지(S140) 후, 서셉터(217)의 회전을 정지한다.
〔기판 반출 공정(S160)〕
다음으로 서셉터(217)를 하강시키고, 서셉터(217)의 표면으로부터 돌출시킨 웨이퍼 승강핀(266) 상에 웨이퍼(200)를 지지시킨다. 그 후, 소정의 게이트 밸브를 열고 제1 웨이퍼 이재기(112)를 이용하여 웨이퍼(200)를 반응 용기(203) 외로 반출한다. 또한 불활성 가스 공급계에 의한 처리실(201) 내로의 불활성 가스로서의 N2가스의 공급을 정지한다.
이상으로 기판 처리 공정을 종료한다. 또한 기판 처리 공정 종료 후, 클리닝 가스 공급계로부터 처리실(201) 내에 클리닝 가스로서 NF3가스를 공급하여 처리실(201) 내를 클리닝해도 좋다.
(4) 본 실시 형태에 따른 효과
본 실시 형태에 의하면, 이하에 나타내는 1개 또는 복수의 효과를 갖는다.
(a) 본 실시 형태에 의하면, 서셉터(217)의 회전에 의해 복수의 웨이퍼(200)를 순서대로 제1 처리 영역(206a), 제2 처리 영역(206b) 및 제3 처리 영역(206c)을 통과시키고, 웨이퍼(200)가 제1 처리 영역(206a)을 통과할 때에 웨이퍼(200) 상에 원료 가스를 공급하여 제1층을 형성하고, 웨이퍼(200)가 제2 처리 영역(206b)을 통과할 때에 반응 가스 플라즈마 생성부(270a)에 의해 생성된 반응 가스의 플라즈마를 제1층과 반응시키는 것에 의해 제2층을 형성하고, 웨이퍼(200)가 제3 처리 영역(206c)을 통과할 때에 개질 가스 플라즈마 생성부(270b)에 의해 생성된 개질 가스의 플라즈마에 의해 제2층을 개질하여 제3층을 형성한다. 이 제1층 형성 내지 제3층 형성의 사이클을 반복하여 제3층을 적층하는 것에 의해 박막을 형성한다. 이에 의해 반응 가스에 의한 반응이 불충분하여 기판 상에 형성되는 박막 중에 원료 가스의 분자의 일부의 성분 등의 잔류물이 잔류하지 않고 고품질의 박막을 형성할 수 있다.
(b) 또한 웨이퍼의 반도체 장치의 배선을 형성하기 위한 홈부의 저면 부근에는 반응 가스의 플라즈마가 균일하게 전달되지 않는 경우가 있기 때문에 박막의 막 밀도가 낮아지는 경우가 있다. 이 박막의 막 밀도가 낮아지는 현상은 특히 홈부의 애스펙트비가 높은 경우나 서셉터의 회전 속도가 높은 경우 등에서 현저해지는 경향이 있었다. 이와 같은 경우, 본 실시 형태에 의하면 박막 중에 원료 가스의 분자의 일부의 성분 등의 잔류물이 잔류해도 박막 중의 잔류물의 존재 비율이 높아지지 않고 고품질의 박막을 형성할 수 있다
(c) 또한 본 실시 형태에 의하면, 웨이퍼(200) 상에 제2층이 형성된 후에 웨이퍼(200)가 개질 가스의 플라즈마가 생성된 제3 처리 영역(206c)을 통과한다. 이 때 개질 가스의 플라즈마에 의해 제2층 중에 잔류한 잔류물을 제2층으로부터 탈리시키는 것에 의해 제3층을 형성한다. 이와 같이 제1층 형성 내지 제3층 형성의 처리를 1사이클로 하여 1사이클마다 잔류물이 잔류하는 것을 억제한 제3층을 형성하는 것에 의해, 또는 이 제3층의 형성을 반복 적층하는 것에 의해 고품질의 박막을 형성할 수 있다.
(d) 또한 본 실시 형태에 의하면, 원료 가스로서 TiCl4가스를 이용하여 반응 가스로서 NH3가스를 이용하고, 개질 가스로서 H2가스를 이용하는 것에 의해, 박막으로서 TiN막을 형성한다. 웨이퍼(200)가 제2 처리 영역(206b)을 통과할 때, 제2층에 잔류한 잔류물로서의 Cl원자(클로로기)는 H2가스의 플라즈마에 의해 HCl이 되어 제2층으로부터 탈리한다. 이에 의해 Cl원자가 감소한 제3층이 적층되는 것에 의해 고품질의 TiN막을 형성할 수 있다. 불순물인 Cl원자가 감소하는 것에 의해 반도체 또는 금속과의 TiN막의 컨택트 저항을 낮출 수 있고, 확산 방지층으로서 이용한 경우에 Cu 등의 금속 확산에 대한 배리어성을 향상시킬 수 있다.
(e) 또한 본 실시 형태에 의하면, 제3 처리 영역(206c)에서의 개질 가스로서의 H2가스에 인가되는 플라즈마 전력을 제2 처리 영역(206b)에서의 반응 가스로서의 NH3가스에 인가되는 플라즈마 전력보다 높게 한다. 여기서 제2 처리 영역(206b)에서 NH3가스의 플라즈마에 노출되었는데도 불구하고 제2층 중에 잔존한 Cl원자는 Ti원자와 강고하게 결합할 가능성이 있다. Ti원자와 강고하게 결합한 Cl원자를 플라즈마 밀도가 높은 개질 가스의 플라즈마와 반응시키는 것에 의해 제2층으로부터의 잔류물로서의 Cl원자의 탈리를 촉진할 수 있다.
(f) 또한 본 실시 형태에 의하면, 개질 가스 플라즈마 생성부(270b)의 플라즈마 여기 주파수는 반응 가스 플라즈마 생성부(270a)의 플라즈마 여기 주파수와 다르고, 예컨대 반응 가스 플라즈마 생성부(270a)의 플라즈마 여기 주파수보다 높다. 이에 의해 전력을 높게 한 효과와 마찬가지로 플라즈마 밀도가 높은 H2가스의 플라즈마를 생성할 수 있고, 제2층으로부터의 Cl원자의 탈리를 촉진할 수 있다.
(g) 또한 본 실시 형태에 의하면, 복수의 경계판(205)은 서셉터(217)의 회전에 의해 웨이퍼(200)가 통과 가능한 상태에서 처리실(201)을 제1 처리 영역(206a), 제2 처리 영역(206b) 및 제3 처리 영역(206c)으로 구분하도록 구성된다. 처리실(201)은 복수의 경계판(205) 하에 웨이퍼(200)가 통과 가능한 극간을 포함한다. 이에 의해 경계판(205)과 서셉터(217) 사이를 통과하는 가스는 적어지고, 처리실(201) 내의 각각의 영역 사이에 가스가 혼합하는 것이 억제된다.
(h) 또한 본 실시 형태에 의하면, 제3 처리 영역(206c)은 제2 처리 영역(206b)보다 넓게 구성된다. 즉 제3 처리 영역(206c)에서의 소정의 웨이퍼(200)에 대한 처리 시간은 제2 처리 영역(206b)에서의 처리 시간보다 길다. 이에 의해 제3 처리 영역(206c)에서는 제2층이 제2 처리 영역(206b)보다 장시간을 거쳐서 H2가스의 플라즈마에 노출되는 것에 의해 보다 확실하게 Cl원자가 탈리되기 때문에 1사이클을 마친 제3층 중에 Cl원자가 잔류하는 것이 억제된다.
(i) 또한 본 실시 형태에 의하면, 제3 처리 영역(206c)은 제2 처리 영역(206b)보다 넓게 구성된다. 이에 의해 플라즈마에 의한 조사 시간을 길게 할 수 있기 때문에 전술한 1사이클에서의 개질 효율을 올릴 수 있다. 막의 개질(불순물 제거 등)은 반응(산화, 질화 등)보다 진행이 어렵기 때문에 플라즈마 강도를 높이거나 플라즈마 조사 시간을 길게 할 필요가 있고, 플라즈마 강도를 높이기 위해서는 하드나 비용적인 한계가 있는 한편, 본 실시 형태와 같이 플라즈마에 의한 조사 시간을 길게 하기 위해서 영역을 넓이면, 대폭적인 장치 개조를 필요로 하지 않고 1사이클에서의 개질 효율을 올릴 수 있다.
(j) 전술한 효과는 원료 가스로서 TiCl4가스 이외의 가스를 이용하는 경우나, N함유 가스로서 NH3가스 이외의 가스를 이용하는 경우나, 퍼지 가스로서 N2가스 이외의 불활성 가스를 이용하는 경우에도 마찬가지로 얻을 수 있다.
<본 발명의 제2 실시 형태>
이하에 본 발명의 제2 실시 형태에 대하여 설명한다. 본 실시 형태는 기판 처리 장치(10)의 프로세스 챔버(202)가 제4 처리 영역을 포함한다는 점에서 제1 실시 형태와 다르다. 본 실시 형태에서는 전술한 기판 처리 장치(10)를 이용하여 본 실시 형태 중 그 외의 구성은 제1 실시 형태와 마찬가지이다. 이하, 제1 실시 형태와 다른 요소에 대한 것만을 설명하고, 제1 실시 형태에서 설명한 요소와 실질적으로 동일한 요소에는 동일한 부호를 첨부하고 그 설명을 생략한다.
(1) 프로세스 챔버의 구성
도 9를 이용하여 본 실시 형태에 따른 처리로로서의 프로세스 챔버의 구성에 대하여 설명한다. 도 9에 도시하는 바와 같이 예컨대 제1 퍼지 영역(207a) 및 제2 처리 영역(206b) 사이에는 제4 처리 영역(206d)이 설치된다. 예컨대 제4 처리 영역(206d)의 평면시에서의 면적은 제2 처리 영역(206b) 및 제3 처리 영역(206c)의 각각의 평면시에서의 면적과 마찬가지다. 또한 제2 처리 영역(206b)의 평면시에서의 면적은 제3 처리 영역(206c)의 평면시에서의 면적과 마찬가지다.
〔제4 가스 도입부〕
가스 도입부(280) 내의 제4 처리 영역(206d) 측에는 제4 가스 도입부(284)가 설치된다. 제4 가스 도입부(284)의 제4 처리 영역(206d) 측의 측벽에는 제4 처리 영역(206d)에 개구하는 제4 가스 분출구(254)가 설치된다. 또한 반응 용기(203)의 제4 처리 영역(206d)에서의 천정부에는 제1 플라즈마 생성부측 가스 도입부(286)와 마찬가지로 제3 플라즈마 생성부측 가스 도입부(288)가 설치된다.
도시되지 않지만, 제4 가스 도입부(284)의 상단에는 제4 가스 공급관의 하류단이 접속된다. 제4 가스 공급관에는 상류측부터 순서대로 제4 가스 공급원, MFC 및 밸브가 설치된다. 또한 제4 가스 공급관의 밸브보다 하류측에는 제1 플라즈마 생성부측 가스 도입부(286)의 상류단에 접속하도록 밸브를 개재하여 제3 플라즈마 생성부측 가스 공급관이 접속된다. 후술하는 전처리 가스 플라즈마 생성부(270c)는 플라즈마를 생성할 때에 제3 플라즈마 생성부측 가스 도입부(288)로부터 가스 도입로(도시되지 않음) 및 가스 분출구(도시되지 않음)를 개재하여 제4 처리 영역(206d) 내에 개질 가스를 공급하도록 구성된다.
〔전처리 가스 공급계〕
제4 가스 공급관으로부터 MFC, 밸브, 제4 가스 도입부(284) 및 제4 가스 분출구(254)를 개재하여, 또는 제3 플라즈마 생성부측 가스 공급관, 밸브, 전처리 가스 플라즈마 생성부(270c) 내의 가스 도입로 및 가스 분출구를 개재하여 개질 가스가 제4 처리 영역(206d) 내에 공급된다. 개질 가스는 개질 가스 플라즈마 생성부(270b)에 의해 플라즈마 상태가 되어 웨이퍼(200) 상에 조사된다.
여기서 말하는 「전처리 가스」란 처리 가스 중 하나이며, 후술하는 바와 같이 플라즈마 상태가 되어 원료 가스에 의해 형성된 제1층을 개질하는 가스다. 전처리 가스는 예컨대 H2가스, N2가스, O2가스, He가스 및 Ar가스 중 적어도 어느 하나다. 또한 전처리 가스는 이들의 가스 중에서 반응 가스와 다른 가스다. 또한 전처리 가스는 원료 가스보다 점착도(점도)가 낮은 재료가 이용된다. 여기서 전처리 가스는 개질 가스와 마찬가지의 가스이며, 예컨대 H2가스다.
주로 제4 가스 공급관, MFC, 밸브, 제4 가스 도입부, 제4 가스 분출구, 제3 플라즈마 생성부측 가스 공급관 및 밸브에 의해 전처리 가스 공급계(제4 가스 공급계)가 구성된다. 이 전처리 가스 공급계는 처리 가스 공급계의 일부다. 또한 제4 가스 공급원 및 전처리 가스 플라즈마 생성부(270c) 내의 가스 도입로 및 가스 분출구를 전처리 가스 공급계에 포함시켜서 생각해도 좋다. 또한 제4 가스 공급관의 밸브보다 하류측에는 제3 불활성 가스 공급계와 마찬가지의 제5 불활성 가스 공급계가 접속되어도 좋다.
〔전처리 가스 플라즈마 생성부〕
도 9에 도시되는 바와 같이 제4 처리 영역(206d) 내의 상방에는 전처리 가스 플라즈마 생성부(270c)의 적어도 일부가 설치된다. 전처리 가스 플라즈마 생성부(270c)는 반응 가스 플라즈마 생성부(270a)와 마찬가지의 구성을 가지고, 주로 한 쌍의 전극(271c)에 의해 구성된다.
전처리 가스 플라즈마 생성부(270c)의 한 쌍의 전극(271c)에는 정합기(272c)를 개재하여 고주파 전원(273c)이 접속된다. 정합기(272c) 및 고주파 전원(273c)은 반응 가스 플라즈마 생성부(270a)의 정합기(272a) 및 고주파 전원(273a)과는 별도로 설치된다. 또한 이 정합기(272c) 및 고주파 전원(273c)을 전처리 가스 플라즈마 생성부(270c)에 포함시켜서 생각해도 좋다.
(2) 기판 처리 공정
다음으로 본 실시 형태의 기판 처리 공정에 대하여 도 10을 이용하여 설명한다. 이하에서는 본 실시 형태에서의 박막 형성 공정(S200)만을 설명한다.
여기서 웨이퍼(200)가 제1 처리 영역(206a) 및 제1 퍼지 영역(207a)을 통과하고, 웨이퍼(200) 상에는 제1층이 형성된다.
〔제4 처리 영역 통과(S225)〕
웨이퍼(200)는 제1 퍼지 영역(207a)을 통과한 후에 서셉터(217)의 회전 방향 R로 이동하여 제4 처리 영역(206d)으로 이동한다. 웨이퍼(200)가 제4 처리 영역(206d)을 통과할 때에 제4 처리 영역(206d)에서는 제1층이 전처리 가스로서의 H2가스의 플라즈마에 의해 개질된다. 이 때 H2가스의 활성종은 제1층 중에 포함되고 TiCl4가스에 유래하는 Cl원자와 반응하여 HCl이 되어 제1층으로부터 탈리한다. 제1 실시 형태와 마찬가지로 웨이퍼(200) 상의 개질된 제1층을 개질층이라고 칭하는 경우가 있다.
개질층은 예컨대 반응 용기(203) 내의 압력, H2가스의 유량, 서셉터(217)의 온도, 전처리 가스 플라즈마 생성부(270c)의 전력 공급 상태 등에 따라서, 소정의 분포, 제1층에 대한 소정의 개질 깊이를 가지도록 제1층이 개질되는 것에 의해 형성된다.
〔제2 처리 영역 통과(S230)〕
다음으로 웨이퍼(200)는 제4 처리 영역(206d)을 통과한 후에 서셉터(217)의 회전 방향 R로 이동하여 제2 처리 영역(206b)으로 이동한다. 웨이퍼(200)가 제2 처리 영역(206b)을 통과할 때에 제2 처리 영역(206b)에서는 개질층이 반응 가스로서의 NH3가스의 플라즈마와 반응한다. 이 때 NH3가스의 활성종 중 N원자는 개질층 중의 Ti원자와 결합하고, NH3가스의 활성종 중 H원자는 개질층 중에 잔존한 Cl원자와 반응하여 HCl이 되어 개질층으로부터 탈리한다. 이에 의해 웨이퍼(200) 상에는 개질층이 한층 더 NH3가스의 플라즈마와 반응하여 제2층이 형성된다.
〔제3 처리 영역 통과(S240)〕
다음으로 웨이퍼(200)는 제2 처리 영역(206b)을 통과한 후에 서셉터(217)의 회전 방향 R로 이동하여 제3 처리 영역(206c)으로 이동한다. 웨이퍼(200)가 제3 처리 영역(206c)을 통과할 때에 제3 처리 영역(206c)에서는 제2층이 한층 더 개질 가스로서의 H2가스의 플라즈마에 의해 개질된다. 이 때 H2가스의 활성종은 제2층에 잔류한 잔류물로서의 Cl원자(클로로기)와 반응하여 HCl이 되어 제2층으로부터 탈리한다. 제1 실시 형태와 마찬가지로 웨이퍼(200) 상에 형성되는 개질된 제2층을 제3층이라고 칭하는 경우가 있다.
이후의 공정은 제1 실시 형태와 마찬가지이다.
(3) 본 실시 형태에 따른 효과
본 실시 형태에 의하면, 이하에 나타내는 1개 또는 복수의 효과를 갖는다.
본 실시 형태에 의하면, 제1 처리 영역(206a) 및 제2 처리 영역(206b) 사이에 제4 처리 영역(206d)이 설치된다. 박막 형성 공정(S200)에서는 웨이퍼(200)가 제4 처리 영역(206d)을 통과할 때에 전처리 가스 플라즈마 생성부(270c)에 의해 생성된 전처리 가스로서의 H2가스의 플라즈마에 의해 제1층을 개질하여 개질층을 형성한다. 잔류물이 될 수 있는 Cl원자를 제1층으로부터 탈리시킨 상태에서 제2층을 형성할 수 있다. 이에 의해 NH3가스 중의 N원소를 제1층 중에 취입하기 쉽게 할 수 있다. 또한 1사이클 중에서 2회 Cl원자가 제1층 또는 제2층으로부터 탈리되는 것에 의해 최종적으로 TiN막 중에 잔류하는 잔류물을 감소시킬 수 있다.
<본 발명의 제3 실시 형태>
이하, 본 발명의 제3 실시 형태에 대하여 설명한다. 본 실시 형태는 각 처리 영역의 넓이가 제1 실시 형태와 다르다. 본 실시 형태에서는 전술한 기판 처리 장치(10)를 이용하여 본 실시 형태 중 그 외의 구성은 제1 실시 형태와 마찬가지이다. 이하, 제1 실시 형태와 다른 요소에 대해서만 설명하고, 제1 실시 형태에서 설명한 요소와 실질적으로 동일한 요소에는 동일한 부호를 첨부하고 그 설명을 생략한다.
도 11을 이용하여 본 실시 형태에 따른 처리로로서의 프로세스 챔버의 구성에 대하여 설명한다.
도 11에 도시되는 바와 같이 예컨대 제1 처리 영역(206a)은 제2 처리 영역(206b) 및 제3 처리 영역(206c)의 각각보다 넓다. 즉 제1 처리 영역(206a)을 통과하는 시간은 제2 처리 영역(206b)을 통과하는 시간 및 제3 처리 영역(206c)을 통과하는 시간의 각각보다 길다.
본 실시 형태에 의하면, 각 처리 영역의 넓이는 처리 가스의 종류에 따라서 변경해도 좋다. 예컨대 제1 처리 영역(206a)에 공급되는 원료 가스가 웨이퍼(200)에 대하여 부착되기 어려운 가스인 경우, 전술한 바와 같이 제2 처리 영역(206b) 및 제3 처리 영역(206c)보다 넓게 한다. 이에 의해 제1 처리 영역(206a)을 통과하는 시간을 길게 하는 것에 의해 안정적으로 제1층을 형성할 수 있다.
<본 발명의 제4 실시 형태>
이하, 본 발명의 제4 실시 형태에 대하여 설명한다. 본 실시 형태(제4 실시 형태)는 제1 플라즈마 생성부의 구성이 제1 실시 형태(또는 제3 실시 형태)와 다르다. 본 실시 형태에서는 전술한 기판 처리 장치(10)를 이용하여 본 실시 형태 중 그 외의 구성은 제1 실시 형태와 마찬가지이다. 이하, 제1 실시 형태와 다른 요소에 대해서만 설명하고, 제1 실시 형태에서 설명한 요소와 실질적으로 동일한 요소에는 동일한 부호를 첨부하고 그 설명을 생략한다.
도 12, 도 13을 이용하여 본 실시 형태(제4 실시 형태)에 따른 처리로로서의 프로세스 챔버의 구성에 대하여 설명한다.
도 13에 도시하는 바와 같이 제2 처리 영역(206b)에서의 제1 플라즈마 생성부로서의 반응 가스 플라즈마 생성부의 플라즈마가 유도 결합형 플라즈마(Inductively Coupled Plasma, 약칭: ICP)에 의해 생성되도록 구성한 점이 제1 실시 형태(또는 제3 실시 형태)와 다르기 때문에 이 제1 플라즈마 생성부에 관련되는 부분에 대하여 이하에 설명한다. 또한 컨트롤러 구성에 대해서도 도 6에 도시하는 제1 실시 형태의 컨트롤러 구성과 마찬가지의 구성이기 때문 설명을 생략한다. 여기서는 플라즈마 생성부 및 반응 가스 공급계에 대하여 상세히 서술한다.
〔플라즈마 생성부〕
도 12에 도시하는 바와 같이 반응 용기(203)의 제2 처리 영역(206b)에서의 천정부에는 기판[예컨대 웨이퍼(200)]의 지름보다 큰 지름을 가지는 연통구(303a)가 설치된다. 연통구(303a)에는 플라즈마 생성실(390)이 접속된다. 플라즈마 생성실(390)은 측벽(391) 및 천정(392)을 포함하고, 천정(392)에 설치된 반응 가스 도입공(392a)을 개재하여 반응 가스 공급계에 접속된다. 측벽(391)은 통 형상 구조이며, 외주에 코일(393)이 권회(卷回)된다. 측벽(391)은 예컨대 석영으로 구성되고, 지름은 기판보다 크다. 측벽(391)은 연통구(303a)와 마찬가지의 지름을 가진다. 연통구(303a)는 웨이퍼(200)의 외주가 연통구(303a)의 내측을 통과하는 위치에 배치된다.
중력 방향에서 천정(392)에 설치된 반응 가스 도입공(392a)과 코일(393)의 상단 사이에는 가스 분산 구조(394)가 설치된다. 가스 분산 구조(394)는 가스 분산판(394a)과, 그것을 천정에 고정하는 고정 구조(394b)를 포함한다. 가스 분산판(394a)은 공(孔)이 없는 원판이며, 반응 가스 도입공(392a)으로부터 공급되는 가스를 코일(393) 근방에 유도하도록 지름 방향의 부재(部材)는 코일(393)의 방향으로 연장된다. 고정 구조(394b)는 복수의 기둥으로 구성되고, 가스 도입공(392a)으로부터 공급되는 가스의 흐름을 저해하지 않는 구조로 한다. 고정 구조(394b)의 일단(一端)은 가스 분산판(394a)의 일부에 고정되고, 타단(他端)은 천정(392)에 고정된다.
코일(393)은 원주를 구성하는 부분, 즉 측벽(391)에 인접하는 부분의 곡률이 일정한 형상을 가진다. 곡률을 일정하게 하는 것에 의해 코일에 전류를 흘렸을 때에 발생하는 자장(磁場)이 측벽(391)의 내주(內周)를 따라 균일해지고, 그에 따라 생성되는 플라즈마의 주방향의 밀도를 균일하게 하는 것이 가능해진다.
코일(393)에는 파형(波形) 조정 회로(396), RF센서(397), 고주파 전원(398)과 주파수 정합기(399)가 접속된다.
고주파 전원(398)은 코일(393)에 고주파 전력을 공급한다. RF센서(397)은 고주파 전원(398)의 출력측에 설치된다. RF센서(397)는 공급되는 고주파의 진행파나 반사파의 정보를 모니터 한다. 주파수 정합기(399)는 RF센서(397)로 모니터 된 반사파의 정보에 기초하여 반사파가 최소가 되도록 고주파 전원(398)을 제어한다.
코일(393)은 소정의 파장의 정재파를 형성하기 위해서 일정 파장 모드로 공진(共振)하도록 권취 지름, 권회 피치, 권취 수가 설정된다. 즉 코일(393)과 인접하는 파형 조정 회로(396)를 합친 전기적 길이는 고주파 전원(398)로부터 공급되는 전력의 소정 주파수에서의 1파장의 정수배(1배, 2배, …)에 상당하는 길이로 설정된다.
코일(393)의 양단은 전기적으로 접지(接地)되지만, 코일(393)의 적어도 일단은 장치의 최초 설치 시 또는 처리 조건 변경 시에 상기 공진 코일의 전기적 길이를 미조정(微調整)하기 위해서 가동 탭을 개재하여 접지된다. 코일(393)의 타단은 고정 그라운드에 접속된다. 또한 장치의 최초 설치 시 또는 처리 조건 변경 시에 코일(393)의 임피던스를 미조정하기 위해서 코일(393)이 접지된 양단 사이에는 가동 탭에 의해 급전부(給電部)가 구성된다.
코일(393)은 차폐판(395)에 둘러싸여 있다. 차폐판(395)은 코일(393)로부터 발생하는 전자파 등을 차단한다. 구체적으로는 차폐판(395)은 코일(393)의 외측으로의 전자파의 누설을 차폐 하는 것과 함께, 공진 회로를 구성하는 데 필요한 용량 성분을 코일(393)과의 사이에 형성하기 위해서 설치된다. 차폐판(395)은 일반적으로는 알루미늄 합금, 구리 또는 동합금 등의 도전성 재료를 사용하여 원통 형상으로 형성된다.
고주파 전원(398)의 출력측에는 RF센서(397)가 설치되어, 코일(393)을 향하는 진행파, 코일(393)로부터 반사하는 반사파 등을 모니터 한다. RF센서(397)에 의해 모니터 된 반사파 전력은 주파수 정합기(399)에 입력된다. 주파수 정합기(399)는 반사파가 최소가 되도록 주파수를 제어한다.
주로 플라즈마 생성실(390), 코일(393), 파형 조정 회로(396), RF센서(397), 주파수 정합기(399)에 의해 본 실시 형태에 따른 제1 플라즈마 생성부가 구성된다. 또한 제1 플라즈마 생성부로서 고주파 전원(398)을 포함시켜도 좋다.
(반응 가스 공급계)
반응 용기(203)의 천정부이며 제2 처리 영역(206b)의 상방에는 연통구(303a)가 설치된다. 연통구(303a)에는 후술하는 플라즈마 생성실(390)이 접속된다. 플라즈마 생성실(390)의 천정(392)에 반응 가스 도입공(392a)이 설치되고, 반응 가스 도입공(392a)에는 반응 가스 공급계(233)(반응 가스 공급부라고도 부른다)가 접속된다.
반응 가스 도입공(392a)에는 제3 가스 공급관(233a)의 하류단이 접속된다. 제3 가스 공급관(233a)에는 상류 방향부터 순서대로 반응 가스 공급원(233b), 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(233c)(MFC) 및 개폐 밸브인 밸브(233d)가 설치된다.
반응 가스 공급원(233b)으로부터 반응 가스로서의 질소(N) 함유 가스가 MFC(233c), 밸브(233d), 플라즈마 생성실(390), 연통구(303a)를 개재하여 반응 가스가 제2 처리 영역(206b) 내에 공급된다.
또한 제3 가스 공급관(233a)의 밸브(233d)보다 하류측에는 불활성 가스 공급관(292a)의 하류단이 접속된다. 불활성 가스 공급관(292a)에는 상류 방향부터 순서대로 불활성 가스 공급원(292b), MFC(292c) 및 밸브(292d)가 설치된다. 불활성 가스 공급관(292a)으로부터는 MFC(292c), 밸브(292d), 제3 가스 공급관(233a), 플라즈마 생성실(390), 연통구(303a)를 개재하여 불활성 가스가 제3 처리 영역(206c) 내에 공급된다.
주로 제3 가스 공급관(233a), MFC(233c), 밸브(233d), 반응 가스 도입공(392a)에 의해 반응 가스 공급부가 구성된다. 또한 반응 가스 공급원(233b)을 반응 가스 공급계에 포함시켜서 생각해도 좋다.
도 13에 도시하는 바와 같이 제2 처리 영역(206b)에 유도 결합형 플라즈마를 생성하는 반응 가스 플라즈마 생성부를 설치한 점 외에는 제1 처리 영역(206a) 및 제3 처리 영역(206c)에 변경은 없고, 또한 제1 퍼지 영역(207a) 및 제2 퍼지 영역(207b)에도 변경은 없기 때문에 본 실시 형태(제4 실시 형태)에서도 제1 실시 형태에서의 효과를 갖는 것이 가능하다.
본 실시 형태에서는 제2 처리 영역(206b)에서의 처리, 즉 상기 제1 처리 영역(206a)을 통과할 때에 기판[웨이퍼(200)]의 표면 상에 형성된 제1층과 기판[웨이퍼(200)]이 제2 처리 영역(206b)을 통과할 때에 반응 가스 플라즈마 생성부에 의해 생성된 반응 가스의 플라즈마를 반응시키는 것에 의해 제2층을 형성하는 처리에서 이용되는 플라즈마를 유도 결합형 플라즈마로 변경한 것뿐이다.
즉 본 실시 형태에서 제1 실시 형태와 마찬가지로 웨이퍼(200)가 제2 처리 영역(206b)을 통과할 때에 제2 처리 영역(206b)에서는 제1층이 반응 가스(NH3가스)의 플라즈마와 반응하여 제2층이 형성된다. 이 때 NH3가스의 활성종 중 질소 성분은 제1층 중의 제1 원소 성분과 결합하고, NH3가스의 활성종 중 수소 성분은 제1층 중의 염소(Cl) 성분과 반응하여 HCl이 되어 제1층으로부터 탈리한다. 이와 같이 웨이퍼(200) 상에는 고품질의 적어도 제1 원소 및 제2 원소를 포함하는 층(제2층)이 형성된다.
또한 본 실시 형태에서 반응 가스는 플라즈마 생성실(390)의 상부에 설치된 반응 가스 도입공(392a)으로부터 가스 분산판(394a)을 개재하여 제2 처리 영역(206b)에 공급된다. 또한 반응 용기(203)의 천정부의 중앙부에 설치된 불활성 가스 도입부(299)로부터는 제2 가스 분출구(252)를 개재하여 제2 처리 영역(206b)에 불활성 가스가 공급되도록 구성된다.
한편, 제1 실시 형태 내지 제3 실시 형태에서 반응 가스가 웨이퍼에 대하여 흐르는 방향과, 웨이퍼의 반도체 장치의 배선을 형성하기 위한 홈부의 방향이 일치하지 않는 경우가 있다. 이 경우, 홈부의 저면 부근에는 반응 가스의 플라즈마가 균일하게 전달되지 않는 경우가 있기 때문에 박막의 막 밀도가 낮아지는 경우가 있다. 이 박막의 막 밀도가 낮아지는 현상은 특히 홈부의 애스펙트비가 높은 경우나, 서셉터의 회전 속도가 높은 경우 등에서 현저해지는 경향이 있었다.
본 실시 형태에 의하면, 이와 같은 경우이어도 반응 가스가 홈부의 저면 부근에도 반응 가스의 플라즈마가 균일하게 공급되기 때문에 박막 중에 원료 가스의 분자의 일부의 성분 등의 잔류물이 잔류하여 박막 중의 잔류물의 존재 비율이 높아지지 않고, 고품질의 박막을 형성할 수 있다. 또한 전술한 효과는 원료 가스로서 TiCl4가스 이외의 가스를 이용하는 경우에도 마찬가지로 얻을 수 있다. 또한 N함유 가스로서 NH3가스 이외의 가스를 이용하는 경우나, 퍼지 가스로서 N2가스 이외의 불활성 가스를 이용하는 경우에도 마찬가지로 얻을 수 있다.
<본 발명의 다른 실시 형태>
이상, 본 발명의 실시 형태를 구체적으로 설명했지만 본 발명은 전술한 실시 형태에 한정되지 않고, 그 요지를 일탈하지 않는 범위에서 갖가지 변경이 가능하다.
전술한 실시 형태(제1 실시 형태 내지 제4 실시 형태)에서는 경계판(205)의 수평 방향의 단부와 반응 용기(203)의 측벽 사이에 극간이 설치되고, 처리실(201) 내의 압력이 각각의 영역에서 마찬가지인 경우에 대하여 설명했지만, 제1 처리 영역(206a), 제1 퍼지 영역(207a), 제2 처리 영역(206b), 제3 처리 영역(206c), 제2 퍼지 영역(207b)이 기밀하게 구분되어도 좋다. 또한 각각의 영역 내의 압력이 서로 달라도 좋다.
또한 전술한 실시 형태(제1 실시 형태 내지 제4 실시 형태)에서는 하나의 프로세스 챔버(202)로 5매의 웨이퍼(200)를 처리하는 경우에 대하여 설명했지만, 하나의 프로세스 챔버(202)로 1매의 웨이퍼(200)를 처리해도 좋고, 5매을 초과하는 매수의 웨이퍼(200)를 처리해도 좋다.
또한 전술한 실시 형태(제1 실시 형태 내지 제4 실시 형태)에서는 예비실(122) 또는 예비실(123)이 웨이퍼(200)를 반입하는 기능과 웨이퍼(200)를 반출하는 기능을 병용 가능하도록 구성되는 경우에 대하여 설명했지만, 예비실(122) 및 예비실(123) 중 어느 일방(一方)을 반출용으로 하고 타방(他方)을 반입용으로 해도 좋다. 예비실(122) 또는 예비실(123)을 반입용과 반출용을 전용으로 하는 것에 의해 크로스 컨태미네이션을 저감할 수 있고, 병용으로 하는 것에 의해 기판의 반송 효율을 향상시킬 수 있다.
또한 전술한 실시 형태(제1 실시 형태 내지 제4 실시 형태)에서는 1개의 프로세스 챔버(202)에서의 기판 처리에 대해서만 설명했지만, 각 프로세스 챔버로의 처리를 병행해서 수행해도 좋다.
또한 전술한 실시 형태(제1 실시 형태 내지 제4 실시 형태)에서는 4개의 프로세스 챔버(202)가 각각 마찬가지로 구성되는 경우에 대하여 설명했지만, 각 프로세스 챔버를 다른 구성으로 하고 각 프로세스 챔버로 각각 별도의 처리를 수행해도 좋다. 예컨대 제1 프로세스 챔버와 제2 프로세스 챔버로 다른 처리를 수행하는 경우, 제1 프로세스 챔버로 웨이퍼(200)에 소정의 처리를 수행한 후, 계속해서 제2 프로세스 챔버로 제1 프로세스 챔버와 다른 처리를 수행해도 좋다. 또한 제1 프로세스 챔버로 기판에 소정의 처리를 수행한 후, 제2 프로세스 챔버로 다른 처리를 수행하는 경우, 예비실을 경유해도 좋다.
또한 전술한 실시 형태(제1 실시 형태 내지 제4 실시 형태)에서는 원료 가스로서 TiCl4가스를 이용하고, 반응 가스로서 NH3가스를 이용하여, 웨이퍼(200) 상에 질화막으로서 TiN막을 형성하는 경우에 대하여 설명했지만, 반응 가스로서 O2가스를 이용하여 산화막을 형성해도 좋다. TaN, SiN등의 그 외의 질화막, HfO, ZrO, SiO 등의 산화막, Ru, Ni, W 등의 메탈막을 웨이퍼(200) 상에 형성해도 좋다. 전술한 효과는 원료 가스로서 TiCl4가스 이외의 가스를 이용하는 경우에도 마찬가지로 얻을 수 있다. 또한 N함유 가스로서 NH3가스 이외의 가스를 이용하는 경우나, 퍼지 가스로서 N2가스 이외의 불활성 가스를 이용하는 경우에도 마찬가지로 얻을 수 있다.
또한 전술한 실시 형태(제1 실시 형태 내지 제4 실시 형태)에서는 제3 처리 영역(206c)에서의 플라즈마 생성부의 전극은 봉 형상인 경우를 설명했지만, 이에 한정되지 않는다. 플라즈마 생성부의 전극은 서로 대향하는 빗 형상의 전극이나 그 외 의 형상의 전극이어도 좋다. 또한 플라즈마 생성부의 전극은 각 처리 영역의 대략 모든 영역을 피복해도 좋다.
또한 전술한 실시 형태(제1 실시 형태 내지 제4 실시 형태)에서는 각 처리 영역 내에 처리 가스를 공급하고 플라즈마 생성부에 의해 플라즈마를 생성하는 경우에 대하여 설명했지만, 이에 한정되지 않는다. 반응 용기 외에서 플라즈마를 생성하는 리모트 플라즈마 방법이나, 에너지 레벨이 높은 오존을 이용해도 좋다. 또한 제3 처리 영역(206c)에서의 개질 처리는 개질 가스 플라즈마 생성부에 의해 생성된 용량 결합형 플라즈마에 의한 개질 처리를 수행하지만, 이 형태에 한정되지 않다는 것은 말할 필요도 없다. 예컨대 용량 결합형 플라즈마가 아닌 유도 결합형 플라즈마, 마이크로파를 이용한 플라즈마 등, 다른 플라즈마로 수행해도 좋고, 또한 개질 처리를 플라즈마로 수행할 필요가 없고, 예컨대 램프 가열 등의 어닐링이어도 좋다.
또한 전술한 실시 형태(제1 실시 형태 내지 제4 실시 형태)에서는 불활성 가스 도입부(285)를 제1 퍼지 영역(207a)과 제2 퍼지 영역(207b)에서 공용으로 한 경우에 대하여 설명했지만, 불활성 가스 도입부는 개별로 설치해도 좋다.
또한 상기 실시 형태(제1 실시 형태 내지 제4 실시 형태)에서는 반응 용기(203)의 중앙으로부터 각 처리 영역 내에 각각의 가스를 공급하는 경우에 대하여 설명했지만, 각 처리 영역에 가스를 공급하는 노즐이 설치되어도 좋다.
또한 전술한 실시 형태(제1 실시 형태 내지 제4 실시 형태)에서는 승강 기구(268)를 이용하여 서셉터(217)를 승강시키는 것에 의해 웨이퍼(200)를 처리 위치나 반송 위치에 이동시키는 경우에 대하여 설명했지만, 웨이퍼 승강핀이 승강하는 것에 의해 웨이퍼를 처리 위치나 반송 위치에 이동시켜도 좋다.
또한 전술한 제2 실시 형태에서는 제4 처리 영역(206d) 내에 전처리 가스를 공급하는 전처리 가스 공급계가 제3 처리 영역(206c) 내에 개질 가스를 공급하는 개질 가스 공급계와는 별도로 설치되는 경우에 대하여 설명했지만, 전처리 가스가 개질 가스와 동일한 경우, 전처리 가스 공급계는 개질 가스 공급계의 적어도 일부를 공유해도 좋다.
또한 전술한 제2 실시 형태에서는 전처리 가스가 개질 가스와 동일한 경우에 대하여 설명했지만, 전처리 가스는 개질 가스와 다른 가스이어도 좋다.
또한 전술한 제2 실시 형태에서는 기판 처리 장치(10)의 프로세스 챔버(202)는 웨이퍼(200)가 제2 처리 영역(206b)보다 전에 통과해 전처리 가스가 공급되는 제4 처리 영역(206d)과 웨이퍼(200)가 제2 처리 영역(206b)보다 뒤에 통과해 개질 가스가 공급되는 제3 처리 영역(206c)을 포함하는 경우에 대하여 설명했지만, 기판 처리 장치의 프로세스 챔버는 제4 처리 영역 및 제3 처리 영역 중 적어도 어느 하나를 포함하면 좋다. 즉 기판 처리 장치의 프로세스 챔버는 웨이퍼가 제2 처리 영역보다 전에 통과하고 전처리 가스가 공급되는 제4 처리 영역만을 포함해도 좋다.
또한 전술한 실시 형태(제1 실시 형태 내지 제4 실시 형태)에서는 제1 처리 영역(206a) 및 제2 처리 영역(206b)의 사이에 제1 퍼지 영역(207a)이 설치되고, 제3 처리 영역(206c) 및 제1 처리 영역(206a) 사이에 제2 퍼지 영역(207b)이 설치되는 경우에 대하여 설명했지만, 퍼지 영역의 설치 장소는 임의로 변경할 수 있다. 예컨대 제1 퍼지 영역 및 제2 퍼지 영역 중 적어도 어느 일방은 설치되지 않아도 좋다. 또는 제2 처리 영역(206b) 및 제3 처리 영역(206c) 사이에 제3 퍼지 영역이 설치되어도 좋다.
또한 전술한 실시 형태(제1 실시 형태 내지 제3 실시 형태)에서는 반응 용기(203)의 천정부의 중앙부에 설치된 가스 도입부(280)로부터 뿐만 아니라 각플라즈마 생성부가 포함하는 복수의 가스 분출구로부터도 각 처리 영역 내에 처리 가스를 공급하도록 구성되는 경우에 대하여 설명했지만, 반응 용기 천정부의 중앙부에 설치된 가스 도입부 및 각 플라즈마 생성부가 포함하는 복수의 가스 분출구의 적어도 어느 일방으로부터 각 처리 영역 내에 처리 가스가 공급되면 좋다.
<본 발명의 바람직한 형태>
이하, 본 발명의 바람직한 형태에 대하여 부기(附記)한다.
〔부기1〕
본 발명의 일 형태에 의하면,
제1 처리 영역, 제2 처리 영역 및 제3 처리 영역을 포함하고, 상기 제1 처리 영역 내, 상기 제2 처리 영역 내 및 상기 제3 처리 영역 내에서 기판을 처리하는 처리실;
상기 기판을 재치하는 기판 재치대를 회전시키는 회전부;
상기 제1 처리 영역 내에 원료 가스를 공급하고, 상기 제2 처리 영역 내에 반응 가스를 공급하고, 상기 제3 처리 영역 내에 개질 가스를 공급하는 처리 가스 공급계;
상기 제2 처리 영역 내에 상기 반응 가스의 플라즈마를 생성하는 반응 가스 플라즈마 생성부;
상기 제3 처리 영역 내에 상기 개질 가스의 플라즈마를 생성하는 개질 가스 플라즈마 생성부; 및
상기 기판 재치대를 회전시켜서 상기 제1 처리 영역, 상기 제2 처리 영역 및 상기 제3 처리 영역을 순서대로 상기 기판을 통과시킬 때, 상기 기판이 상기 제1 처리 영역을 통과 중에 상기 기판 상에 제1층을 형성시키고, 상기 기판이 상기 제2 처리 영역을 통과 중에 상기 반응 가스의 플라즈마를 상기 제1층과 반응시켜서 제2층을 형성시키고, 상기 기판이 상기 제3 처리 영역을 통과 중에 상기 개질 가스의 플라즈마에 의해 상기 제2층을 개질시키도록, 상기 회전부, 상기 처리 가스 공급계, 상기 반응 가스 플라즈마 생성부 및 상기 개질 가스 플라즈마 생성부를 각각 제어하도록 구성되는 제어부;
를 포함하는 기판 처리 장치가 제공된다.
〔부기2〕
부기1에 기재된 기판 처리 장치로서 바람직하게는,
상기 제어부는 상기 개질 가스에 인가되는 플라즈마 전력을 상기 반응 가스에 인가되는 플라즈마 전력보다 높게 하도록 상기 반응 가스 플라즈마 생성부 및 상기 개질 가스 플라즈마 생성부를 제어하도록 구성된다.
〔부기3〕
부기1 또는 부기2에 기재된 기판 처리 장치로서 바람직하게는,
상기 처리실은 상기 기판 재치대의 회전에 의해 상기 기판이 통과 가능한 상태에서 상기 처리실을 상기 제1 처리 영역, 상기 제2 처리 영역 및 상기 제3 처리 영역으로 분할하는 복수의 분할 구조체를 더 포함한다.
〔부기4〕
부기3에 기재된 기판 처리 장치로서 바람직하게는,
상기 제3 처리 영역은 상기 분할 구조체를 개재하여 상기 제2 처리 영역에 인접해서 설치된다.
〔부기5〕
부기3 또는 부기4에 기재된 기판 처리 장치로서 바람직하게는,
상기 처리실은 상기 분할 구조체 하에 상기 기판이 통과 가능한 극간을 포함한다.
〔부기6〕
부기1 내지 부기5 중 어느 하나에 기재된 기판 처리 장치로서 바람직하게는,
상기 처리실은 상기 제1 처리 영역과 상기 제2 처리 영역 사이에 설치되는 퍼지 영역; 및
상기 퍼지 영역 내에 불활성 가스를 공급하는 불활성 가스 공급계;
를 더 포함한다.
〔부기7〕
부기1 내지 부기6 중 어느 하나에 기재된 기판 처리 장치로서 바람직하게는,
상기 제어부는 상기 기판 재치대를 소정의 각(角)속도로 회전시키고, 상기 제1 처리 영역, 상기 제2 처리 영역, 상기 제3 처리 영역의 순서대로 상기 기판을 복수 회 통과시키도록 구성된다.
〔부기8〕
부기1 내지 부기7 중 어느 하나에 기재된 기판 처리 장치로서 바람직하게는,
상기 개질 가스 플라즈마 생성부의 플라즈마 여기 주파수는 상기 반응 가스 플라즈마 생성부의 플라즈마 여기 주파수와 다르도록 구성된다.
〔부기9〕
부기1 내지 부기8 중 어느 하나에 기재된 기판 처리 장치로서 바람직하게는,
상기 개질 가스 플라즈마 생성부와 상기 반응 가스 플라즈마 생성부는 플라즈마를 생성하는 전극의 구성이 다르도록 구성된다.
〔부기10〕
부기1 내지 부기9 중 어느 하나에 기재된 기판 처리 장치로서 바람직하게는,
상기 처리실은 상기 제1 처리 영역과 상기 제2 처리 영역 사이에 설치되는 제4 처리 영역;
상기 처리 가스 공급계의 일부로서 설치되고, 상기 제4 처리 영역 내에 전처리 가스를 공급하는 전처리 가스 공급계;
상기 제4 처리 영역 내에 적어도 일부가 설치되고, 상기 제4 처리 영역 내에 상기 전처리 가스의 플라즈마를 생성하는 전처리 가스 플라즈마 생성부;
를 더 포함하고,
상기 제어부는, 상기 기판 재치대의 회전에 의해 상기 기판을 순차적으로 상기 제1 처리 영역, 상기 제4 처리 영역, 상기 제2 처리 영역 및 상기 제3 처리 영역을 통과시킬 때, 상기 기판이 상기 제4 처리 영역을 통과할 때에 상기 전처리 가스 플라즈마 생성부에 의해 생성된 상기 전처리 가스의 플라즈마에 의해 상기 제1층을 개질하여 개질층을 형성시키도록 상기 전처리 가스 공급계 및 상기 전처리 가스 플라즈마 생성부를 각각 제어하도록 구성된다.
〔부기11〕
부기10에 기재된 기판 처리 장치로서 바람직하게는,
상기 전처리 가스 플라즈마 생성부는 상기 반응 가스 플라즈마 생성부의 플라즈마 여기 주파수와는 다른 플라즈마 여기 주파수가 설정되도록 구성된다.
〔부기12〕
부기1 내지 부기11 중 어느 하나에 기재된 기판 처리 장치로서 바람직하게는,
상기 제3 처리 영역은 상기 제2 처리 영역보다 넓게 구성된다.
〔부기13〕
부기1 내지 부기12 중 어느 하나에 기재된 기판 처리 장치로서 바람직하게는,
상기 제2 처리 영역 및 상기 제3 처리 영역을 합친 영역은 상기 제1 처리 영역보다 넓게 구성된다.
〔부기14〕
부기1 내지 부기13 중 어느 하나에 기재된 기판 처리 장치로서 바람직하게는,
상기 처리 가스 공급계는 상기 제2 처리 영역 및 상기 제3 처리 영역에서 상기 기판에 플라즈마가 되어 조사되는 상기 반응 가스 및 상기 개질 가스의 합계의 공급량을 상기 제1 처리 영역에서 상기 기판에 공급되는 상기 원료 가스의 공급량보다 많도록 구성된다.
〔부기15〕
부기1 내지 부기14 중 어느 하나에 기재된 기판 처리 장치로서 바람직하게는,
상기 처리 가스 공급계는 상기 원료 가스로서 Ti, Ta, Si, Hf, Zr, Ru, Ni 및 W로 이루어지는 군(群)으로부터 선택되는 적어도 어느 하나를 포함하는 가스를 공급하도록 구성된다.
〔부기16〕
부기1 내지 부기14 중 어느 하나에 기재된 기판 처리 장치로서 바람직하게는,
상기 처리 가스 공급계는 상기 반응 가스로서 NH3가스, N2가스, H2가스 및 O2가스로 이루어지는 군으로부터 선택되는 적어도 어느 하나를 공급하도록 구성된다.
〔부기17〕
부기1 내지 부기14 중 어느 하나에 기재된 기판 처리 장치로서 바람직하게는,
상기 처리 가스 공급계는 상기 개질 가스로서 H2가스, N2가스, O2가스, He가스 및 Ar가스로 이루어지는 군으로부터 선택되는 적어도 어느 하나를 공급하도록 구성된다.
〔부기18〕
본 발명의 다른 형태에 의하면,
제1 처리 영역, 제2 처리 영역 및 제3 처리 영역을 포함하고, 상기 제1 처리 영역 내, 상기 제2 처리 영역 내 및 상기 제3 처리 영역 내에서 기판을 처리하는 처리실;
상기 기판을 재치하는 기판 재치대를 회전시키는 회전 기구;
상기 제1 처리 영역 내에 원료 가스를 공급하고, 상기 제2 처리 영역 내에 반응 가스를 공급하고, 상기 제3 처리 영역 내에 전처리 가스를 공급하는 처리 가스 공급계;
상기 제2 처리 영역 내에 상기 반응 가스의 플라즈마를 생성하는 반응 가스 플라즈마 생성부;
상기 제3 처리 영역 내에 상기 전처리 가스의 플라즈마를 생성하는 전처리 가스 플라즈마 생성부; 및
상기 기판 재치대의 회전에 의해 상기 제1 처리 영역, 상기 제3 처리 영역 및 상기 제2 처리 영역을 순서대로 상기 기판을 통과시킬 때, 상기 기판이 상기 제1 처리 영역을 통과 중에 상기 기판 상에 원료 가스를 공급하여 제1층을 형성시키고, 상기 기판이 상기 제3 처리 영역을 통과 중에 상기 전처리 가스의 플라즈마에 의해 상기 제1층을 개질하여 개질층을 형성시시키고, 상기 기판이 상기 제2 처리 영역을 통과 중에 상기 반응 가스의 플라즈마를 상기 개질층과 반응시키는 것에 의해 제2층을 형성시키도록, 상기 회전 기구, 상기 처리 가스 공급계, 상기 반응 가스 플라즈마 생성부 및 상기 개질 가스 플라즈마 생성부를 제어하도록 구성되는 제어부;
를 포함하는 기판 처리 장치가 제공된다.
〔부기19〕
본 발명의 다른 형태에 의하면,
처리실 내에 회전 가능하도록 설치된 기판 재치대에 기판을 재치하는 공정;
상기 처리실 내에 설치된 제1 처리 영역 내에 원료 가스를 공급하는 공정;
상기 처리실 내에 설치된 제2 처리 영역 내에 반응 가스의 플라즈마를 생성하는 공정;
상기 처리실 내에 설치된 제3 처리 영역 내에 개질 가스의 플라즈마를 생성하는 공정;
상기 기판 재치대의 회전에 의해 상기 제1 처리 영역, 상기 제2 처리 영역 및 상기 제3 처리 영역을 순서대로 상기 기판을 통과시킬 때,
상기 기판이 상기 제1 처리 영역을 통과 중에 상기 기판 상에 제1층을 형성하는 공정;
상기 기판이 상기 제2 처리 영역을 통과 중에 상기 반응 가스의 플라즈마와 상기 제1층을 반응시키는 것에 의해 제2층을 형성하는 공정; 및
상기 기판이 상기 제3 처리 영역을 통과 중에 상기 개질 가스의 플라즈마에 의해 상기 제2층을 개질하는 공정;
을 포함하는 반도체 장치의 제조 방법이 제공된다.
〔부기20〕
본 발명의 다른 형태에 의하면,
처리실 내에 회전 가능하도록 설치된 기판 재치대에 기판을 재치하는 순서;
상기 처리실 내에 설치된 제1 처리 영역 내에 원료 가스를 공급하는 순서;
상기 처리실 내에 설치된 제2 처리 영역 내에 반응 가스의 플라즈마를 생성하는 순서;
상기 처리실 내에 설치된 제3 처리 영역 내에 개질 가스의 플라즈마를 생성하는 순서;
상기 기판 재치대의 회전에 의해 상기 제1 처리 영역, 상기 제2 처리 영역 및 상기 제3 처리 영역을 순서대로 상기 기판을 통과시킬 때,
상기 기판이 상기 제1 처리 영역을 통과 중에 상기 기판 상에 제1층을 형성하는 순서;
상기 기판이 상기 제2 처리 영역을 통과 중에 상기 반응 가스의 플라즈마와 상기 제1층을 반응시키는 것에 의해 제2층을 형성하는 순서; 및
상기 기판이 상기 제3 처리 영역을 통과 중에 상기 개질 가스의 플라즈마에 의해 상기 제2층을 개질하는 순서;
를 컴퓨터에 실행시키는 프로그램, 또는 상기 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.
10: 기판 처리 장치 200: 웨이퍼(기판)
201: 처리실 203: 반응 용기
206a: 제1 처리 영역 206b: 제2 처리 영역
206c: 제3 처리 영역 217: 서셉터(기판 재치대)
267: 회전 기구 270a: 반응 가스 플라즈마 생성부
270b: 개질 가스 플라즈마 생성부 300: 컨트롤러(제어부)

Claims (13)

  1. 제1 처리 영역, 제2 처리 영역, 제3 처리 영역, 제4 처리 영역 및 상기 제1 처리 영역과 상기 제4 처리 영역의 사이에 설치되는 퍼지 영역을 포함하고, 상기 제1 처리 영역 내, 상기 제2 처리 영역 내, 상기 제3 처리 영역 내, 상기 제4 처리 영역 내 및 상기 퍼지 영역에서 기판을 처리하는 처리실;
    상기 기판을 재치하는 기판 재치대를 회전시키는 회전부;
    상기 제1 처리 영역 내에 원료 가스를 공급하고, 상기 제2 처리 영역 내에 반응 가스를 공급하고, 상기 제3 처리 영역 내에 개질 가스를 공급하고, 상기 제4 처리 영역 내에 전처리 가스를 공급하는 처리 가스 공급계;
    상기 퍼지 영역 내에 불활성 가스를 공급하는 불활성 가스 공급계;
    상기 제2 처리 영역 내에 상기 반응 가스의 플라즈마를 생성하는 반응 가스 플라즈마 생성부;
    상기 제3 처리 영역 내에 상기 개질 가스의 플라즈마를 생성하는 개질 가스 플라즈마 생성부;
    상기 제4 처리 영역 내에 상기 전처리 가스의 플라즈마를 생성하는 전처리 가스 플라즈마 생성부; 및
    상기 기판 재치대를 회전시켜서 상기 제1 처리 영역, 상기 퍼지 영역, 상기 제4 처리 영역, 상기 제2 처리 영역 및 상기 제3 처리 영역을 순서대로 상기 기판을 통과시킬 때, 상기 기판이 상기 제1 처리 영역을 통과 중에 상기 기판 상에 제1층을 형성시키고, 상기 기판이 상기 퍼지 영역을 통과 중에 상기 불활성 가스에 의해 상기 기판의 표면을 퍼지시키고, 상기 기판이 상기 제4 처리 영역을 통과 중에 상기 전처리 가스의 플라즈마에 의해 상기 제1층을 개질시키고, 상기 기판이 상기 제2 처리 영역을 통과 중에 상기 반응 가스의 플라즈마를 상기 전처리 가스의 플라즈마에 의해 개질된 상기 제1층과 반응시켜서 제2층을 형성시키고, 상기 기판이 상기 제3 처리 영역을 통과 중에 상기 개질 가스의 플라즈마에 의해 상기 제2층을 개질시키도록, 상기 회전부, 상기 처리 가스 공급계, 상기 불활성 가스 공급계, 상기 반응 가스 플라즈마 생성부 및 상기 개질 가스 플라즈마 생성부를 각각 제어하도록 구성되는 제어부;
    를 포함하는 기판 처리 장치.
  2. 제1항에 있어서,
    상기 제3 처리 영역은 상기 제2 처리 영역보다 넓게 구성되는 기판 처리 장치.
  3. 제1항에 있어서,
    상기 처리실은 상기 기판 재치대의 회전에 의해 상기 기판이 통과 가능한 상태에서 상기 처리실을 상기 제1 처리 영역, 상기 제2 처리 영역, 상기 제3 처리 영역 및 제4 처리 영역으로 분할하는 분할 구조체를 포함하는 기판 처리 장치.
  4. 제3항에 있어서,
    상기 제4 처리 영역은 상기 분할 구조체를 개재하여 상기 제2 처리 영역에 인접해서 설치되는 기판 처리 장치.
  5. 제1항에 있어서,
    상기 전처리 가스 플라즈마 생성부는 상기 반응 가스 플라즈마 생성부와는 다른 플라즈마 여기 주파수가 설정되도록 구성되는 기판 처리 장치.
  6. 삭제
  7. 제1항에 있어서,
    상기 전처리 가스는 H2가스, N2가스, O2가스, He가스 및 Ar가스로 이루어지는 군으로부터 선택되는 적어도 하나를 포함하고, 상기 반응 가스와는 다른 가스인 기판 처리 장치.
  8. 제1항에 있어서,
    상기 개질 가스 플라즈마 생성부와 상기 반응 가스 플라즈마 생성부는 플라즈마를 생성하는 전극의 구성이 다르도록 구성되는 기판 처리 장치.
  9. 제1항에 있어서,
    상기 처리 가스 공급계는 상기 원료 가스로서 Ti, Ta, Si, Hf, Zr, Ru, Ni 및 W로 이루어지는 군(群)으로부터 선택되는 적어도 하나를 제1 원소에 포함하는 가스를 공급하도록 구성되는 기판 처리 장치.
  10. 제7항에 있어서,
    상기 전처리 가스는 상기 개질 가스와 동일한 가스인 기판 처리 장치.
  11. 제1항에 있어서,
    상기 처리 가스 공급계는 상기 개질 가스로서 H2가스, N2가스, O2가스, He가스 및 Ar가스로 이루어지는 군으로부터 선택되는 적어도 하나를 공급하도록 구성되는 기판 처리 장치.
  12. 처리실 내에 회전 가능하도록 설치된 기판 재치대에 기판을 재치하는 공정;
    상기 처리실 내에 설치된 제1 처리 영역 내에 원료 가스를 공급하는 공정;
    상기 처리실 내에 설치된 퍼지 영역 내에 불활성 가스를 공급하는 공정;
    상기 처리실 내에 설치된 제4 처리 영역 내에 전처리 가스의 플라즈마를 생성하는 공정;
    상기 처리실 내에 설치된 제2 처리 영역 내에 반응 가스의 플라즈마를 생성하는 공정;
    상기 처리실 내에 설치된 제3 처리 영역 내에 개질 가스의 플라즈마를 생성하는 공정;
    상기 기판 재치대의 회전에 의해 상기 제1 처리 영역, 상기 퍼지 영역, 상기 제4 처리 영역, 상기 제2 처리 영역 및 제3 처리 영역을 순서대로 상기 기판을 통과시킬 때,
    상기 기판이 상기 제1 처리 영역을 통과 중에 상기 기판 상에 제1층을 형성하는 공정;
    상기 기판이 상기 퍼지 영역을 통과 중에 상기 불활성 가스에 의해 상기 기판의 표면을 퍼지하는 공정;
    상기 기판이 상기 제4 처리 영역을 통과 중에 상기 전처리 가스의 플라즈마에 의해 상기 제1층을 개질하는 공정;
    상기 기판이 상기 제2 처리 영역을 통과 중에 상기 반응 가스의 플라즈마와 상기 전처리 가스의 플라즈마에 의해 개질된 상기 제1층을 반응시키는 것에 의해 제2층을 형성하는 공정; 및
    상기 기판이 상기 제3 처리 영역을 통과 중에 상기 개질 가스의 플라즈마에 의해 상기 제2층을 개질하는 공정;
    을 포함하는 반도체 장치의 제조 방법.
  13. 처리실 내에 회전 가능하도록 설치된 기판 재치대에 기판을 재치하는 단계;
    상기 처리실 내에 설치된 제1 처리 영역 내에 원료 가스를 공급하는 단계;
    상기 처리실 내에 설치된 퍼지 영역 내에 불활성 가스를 공급하는 단계;
    상기 처리실 내에 설치된 제4 처리 영역 내에 전처리 가스의 플라즈마를 생성하는 단계;
    상기 처리실 내에 설치된 제2 처리 영역 내에 반응 가스의 플라즈마를 생성하는 단계;
    상기 처리실 내에 설치된 제3 처리 영역 내에 개질 가스의 플라즈마를 생성하는 단계;
    상기 기판 재치대의 회전에 의해 상기 제1 처리 영역, 상기 퍼지 영역, 상기 제4 처리 영역, 상기 제2 처리 영역 및 제3 처리 영역을 순서대로 상기 기판을 통과시킬 때,
    상기 기판이 상기 제1 처리 영역을 통과 중에 상기 기판 상에 제1층을 형성하는 단계;
    상기 기판이 상기 퍼지 영역을 통과 중에 상기 불활성 가스에 의해 상기 기판의 표면을 퍼지하는 단계;
    상기 기판이 상기 제4 처리 영역을 통과 중에 상기 전처리 가스의 플라즈마에 의해 상기 제1층을 개질하는 단계;
    상기 기판이 상기 제2 처리 영역을 통과 중에 상기 반응 가스의 플라즈마와 상기 전처리 가스의 플라즈마에 의해 개질된 상기 제1층을 반응시키는 것에 의해 제2층을 형성하는 단계; 및
    상기 기판이 상기 제3 처리 영역을 통과 중에 상기 개질 가스의 플라즈마에 의해 상기 제2층을 개질하는 단계;
    를 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체.
KR1020150029067A 2014-03-06 2015-03-02 기판 처리 장치 및 반도체 장치의 제조 방법 및 기록 매체 KR101724394B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2014043758 2014-03-06
JPJP-P-2014-043758 2014-03-06
JPJP-P-2015-020166 2015-02-04
JP2015020166A JP2015180768A (ja) 2014-03-06 2015-02-04 基板処理装置及び半導体装置の製造方法並びに記録媒体

Publications (2)

Publication Number Publication Date
KR20150105216A KR20150105216A (ko) 2015-09-16
KR101724394B1 true KR101724394B1 (ko) 2017-04-07

Family

ID=54329128

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150029067A KR101724394B1 (ko) 2014-03-06 2015-03-02 기판 처리 장치 및 반도체 장치의 제조 방법 및 기록 매체

Country Status (4)

Country Link
US (1) US9441294B2 (ko)
JP (1) JP2015180768A (ko)
KR (1) KR101724394B1 (ko)
TW (1) TWI545677B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200119287A (ko) * 2018-03-19 2020-10-19 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 전력 급전 기구, 회전 베이스 장치 및 반도체 가공 장비

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN205159286U (zh) * 2012-12-31 2016-04-13 菲力尔系统公司 用于微辐射热计真空封装组件的晶片级封装的装置
US10373794B2 (en) 2015-10-29 2019-08-06 Lam Research Corporation Systems and methods for filtering radio frequencies from a signal of a thermocouple and controlling a temperature of an electrode in a plasma chamber
US10043636B2 (en) * 2015-12-10 2018-08-07 Lam Research Corporation Apparatuses and methods for avoiding electrical breakdown from RF terminal to adjacent non-RF terminal
JP6545094B2 (ja) * 2015-12-17 2019-07-17 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6569520B2 (ja) * 2015-12-24 2019-09-04 東京エレクトロン株式会社 成膜装置
KR102099323B1 (ko) 2016-07-14 2020-04-09 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6456893B2 (ja) * 2016-09-26 2019-01-23 株式会社Kokusai Electric 半導体装置の製造方法、記録媒体および基板処理装置
JP6772886B2 (ja) * 2017-02-20 2020-10-21 東京エレクトロン株式会社 成膜装置
JP6564802B2 (ja) * 2017-03-22 2019-08-21 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
JP6817883B2 (ja) * 2017-04-25 2021-01-20 東京エレクトロン株式会社 成膜方法
JP6919350B2 (ja) 2017-06-09 2021-08-18 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6873007B2 (ja) * 2017-08-09 2021-05-19 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
JP6838010B2 (ja) * 2018-03-22 2021-03-03 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
KR102652116B1 (ko) * 2018-06-18 2024-03-29 어플라이드 머티어리얼스, 인코포레이티드 쌍을 이룬 동적 평행판 용량성 결합된 플라즈마들
TWI807195B (zh) 2019-06-28 2023-07-01 美商應用材料股份有限公司 用於改良高k可靠度之摻氟氮化物膜
JP7030858B2 (ja) * 2020-01-06 2022-03-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP7361005B2 (ja) * 2020-09-18 2023-10-13 株式会社Kokusai Electric 基板処理装置、基板保持具、半導体装置の製造方法、及び、プログラム
KR102525514B1 (ko) * 2021-01-28 2023-04-25 에스케이실트론 주식회사 반응성 미세입자의 발생을 억제하는 에피택셜 성장장치 및 에피택셜 성장방법
WO2023219400A1 (ko) * 2022-05-10 2023-11-16 주성엔지니어링(주) 반도체 소자의 전극 형성 방법 및 반도체 소자의 전극

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4983025B2 (ja) 2006-01-17 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
JP5262452B2 (ja) 2008-08-29 2013-08-14 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP5181100B2 (ja) * 2009-04-09 2013-04-10 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5327147B2 (ja) * 2009-12-25 2013-10-30 東京エレクトロン株式会社 プラズマ処理装置
JP6000665B2 (ja) * 2011-09-26 2016-10-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP5803714B2 (ja) 2012-02-09 2015-11-04 東京エレクトロン株式会社 成膜装置
JP5857896B2 (ja) * 2012-07-06 2016-02-10 東京エレクトロン株式会社 成膜装置の運転方法及び成膜装置
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200119287A (ko) * 2018-03-19 2020-10-19 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 전력 급전 기구, 회전 베이스 장치 및 반도체 가공 장비
KR102437306B1 (ko) * 2018-03-19 2022-08-29 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 전력 급전 기구, 회전 베이스 장치 및 반도체 가공 장비

Also Published As

Publication number Publication date
TW201535570A (zh) 2015-09-16
US20150325434A1 (en) 2015-11-12
TWI545677B (zh) 2016-08-11
JP2015180768A (ja) 2015-10-15
US9441294B2 (en) 2016-09-13
KR20150105216A (ko) 2015-09-16

Similar Documents

Publication Publication Date Title
KR101724394B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법 및 기록 매체
US10163625B2 (en) Method for manufacturing semiconductor device, substrate-processing apparatus, and recording medium
TWI602943B (zh) 基板處理方法及基板處理裝置
US20210198785A1 (en) Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium
JP5599350B2 (ja) 成膜装置及び成膜方法
US10355098B2 (en) Method of manufacturing semiconductor device
KR101657341B1 (ko) 성막 방법
JP5940199B1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
CN107408493B (zh) 脉冲氮化物封装
KR20180034167A (ko) 반도체 장치의 제조 방법, 기록 매체 및 기판 처리 장치
JP6591046B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
KR20150105218A (ko) 기판 처리 장치 및 반도체 장치의 제조 방법 및 기록 매체
KR20150037662A (ko) 복합 peald 및 pecvd 방법을 사용하여 가변 애스팩트 비 피처들의 갭충진
JP2012195513A (ja) プラズマ処理装置
JP6741780B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6332746B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
US10094023B2 (en) Methods and apparatus for chemical vapor deposition of a cobalt layer
JP2015019075A (ja) 成膜装置及び成膜方法
KR101590823B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법 및 가스 급배 방법
TWI785510B (zh) 基板處理裝置、半導體裝置之製造方法及記錄媒體
JP7457818B2 (ja) 基板処理装置、半導体装置の製造方法、プログラム、補助プレートおよび基板保持具
US20230207261A1 (en) Substrate processing apparatus, plasma generating apparatus, and method of manufacturing semiconductor device
KR102559937B1 (ko) 기판 처리 장치, 기판 보지부, 반도체 장치의 제조 방법 및 프로그램
KR20180120579A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
CN117747396A (zh) 衬底处理装置、等离子体生成装置、等离子体生成方法、半导体器件的制造方法及记录介质

Legal Events

Date Code Title Description
A201 Request for examination
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant