KR101723769B1 - 그래핀의 직접 전사 방법 및 그래핀층 상의 선택적 원자층 증착 방법 - Google Patents

그래핀의 직접 전사 방법 및 그래핀층 상의 선택적 원자층 증착 방법 Download PDF

Info

Publication number
KR101723769B1
KR101723769B1 KR1020160018703A KR20160018703A KR101723769B1 KR 101723769 B1 KR101723769 B1 KR 101723769B1 KR 1020160018703 A KR1020160018703 A KR 1020160018703A KR 20160018703 A KR20160018703 A KR 20160018703A KR 101723769 B1 KR101723769 B1 KR 101723769B1
Authority
KR
South Korea
Prior art keywords
graphene
thin film
metal thin
substrate
layer
Prior art date
Application number
KR1020160018703A
Other languages
English (en)
Inventor
정원석
Original Assignee
원광대학교산학협력단
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 원광대학교산학협력단 filed Critical 원광대학교산학협력단
Priority to KR1020160018703A priority Critical patent/KR101723769B1/ko
Application granted granted Critical
Publication of KR101723769B1 publication Critical patent/KR101723769B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1606Graphene
    • C01B31/04
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/54Providing fillings in containers, e.g. gas fillings

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

본 발명은 그래핀의 직접 전사 방법 및 그래핀층 상의 선택적 원자층 증착 방법에 관한 것이다. 보다 상세하게는, 본 발명은 (i) 금속 박막 상에 증착된 그래핀 층과 기판을 접촉시켜 적층체를 형성하는 단계; (ii) 상기 금속 박막과 상기 기판 사이에 전기장을 걸고 가압 및 가열하는 단계; 및 (iii) 상기 적층체에서 상기 금속 박막을 식각하는 단계를 포함하는, 그래핀 직접 전사 방법 및 이렇게 전사된 그래핀층 위의 선택적 원자층 증착 방법에 대한 것이다.

Description

그래핀의 직접 전사 방법 및 그래핀층 상의 선택적 원자층 증착 방법{Direct Transfer Method of Graphene and Method for Selective Atomic Layer Deposition onto Graphene Layer}
본 발명은 그래핀의 직접 전사 방법 및 그래핀층 상의 선택적 원자층 증착 방법에 관한 것이다. 보다 상세하게는, 본 발명은 (i) 금속 박막 상에 증착된 그래핀 층과 기판을 접촉시켜 적층체를 형성하는 단계; (ii) 상기 금속 박막과 상기 기판 사이에 전기장을 걸고 가압 및 가열하는 단계; 및 (iii) 상기 적층체에서 상기 금속 박막을 식각하는 단계를 포함하는, 그래핀 직접 전사 방법 및 이렇게 전사된 그래핀층 위의 선택적 원자층 증착 방법에 대한 것이다.
그래핀은 0.3 nm 정도의 두께로 지구상에서 가장 얇은 물질이다. 또한, 그래핀은 전기가 흐를 수 있는 전도체로서 매운 낮은 저항과 낮은 열 손실을 가지고 있어 전자소재로서도 매우 유망하다. 더욱이, 그래핀은 늘어나도 쉽게 찢어지지 않기 때문에 최근 화두가 되고 있는 플렉시블 디스플레이(flexible display, 유연 디스플레이)의 전극으로의 사용이 검토되고 있다.
최근에는 2차원의 면 형태로 되어 있는 그래핀을 포장재, 유연디스플레이의 수분을 차단하는 막, 지구 온난화에 영향이 클 것으로 판단되는 CO2 기체를 분리하는 용도로도 사용이 가능한 것으로 보고되고 있다.
초기에 그래핀은 흑연(graphite)의 기계적 박리에 의해 얻었다. 그러나 이렇게 얻은 그래핀 조각은 길이가 1 μm 정도의 매우 작은 크기이고, 균일한 특성을 보이지 않으므로 이를 이용해 제품을 개발하거나 공학적으로 응용하는 것은 한계가 있다.
최근에, 뛰어난 전기적 및 기계적 특성을 가지는 고품질, 대면적 그래핀 쉬트(graphene sheet)가 화학증기증착법(CVD)에 의해 동박(copper foil) 상에서 합성되었다(X. Li, W. Cai, J. An, S. Kim, J. Nah, D. Yang, et al., Science, 2009, 324:1312; W. Jung, D. Kim, M. Lee, S. Kim, J.-H. Kim, C.-S. Han, Advanced Materials, 2014, 26:6394). 이러한 CVD 그래핀은 나노전자 분야에 유망한 재료로서 널리 연구되고 있다. 또한, 선행 문헌들에는 바이오센서를 포함하는 많은 연구 분야 및 전계효과 트랜지스터(FET)에서 SiO2에 대한 대체물질로서, 그래핀과 원자층증착법(Atomic Layer Deposition (ALD))의 조합과 관련된 연구들이 포함되어 있다(B. Dlubak, P.R. Kidambi, R.S. Weatherup, S. Hofmann, J. Robertson, Appl . Phys. Lett ., 2012, 100:173113; X. Wang, S. M. Tabakman, H. Dai, Journal of the American Chemical Society, 2008, 130:8152; R. Chen, S. F. Bent, Andvanced Materials, 2006, 18:1086; S. Jandhyala, G. Mordi, B. Lee, C. Floresca, P.-R. Cha, et al., ACS Nano, 2012, 6:2722; M. Knez, K. Nielsch, L. Niinisto, Advanced Materials, 2007, 19:3425).
일반적으로 CVD 방법으로 그래핀을 성장시키려면 촉매 역할을 하는 구리, 니켈 또는 백금 등의 금속 기판이 주로 사용되는데, 이 중에서 단일층(monolayer) 그래핀을 얻기 위해서는 구리 기판이 많이 사용된다. 이와 같이 구리 기판 위에 성장된 그래핀은 구리 기판에 어느 정도 강하게 부착되어 있어서 그냥 떼어내는 것이 어렵고 구리 기판을 녹임으로써 다른 기판으로 옮기게 되는데 이를 전사(transfer) 과정이라고 하며, 대부분의 그래핀을 응용한 일을 수행하기 위해 반드시 거쳐야 하는 과정이다.
ALD는 순차적인 자기종료 표면반응 단계들(self-terminating surface reaction steps)을 사용함으로써 서브나노미터(sub-nanometer) 정밀도로 증착을 제어할 수 있는 강력한 박막 성장 기술이다. ALD에 의한 박막 증착은 화학흡착(chemisorption) 또는 표면의 작용기와 전구체 분자와의 빠른 반응에 의존한다. 따라서 ALD 전구체 분자가 용이하게 부착할 수 있는 표면 위로 박막을 증착시킬 수 있다.
그러나 그래핀 표면 위로의 H2O 기반의 전구체를 사용하는 유전체 박막의 균일한 ALD는 매우 어렵다(N. Y. Garces, V. D. Wheeler, J. K. Hite, G. G. Jernigan, J. L. Tedesco, N. Nepai, et al., Journal of Applied Physics, 2011, 109:124304). 그래핀 표면은 소수성이며 화학적으로 비활성이고; sp 2 결합이며 ALD 반응을 개시할 면외방향의(out-of-plane) 공유결합 작용기가 없다. ALD에 의해 성장한 박막으로 깨끗한 그래핀을 균일하게 코팅하기 위하여, 그래핀 표면을 작용기화하여 활성 ALD 핵형성 부위(nucleation site)를 생성하여야 한다. 따라서 Al2O3와 같은 유전체 박막은, 결함, 찢어짐(tearing) 및 가장자리(edge) 부위와 같은, 그래핀 표면 위의 활성 ALD 핵형성 부위에서 선택적으로 성장한다. 또한, 댕글링 본드(dangling bond) 및 말단 -OH기와 같은 반응성 종을 포함할 수 있는, SiO2 기판과 같은, 그래핀 표면 이외의 영역에서의 성장도 가능하다.
댕글링 본드 및 말단 -OH기의 존재는 그래핀 전사 방법에 의존하며, 3M 테이프를 사용하여 고도 정렬 열분해 흑연(highly ordered pyrolytic graphite)으로부터 몇 개의 그래핀 층을 박리하는 방법, PMMA 층을 통해 CVD에 의해 성장한 그래핀의 습식 전사 방법, 그리고 기계-전기-열적 전사 방법(mechano-electric-thermal (MET) transfer method)을 포함하는, 다양한 전사 방법이 개발되었다.
이러한 전사 방법들 중에서, 습식 전사 방법(도 1a 참조)이 전통적인 전사 방법으로서 사용되고 있고, 활성 ALD 핵형성 부위로서 작용하는 결함, PMMA 잔류물 및 접힘(fold)을 유발하는 것으로 알려졌다. 결과적으로, ALD 박막은 습식-전사 그래핀의 비특이적 결함 영역 및 접힌 부분(folded region)에서 성장할 수 있다.
그러나 그래핀의 습식 전사 방법은 몇 시간을 소요하는 처리 사이클(treatment cycle), 동박의 에칭과 관련된 폐기물 및 비용 등과 같은 몇 가지 문제점을 가진다. 또한, 아세톤 세척 또는 Ar/H2 대기에서의 어닐링 공정 후에도 그래핀으로부터 잔류 PMMA를 완전히 제거할 수 없다. 이러한 잔여 PMMA는 그래핀의 전기적 및 기계적 특성을 크게 저해한다.
한편, 그래핀의 MET 전사 방법(도 1b 참조)은 그래핀이 성장된 Cu/그래핀 재료를 전사하고자 하는 기판 위에 상기 그래핀이 접하도록 위치시킨 후, 기계적·열적인 힘을 가하여 그래핀과 기판 사이의 접합력을 강화시킨 후, Cu를 기계적으로 박리하는 방법이다.
상기 MET 방법의 경우에는 PMMA를 사용하지 않는 장점이 있지만, 전사하고자 하는 기판과 그래핀 사이의 접합력이 그래핀과 Cu와의 접합력보다 매우 커야 하기 때문에 전사할 수 있는 기판에 제한이 있다. 현재 MET 방법을 적용할 수 있는 기판으로는 유리, PET, PDMS 등이 있으나, 실제 산업상 널리 이용되는 SiO2 기판에는 적용하지 못하는 상황이다.
이러한 선행기술의 문제점을 극복하기 위하여, 본 발명자들은 수정된 MET 공정을 도입한 그래핀의 직접 전사 방법 및 그래핀층 상의 선택적 원자층 증착 방법을 개발하였다.
본 발명의 목적은 그래핀을 직접 전사하는 방법을 제공하는 것이다. 본 발명에 따르면, 전사된 그래핀이 찢어지거나 접히지 않고 온전히 전사될 수 있다.
또한, 본 발명의 다른 목적은 상기 전사된 그래핀 상에 선택적 원자층 증착 방법을 제공하는 것이다.
전술한 본 발명의 기본적인 목적은 (i) 금속 박막 상에 증착된 그래핀 층과 기판을 접촉시켜 적층체를 형성하는 단계; (ii) 상기 금속 박막과 상기 기판 사이에 전기장을 걸고 가압 및 가열하는 단계; 및 (iii) 상기 적층체에서 상기 금속 박막을 식각하는 단계를 포함하는, 그래핀 직접 전사 방법을 제공함으로써 달성될 수 있다.
또한, 본 발명의 다른 목적은 (i) 금속 박막 상에 증착된 그래핀 층과 기판을 접촉시켜 적층체를 형성하는 단계; (ii) 상기 금속 박막과 상기 기판 사이에 전기장을 걸고 가압 및 가열하는 단계; (iii) 상기 적층체에서 상기 금속 박막을 식각하여 그래핀이 전사된 기판을 얻는 단계; 및 (iv) 상기 그래핀이 전사된 기판을 반응 챔버 내에 두고, 소스 가스, 퍼지 가스, 반응 가스 및 퍼지 가스를 차례로 주입하여 상기 그래핀 층 위에 박막을 형성하는 단계를 포함하는, 그래핀층 상의 선택적 원자층 증착 방법을 제공함으로써 달성될 수 있다.
본 발명의 그래핀 직접 전사 방법에 따르면, 습식 전사 방법의 단점인 PMMA의 사용, 수용액 중에서 그래핀의 접힘과 찢어짐을 해결하였고, MET 전사 방법의 단점인 적용가능한 기판에 대한 제한점을 해결함으로써 SiO2를 포함한 거의 모든 기판에 그래핀을 전사할 수 있다. 또한, 본 발명에 따라 전사된 그래핀층 위에 산화금속을 1 원자층씩 증착할 수 있다.
도 1a는 종래의 그래핀 습식 전사 방법을 보여주고, 도 1b는 종래의 그래핀 MET 전사 방법을 보여주며, 도 1c는 본 발명의 그래핀 직접 전사 방법을 보여준다.
도 2는 ALD의 전과 후에서 SiO2 위의 그래핀에 대한 라만 스펙트럼이다. 그래핀과 SiO2 사이의 경계 영역에 대한 광학현미경 사진이 삽입도에 나타나 있다(스케일 바 = 250 μm). 도 2a 및 2b는 각각 ALD 전과 후에서의 습식 전사 그래핀에 대한 라만 스펙트럼이고, 도 2c 및 2d는 각각 ALD 전과 후에서의 본 발명에 따른 직접 전사 그래핀에 대한 라만 스펙트럼이며, 도 2e 및 2f는 각각 ALD 전과 후에서의 D 및 G 피크들 사이 그리고 G 및 2D 피크들 사이의 세기 비율(intensity ratio) 변화를 보여준다.
도 3은 그래핀의 습식전사와 직접전사법에 따른 선택적 원자층 증착 정도 차이를 보여주는 탭핑모드 원자간력 현미경(AFM) 표면형상 영상(tapping-mode atomic force microscopy topographic image)이다. 도 3a 및 3b는 각각 습식 전사에서 ALD 전과 후의 얻은 것이고, 도 3c 및 3d는 각각 본 발명의 직접 전사에서 ALD 전과 후에서 얻은 것이다.
도 4a 내지 4d는 각각 ALD 전과 후에서 물 접촉각 측정값을 보여주고, 도 4e는 ALD 전과 후에서의 전기적 면저항 측정값을 보여준다.
본 발명의 한 측면에서, (i) 금속 박막 상에 증착된 그래핀 층과 기판을 접촉시켜 적층체를 형성하는 단계; (ii) 상기 금속 박막과 상기 기판 사이에 전기장을 걸고 가압 및 가열하는 단계; 및 (iii) 상기 적층체에서 상기 금속 박막을 식각하는 단계를 포함하는, 그래핀 직접 전사 방법이 제공된다.
본 발명에 따른 그래핀 직접 전사 방법(도 1c)은 종래의 습식 전사 방법(도 1a)과 MET 전사 방법(도 1b)을 결합하여 두 방법의 장점을 취한 방법으로서, SiO2를 포함하여 거의 모든 기판에 적용할 수 있다.
상기 금속 박막은 구리, 니켈, 백금, 철, 금, 코발트, 알루미늄, 크롬, 마그네슘, 망간, 티타늄, 텅스텐, 바나듐, 지르코늄, 로듐 또는 탄탈럼 막일 수 있다. 또한, 상기 금속 박막의 두께는 1 nm 내지 1 μm일 수 있다.
상기 그래핀 층의 두께는 0.34 nm 내지 34 nm일 수 있고, 특히, 그래핀 단일층의 두께인 0.34 nm일 수 있다.
상기 기판은 SiO2/Si, 유리, 폴리에틸렌테레프탈레이트, 폴리카보네이트, 폴리스타이렌, 폴리이미드, 폴리디메틸실록산 또는 폴리메틸메타크릴레이트로 이루어진 기판일 수 있으며, 이에 제한되지 않는다. 또한, 상기 기판의 두께는 100 nm 내지 550 μm일 수 있다.
본 발명의 그래핀 직접 전사 방법에서 상기 (ii)단계의 전기장의 세기는 100 V 내지 900 V일 수 있고, 상기 (ii)단계에서 40 kPa 내지 100 kPa로 가압되는 것일 수 있다. 또한, 상기 (ii)단계에서 150℃ 내지 450℃로 가열될 수 있다.
종래의 습식 및 MET 전사 방법을 이용할 경우, 그래핀 표면의 결함 등의 문제점 등으로 인해 선택적 원자층 증착이 이루어지지 않는다. 본 발명에서 "선택적 원자층 증착(atomic layer deposition)"이란 산화금속을 대상 표면에 1분자층(one atom layer)씩 증착하는 기술이다.
선택적 원자층 증착에서 전구체로 H2O를 사용하면, 그래핀과 같이 소수성 표면을 가지는 물질의 표면에는 원자층 증착이 잘 이루어지지 않는다. 그러나, 현재까지 개발된 습식 전사 및 MET 전사 기법을 이용하여 전사된 그래핀의 경우, 표면에 찢어짐, 접힘, PMMA 잔여 물질 등의 결함 등으로 인하여 소수성 특성을 유지하지 못함에 따라, 선택적 원자층 증착은 이론적으로만 이루어질 수 있다고 보았다. 즉, 원치 않는 그래핀 표면에도 원자층 증착이 이루어지는 문제점이 발생한다.
그러나 본 발명의 그래핀 직접 전사 방법을 적용하면, 선택적 원자층 증착이 가능하고, 이에 따라 다양한 반도체 및 바이오 소자를 제작할 수 있다. 본 발명의 직접 전사 방법을 사용할 경우, 그래핀 표면이 찢어지거나 접히지 않으며; PMMA와 같은 추가적인 물질을 도포하지 않기 때문에 그래핀 표면의 소수성이 전면적으로 유지된다. 이를 통하여 선택적으로 그래핀 위에는 원자층을 증착시키지 않고, 그래핀이 위치하지 않는 기판에만 선택적으로 원자층을 증착시킬 수 있다.
위와 같은 점에 기초하여, 본 발명의 다른 측면에서 (i) 금속 박막 상에 증착된 그래핀 층과 기판을 접촉시켜 적층체를 형성하는 단계; (ii) 상기 금속 박막과 상기 기판 사이에 전기장을 걸고 가압 및 가열하는 단계; (iii) 상기 적층체에서 상기 금속 박막을 식각하여 그래핀이 전사된 기판을 얻는 단계; 및 (iv) 상기 그래핀이 전사된 기판을 반응 챔버 내에 두고, 소스 가스, 퍼지 가스, 반응 가스 및 퍼지 가스를 차례로 주입하여 상기 그래핀 층 위에 박막을 형성하는 단계를 포함하는, 그래핀층 상의 선택적 원자층 증착 방법이 제공된다.
상기 금속 박막은 구리, 니켈, 백금, 철, 금, 코발트, 알루미늄, 크롬, 마그네슘, 망간, 티타늄, 텅스텐, 바나듐, 지르코늄, 로듐 또는 탄탈럼 막일 수 있다. 또한, 상기 금속 박막의 두께는 1 nm 내지 1 μm일 수 있다.
상기 그래핀 층의 두께는 0.34 nm 내지 34 nm일 수 있고, 특히, 그래핀 단일층의 두께인 0.34 nm일 수 있다.
상기 기판은 SiO2/Si, 유리, 폴리에틸렌테레프탈레이트, 폴리카보네이트, 폴리스타이렌, 폴리이미드, 폴리디메틸실록산 또는 폴리메틸메타크릴레이트로 이루어진 기판일 수 있으며, 이에 제한되지 않는다. 또한, 상기 기판의 두께는 100 nm 내지 550 μm일 수 있다.
본 발명의 그래핀층 상의 선택적 원자층 증착 방법에서, 상기 (ii)단계의 전기장의 세기는 100 V 내지 900 V일 수 있고, 상기 (ii)단계에서 40 kPa 내지 100 kPa로 가압되는 것일 수 있다. 또한, 상기 (ii)단계에서 150℃ 내지 450℃로 가열될 수 있다.
본 발명의 하나의 실시 태양에서, 상기 소스 가스는 트리메틸알루미늄, 상기 퍼지 가스는 아르곤(또는 질소) 및 상기 반응 가스는 H2O일 수 있고, 이때 산화알루미늄(Al2O3) 박막이 그래핀 상에 증착된다. 이 외에도, 전구체로서 Hf[N(CH3)2]4 (tetrakis(dimethylamino)hafnium (HFDMA, Hf(NMe2)4)), Ti(NMe2)4 (tetrakis(dimethylamido)titanium (TDMAT)), SiCl4, Zr[OC(CH3)3]4, Ta(OC2H5)5를 소스 가스로 사용하고 H2O를 반응가스로 사용하면, 각각, HfO2, TiO2, SiO2, ZrO2, Ta2O5 박막을 그래핀 층에 증착시킬 수 있다.
이하에서, 본 발명의 바람직한 실시 태양을 다음의 실시예 및 도면을 들어 설명한다. 그러나 본 발명의 범위는 하기 실시예에 대한 설명 또는 도면에 제한되지 아니한다.
실시예 1. 그래핀 합성
열 CVD 시스템에서 25 μm 두께의 동박(99.8%, Alfa Aesar, No. 13380) 위에 단일층 그래핀을 성장시켰다. 먼저, 동박(copper foil)을 석영 튜브 내에 충진하였고; 상기 동박을 1000℃ 및 0.5 mTorr의 20 sccm H2 및 50 sccm Ar 흐름 하에서 어닐링하였다. 이어서, 1000℃에서 25 min 동안 H2 (30 sccm) 및 CH4 (30 sccm)을 도입함으로써 그래핀 합성을 진행하였다. 상기 합성 공정 후에, Ar 대기 하에서 자연대류에 의해 체임버를 실온으로 냉각하였다.
실시예 2. 그래핀의 습식 전사
그래핀을 CVD로 성장시킨 후, 종래의 습식 전사 방법(L. M. Viculis, J. J. Mack, R. B. Kaner, Science, 2003, 299:1361; X. Li, Y. Xhu, W. Cai, M. Borysiak, B. Han, D. Chen, et al., Nano Lett ., 2009, 9:4359)에 의해 상기 그래핀을 전사하였다. 도 1a(2)에 나타난 바와 같이, 동박의 한 면 위의 그래핀 층을 O2 플라즈마(30 sccm 및 20 초)로 에칭하였다. 상기 동박의 반대 면 위의 단일층 그래핀 위로 1500 rpm으로 90 초 동안 PMMA를 스핀코팅하였다. 상기 PMMA 층을 사용하여 상기 습식 전사 공정 동안에 그래핀 단일층을 기계적으로 지지하였다. 이러한 PMMA/그래핀/동박 견본을, 상기 동박을 에칭시키기 위해 사용되는 0.1 M 암모늄 설페이트(APS-100)의 표면 위에 띄웠다. 상기 동박을 3 시간 동안 에칭시킨 후, 상기 PMMA/그래핀을 SiO2/Si 기판 위로 전사하였다. 마지막으로, 도 1a(6)에 나타난 바와 같이, 상기 PMMA를 아세톤으로 제거한 후에 그래핀/SiO2/Si 기판을 얻었다.
실시예 3. 그래핀의 직접 전사
도 1c에 나타난 바와 같이, 동박의 한쪽 면 위의 단일층 그래핀을 O2 플라즈마로 에칭한 후에, 남아 있는 그래핀을 상기 동박으로부터 SiO2/Si 기판으로 직접 전사하였다. 이러한 수정된 MET 공정은 상기 SiO2 기판의 표면에 (-) 전하를 생성하고, 도 1c(4)에 나타난 바와 같이, 이러한 정전기력은 상기 그래핀/동박을 상기 SiO2 표면으로 끌어당긴다. 상기 그래핀을 상기 SiO2 표면으로 부착시킨 후, 상기 구리를 APS-100 용액으로 즉시 에칭하였다(도 1c(5)). 이러한 전체 공정 중에, 그래핀/동박을 구리 에칭액에 띄우는 습식 전사 방법과 달리, 그래핀이 목표 기판으로 이끌린다. 따라서 용액 내에서 그래핀의 찢어짐, 접힘 및 주름의 위험을 피할 수 있다. 최종 세척 공정 후에, SiO2 기판 상에 결함이 적은 깨끗한 단일층 그래핀을 얻었다.
실시예 4. 원자층 증착
습식 전사 방법 및 직접 전사 방법에 의해 상기 SiO2 기판 위로 전사된 그래핀 상에 Al2O3 박막을 성장시키기 위해 ALD 방법을 사용하였다. 전통적인 ALD 시스템(CN1 Company)을 사용하였다. 전구체로서 트리메틸알루미늄(TMA) 그리고 산화제로서 H2O를 사용하여 상기 Al2O3 박막을 성장시켰다. 200 sccm의 유속과 각각 0.3 sec 및 0.4 sec의 주입 시간으로 TMA 및 H2O를 주입하였다. 각 단계 사이에 체임버를 Ar으로 15 초 동안 퍼징하였다. 상기 모든 ALD 실험은 30 사이클로 구성되었고, 진공(50 mTorr) 및 상승된 체임버 온도(150℃)에서 수행되었으며, 이로써 균일한 표면 거칠기(roughness)를 가지는 막의 층착을 유도하는 안정한 반응을 일으켰다.
실시예 5. 분석 결과
ALD 전후의 그래핀의 특성을 분석하기 위하여, 라만 분광법, 원자간력 현미경(AFM), 및 물 접촉각 및 전기적 면저항(electrical sheet resistance)를 측정하였다. 여기 파장 514.5 nm에서 고해상도 분산 라만 현미경(high resolution dispersive Raman microscope, ARAMIS, Horiba Jobin Yvon)을 사용하여 라만 분광법을 수행하였다. 도 2(a) 및 2(b)에 나타난 바와 같이, ALD 이전의 습식 전사 그래핀의 라만 스펙트럼에는, ALD 이후에 얻은 스펙트럼에서와는 달리, 결함 피크(defect peak)가 없다. ALD 이후에, 스펙트럼은 높은 결함 비율 D/G를 보였다. 그러나, 도 2(c) 및 2(d)에 나타난 바와 같이, 직접 전사 그래핀 샘플의 스펙트럼은 ALD 공정 이후에도 결함 피크를 보이지 않았다. ALD 이후의 습식 전사 그래핀의 스펙트럼에서 D/G 및 G/2D 피크 비율은 각각 0.104(D/G) 및 0.518(G/2D)로부터 ALD 이후에 1.416(D/G) 및 2.491(G/2D)로 급격히 증가하였다. 이와 대조적으로, 직접 전사된 그래핀은 ALD 전후에 각각 0.077(D/G), 0.490(G/2D) 그리고 0.217(D/G), 0.550(G/2D)으로 비슷한 값을 보였다. 또한, 광학현미경을 사용하여 그래핀 표면을 관찰하였고 직접 전사된 그래핀은 ALD 이후에도 깨끗하고 뚜렷한 가장자리 라인을 보였으나; 습식 전사 그래핀은 찢어졌고 접혔다. 더욱이, 활성 ALD 핵형성 부위인 결함 상의 Al2O3 박막이 증착되었음을 관찰하였다.
Al2O3의 증착을 확인하기 위하여, AFM(XE-100, PSIA Company)을 사용하여 그래핀 가장자리의 높이 프로파일(height profile)을 조사하였다. 습식 전사 그래핀의 경우에 있어서, 도 3(a) 및 3(b)와 같이, ALD 공정 이후에 그래핀과 SiO2 사이의 높이 프로파일은 약 0.980 nm로부터 1.804 nm로 증가하였다. Al2O3가 그래핀 가장자리와 활성 ALD 핵형성 부위를 포함하는 결함 위로 증착되었음을 확인하였다. 그러나 직접 전사 그래핀과 SiO2 간의 높이 차이는 각각 0.705 nm 및 0.361 nm의 값으로 성장 전후에 유사하였다(도 3(c) 및 3(d)). 상기 직접 전사 그래핀이 결함, 찢어짐 부위 또는 PMMA 잔류물을 포함하지 않기 때문에, 상기 Al2O3 증착은 상기 SiO2와 상기 그래핀 가장자리로 제한되었다.
도 4에 나타난 바와 같이, SiO2 위에서 습식 및 직접 전사 그래핀의 물 접촉각(water contact angle)을 측정하여 Al2O3의 증착에 따른 표면 변화를 관찰하였다. ALD 이후에 습식 전사 그래핀의 접촉각은 85.8°에서 66.9°로 현저히 감소하였는데, 이는 Al2O3 증착 후에 표면 에너지가 증가하였음을 의미한다. 이와 대비하여, 직접 전사 그래핀의 경우에는 90.3°에서 81.7°로 약간 변하였다. 또한, 습식 전사 그래핀의 전기적 면저항은 1.534 kΩ/□에서 2.247 kΩ/□으로 증가한 반면에, 직접 전사 그래핀은 ALD 전후에 유사한 값을 보였다. 이러한 결과는, 결함, 그래핀 가장자리 및 PMMA 잔류물과 같은 습식 전사 그래핀의 활성 ALD 핵형성 부위에 Al2O3가 주로 증착한다는 점을 의미한다.
이상에서 본 발명의 바람직한 구현예를 예로 들어 상세하게 설명하였으나, 이러한 설명은 단순히 본 발명의 예시적인 실시예를 설명 및 개시하는 것이다. 당업자는 본 발명의 범위 및 요지로부터 벗어남이 없이 상기 설명 및 첨부 도면으로부터 다양한 변경, 수정 및 변형예가 가능함을 용이하게 인식할 것이다.

Claims (9)

  1. (i) 금속 박막 상에 증착된 그래핀 층과 기판을 접촉시켜 적층체를 형성하는 단계;
    (ii) 상기 금속 박막과 상기 기판 사이에 전기장을 걸고 가압 및 가열하는 단계; 및
    (iii) 상기 적층체에서 상기 금속 박막을 식각하는 단계를 포함하는, 그래핀 직접 전사 방법.
  2. 제1항에 있어서, 상기 금속 박막은 구리, 니켈, 백금, 철, 금, 코발트, 알루미늄, 크롬, 마그네슘, 망간, 티타늄, 텅스텐, 바나듐, 지르코늄, 로듐 및 탄탈럼으로 이루어진 군으로부터 선택되는 것을 특징으로 하는 그래핀 직접 전사 방법.
  3. 제1항에 있어서, 상기 금속 박막의 두께는 1nm 내지 1㎛이고, 상기 그래핀 층의 두께는 0.34 nm 내지 34 nm인 것을 특징으로 하는 그래핀 직접 전사 방법.
  4. 제1항에 있어서, 상기 (ii)단계의 전기장의 세기는 100V 내지 900V이고, 40 kPa 내지 100 kPa로 가압되는 것을 특징으로 하는 그래핀 직접 전사 방법.
  5. (i) 금속 박막 상에 증착된 그래핀 층과 기판을 접촉시켜 적층체를 형성하는 단계;
    (ii) 상기 금속 박막과 상기 기판 사이에 전기장을 걸고 가압 및 가열하는 단계;
    (iii) 상기 적층체에서 상기 금속 박막을 식각하여 그래핀이 전사된 기판을 얻는 단계; 및
    (iv) 상기 그래핀이 전사된 기판을 반응 챔버 내에 두고, 소스 가스, 퍼지 가스, 반응 가스 및 퍼지 가스를 차례로 주입하여 상기 그래핀 층 위에 박막을 형성하는 단계를 포함하는, 그래핀층 상의 선택적 원자층 증착 방법.
  6. 제 5항에 있어서, 상기 금속 박막은 구리, 니켈, 백금, 철, 금, 코발트, 알루미늄, 크롬, 마그네슘, 망간, 티타늄, 텅스텐, 바나듐, 지르코늄, 로듐 및 탄탈럼으로 이루어진 군으로부터 선택되는 것을 특징으로 하는 그래핀층 상의 선택적 원자층 증착 방법.
  7. 제 5항에 있어서, 상기 금속 박막의 두께는 1nm 내지 1㎛이고, 상기 그래핀 층의 두께는 0.34 nm 내지 34 nm인 것을 특징으로 하는 그래핀층 상의 선택적 원자층 증착 방법.
  8. 제 5항에 있어서, 상기 (ii)단계의 전기장의 세기는 100V 내지 900V이고, 40 kPa 내지 100 kPa로 가압되는 것을 특징으로 하는 그래핀층 상의 선택적 원자층 증착 방법.
  9. 제 5항에 있어서, 상기 (iv) 단계에서 박막은 Al2O3, HfO2, TiO2, SiO2, ZrO2 및 Ta2O5로 이루어진 군으로부터 선택되는 것임을 특징으로 하는 그래핀층 상의 선택적 원자층 증착 방법.
KR1020160018703A 2016-02-17 2016-02-17 그래핀의 직접 전사 방법 및 그래핀층 상의 선택적 원자층 증착 방법 KR101723769B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020160018703A KR101723769B1 (ko) 2016-02-17 2016-02-17 그래핀의 직접 전사 방법 및 그래핀층 상의 선택적 원자층 증착 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160018703A KR101723769B1 (ko) 2016-02-17 2016-02-17 그래핀의 직접 전사 방법 및 그래핀층 상의 선택적 원자층 증착 방법

Publications (1)

Publication Number Publication Date
KR101723769B1 true KR101723769B1 (ko) 2017-04-05

Family

ID=58587062

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160018703A KR101723769B1 (ko) 2016-02-17 2016-02-17 그래핀의 직접 전사 방법 및 그래핀층 상의 선택적 원자층 증착 방법

Country Status (1)

Country Link
KR (1) KR101723769B1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190048917A (ko) * 2017-10-31 2019-05-09 이화여자대학교 산학협력단 전자장치 제조방법 및 이에 따라 제조된 전자장치
CN110436449A (zh) * 2019-09-11 2019-11-12 西安交通大学 一种基于电辅助液桥的二维材料转移方法
CN114203326A (zh) * 2021-12-13 2022-03-18 中国核动力研究设计院 石墨烯封装超薄镍-63辐射源薄膜及其制备方法、应用

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101320407B1 (ko) * 2012-06-18 2013-10-23 성균관대학교산학협력단 그래핀 시트의 직접 전사 방법
KR20140142455A (ko) * 2013-06-04 2014-12-12 고려대학교 산학협력단 나노박막의 전사 및 접착방법
JP2015061810A (ja) * 2013-09-17 2015-04-02 アイメック・ヴェーゼットウェーImec Vzw グラフェン層の転写方法
KR20150108692A (ko) * 2014-03-18 2015-09-30 한화테크윈 주식회사 그래핀 전사 방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101320407B1 (ko) * 2012-06-18 2013-10-23 성균관대학교산학협력단 그래핀 시트의 직접 전사 방법
KR20140142455A (ko) * 2013-06-04 2014-12-12 고려대학교 산학협력단 나노박막의 전사 및 접착방법
JP2015061810A (ja) * 2013-09-17 2015-04-02 アイメック・ヴェーゼットウェーImec Vzw グラフェン層の転写方法
KR20150108692A (ko) * 2014-03-18 2015-09-30 한화테크윈 주식회사 그래핀 전사 방법

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190048917A (ko) * 2017-10-31 2019-05-09 이화여자대학교 산학협력단 전자장치 제조방법 및 이에 따라 제조된 전자장치
KR102030566B1 (ko) * 2017-10-31 2019-10-10 이화여자대학교 산학협력단 전자장치 제조방법 및 이에 따라 제조된 전자장치
CN110436449A (zh) * 2019-09-11 2019-11-12 西安交通大学 一种基于电辅助液桥的二维材料转移方法
CN110436449B (zh) * 2019-09-11 2020-11-17 西安交通大学 一种基于电辅助液桥的二维材料转移方法
CN114203326A (zh) * 2021-12-13 2022-03-18 中国核动力研究设计院 石墨烯封装超薄镍-63辐射源薄膜及其制备方法、应用
CN114203326B (zh) * 2021-12-13 2024-04-30 中国核动力研究设计院 石墨烯封装超薄镍-63辐射源薄膜及其制备方法、应用

Similar Documents

Publication Publication Date Title
Minaye Hashemi et al. Selective deposition of dielectrics: Limits and advantages of alkanethiol blocking agents on metal–dielectric patterns
JP7283707B2 (ja) シリコン系誘電体上の六方晶窒化ホウ素の直接形成
JP6567208B2 (ja) 基板上の窒化ホウ素およびグラフェンの直接および連続形成
Hong et al. A rational strategy for graphene transfer on substrates with rough features
TWI544527B (zh) 半導體基材上石墨烯之直接生成
US9355842B2 (en) Direct and sequential formation of monolayers of boron nitride and graphene on substrates
Thissen et al. Wet chemical surface functionalization of oxide-free silicon
EP2679540A1 (en) Method of manufacturing a graphene monolayer on insulating substrates
US8518491B2 (en) Preparation of epitaxial graphene surfaces for atomic layer deposition of dielectrics
KR20110006644A (ko) 그래핀 시트의 제조 방법, 그래핀 적층체, 변형 수용성 그래핀 시트의 제조 방법, 변형 수용성 그래핀 시트, 및 이를 이용하는 소자
US20160005881A1 (en) Stacked films and method for producing stacked films
KR101723769B1 (ko) 그래핀의 직접 전사 방법 및 그래핀층 상의 선택적 원자층 증착 방법
Wu et al. Large-roll growth of 25-inch hexagonal BN monolayer film for self-release buffer layer of free-standing GaN wafer
Lee et al. Scalable nanoimprint patterning of thin graphitic oxide sheets and in situ reduction
KR20170038499A (ko) 원격 고주파 유도결합 플라즈마를 이용하여 저온에서 성장된 고품질 육방 질화 붕소막과 그 제조방법
KR101410930B1 (ko) 탄소나노튜브 상의 금속 산화막 형성방법 및 이를 이용한탄소나노튜브 트랜지스터 제조방법
Dai et al. Spatial confinement approach using ni to modulate local carbon supply for the growth of uniform transfer-free graphene monolayers
KR101568159B1 (ko) 원자층 증착법을 이용한 결함 치유 방법
KR101807459B1 (ko) 결함-치유 환원된 그래핀 산화물 히터를 이용한 자가치유 고분자의 자가치유 방법
Kim et al. Selective atomic layer deposition onto directly transferred monolayer graphene
KR102274206B1 (ko) 이중층 그래핀의 제조 방법
Shin et al. Atomic layer deposited high-k dielectric on graphene by functionalization through atmospheric plasma treatment
JPWO2020009048A1 (ja) 基板、基板の金属表面領域への選択的な膜堆積方法、有機物の堆積膜及び有機物
Zhao et al. Layer-selective growth of 2D hexagonal boron nitride using two-step chemical vapor deposition
KR20170123448A (ko) 그래핀 시트의 박리 방법

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20200225

Year of fee payment: 4