KR101661000B1 - 상이한 데이터 집합들의 식별을 가능케 하는 시스템들 및 방법들 - Google Patents

상이한 데이터 집합들의 식별을 가능케 하는 시스템들 및 방법들 Download PDF

Info

Publication number
KR101661000B1
KR101661000B1 KR1020117015206A KR20117015206A KR101661000B1 KR 101661000 B1 KR101661000 B1 KR 101661000B1 KR 1020117015206 A KR1020117015206 A KR 1020117015206A KR 20117015206 A KR20117015206 A KR 20117015206A KR 101661000 B1 KR101661000 B1 KR 101661000B1
Authority
KR
South Korea
Prior art keywords
data
flow
search
pattern recognition
recognition processor
Prior art date
Application number
KR1020117015206A
Other languages
English (en)
Other versions
KR20110098770A (ko
Inventor
해롤드 비 노이즈
Original Assignee
마이크론 테크놀로지, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지, 인크. filed Critical 마이크론 테크놀로지, 인크.
Publication of KR20110098770A publication Critical patent/KR20110098770A/ko
Application granted granted Critical
Publication of KR101661000B1 publication Critical patent/KR101661000B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/02Comparing digital values
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/16Combinations of two or more digital computers each having at least an arithmetic unit, a program unit and a register, e.g. for a simultaneous processing of several programs
    • G06F15/163Interprocessor communication
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F5/00Methods or arrangements for data conversion without changing the order or content of the data handled
    • G06F5/06Methods or arrangements for data conversion without changing the order or content of the data handled for changing the speed of data flow, i.e. speed regularising or timing, e.g. delay lines, FIFO buffers; over- or underrun control therefor
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2207/00Indexing scheme relating to methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F2207/02Indexing scheme relating to groups G06F7/02 - G06F7/026
    • G06F2207/025String search, i.e. pattern matching, e.g. find identical word or best match in a string

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Computational Mathematics (AREA)
  • Mathematical Analysis (AREA)
  • Mathematical Optimization (AREA)
  • Pure & Applied Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Software Systems (AREA)
  • Information Retrieval, Db Structures And Fs Structures Therefor (AREA)

Abstract

패턴 인식 프로세서에서 데이터 흐름들 및 대응 결과들의 식별을 가능케 하는 시스템들 및 방법들이 제공된다. 일 실시예에서, 시스템은 패턴 인식 프로세서 및 흐름 식별 레지스터를 포함할 수 있으며, 각각의 데이터 흐름에 대한 유일한 흐름 식별자(FlowID)는 레지스터에 저장된다. 시스템은 각각의 데이터 흐름에 대한 결과 데이터(Results Data) 및 흐름 식별자(FlowID)를 저장하는 결과 버퍼를 포함할 수 있어서, 결과 데이터(Results Data)는 특정 데이터 흐름과 관련될 수 있다.

Description

상이한 데이터 집합들의 식별을 가능케 하는 시스템들 및 방법들{SYSTEMS AND METHODS TO ENABLE IDENTIFICATION OF DIFFERENT DATA SETS}
본 발명의 실시예들은 일반적으로 패턴 인식 프로세서들에 관한 것으로, 특히, 특정 실시예들에서, 패턴 인식 프로세서들의 데이터 및 결과들의 관리에 관한 것이다.
컴퓨팅 분야에서, 패턴 인식 태스크들은 점점 더 도전적이 되고 있다. 훨씬 더 많은 양의 데이터가 컴퓨터들 간에 송신되고, 사용자들이 식별하기 희망하는 패턴들의 수가 증가하고 있다. 예를 들어, 데이터 스트림의 패턴들, 예를 들어, 특정 구절들(phrases) 또는 코드 피스들(pieces of code)을 탐색함으로써, 스팸 또는 멀웨어가 종종 검출된다. 새로운 변화들을 탐색하기 위해 새로운 패턴들이 구현될 수 있기 때문에, 패턴들의 수는 스팸 및 멀웨어의 변화와 함께 증가한다. 이러한 패턴들 각각에 대해 데이터 스트림을 탐색하는 것은 계산의 병목 현상을 형성할 수 있다. 종종, 데이터 스트림이 수신될 때, 한번에 하나씩, 각각의 패턴에 대해 탐색된다. 시스템 전의 지연동안 패턴들의 수에 의한 데이터 스트림 증가의 다음 부분을 탐색할 준비가 된다. 따라서, 패인 인식은 데이터의 수신을 느리게 할 수 있다.
패턴 인식 기능을 실행하는 디바이스는, TCP/IP(Transmission Control Protocol/Internet Protocol) 등의 패킷 기반 네트워크를 통해 하나 이상의 데이터 스트림들을 수신할 수 있다. 그러나, 이러한 네트워크를 통해 수신된 데이터 스트림의 패킷들은 다른 데이터 스트림들로부터의 다른 패킷들과 혼합 또는 "멀티플렉싱"될 수 있다. 또한, 패킷들은 순서대로 수신되지 않을 수도 있다. 게다가, 데이터 스트림들의 패킷들을 처리한 후에, 디바이스에 의해 출력된 임의의 결과들은 각각의 데이터 스트림에 의해 식별되지 않을 수도 있다. 일부의 경우들에서, 수백개의 데이터 스트림들은 디바이스에 의해 수신 및 처리될 수 있다. 각각의 데이터 스트림을 식별 및 추적하기 위해 상당한 양의 리소스들이 사용될 수 있으며, 이는 디바이스의 처리량 및 레이턴시에 영향을 줄 수 있다.
도 1은 데이터 스트림을 탐색하는 시스템의 일례를 도시한다.
도 2는 도 1의 시스템의 패턴 인식 프로세서의 일례를 도시한다.
도 3은 도 2의 패턴 인식 프로세서의 탐색 용어(search-term) 셀의 일례를 도시한다.
도 4 및 도 5는 단일 캐릭터에 대해 데이터 스트림을 탐색하는 도 3의 탐색 용어 셀을 도시한다.
도 6 내지 도 8은 한 단어에 대해 데이터 스트림을 탐색하는 수개의 탐색 용어 셀들을 포함하는 인식 모듈을 도시한다.
도 9는 2개의 단어들에 대해 병렬로 데이터 스트림을 탐색하도록 구성된 인식 모듈을 도시한다.
도 10 내지 도 12는 동일한 접두사를 갖는 다수의 워드를 지정하는 탐색 기준에 따라 탐색하는 인식 모듈을 도시한다.
도 13은 본 발명의 일 실시예에 따라 복수의 데이터 스트림을 수신하는 도 1의 시스템을 도시한다.
도 14는 본 발명의 일 실시예에 따른 도 2의 패턴 인식 프로세서의 데이터 흐름 식별 레지스터 및 결과 버퍼를 도시한다.
도 15는 본 발명의 일 실시예에 따른 도 2의 패턴 인식 프로세서의 다수의 데이터 흐름들을 처리하는 프로세스의 플로우차트이다.
도 1은 데이터 스트림(12)을 탐색하는 시스템(10)의 일례를 도시한다. 시스템(10)은 탐색 기준(16)에 따라 데이터 스트림(12)을 탐색하는 패턴 인식 프로세서(14)를 포함할 수 있다.
각각의 탐색 기준은 하나 이상의 타겟 표현들, 즉, 패턴들을 지정할 수 있다. "타겟 표현"이라는 구절은 패턴 인식 프로세서(14)가 탐색 중인 데이터의 시퀀스를 지칭한다. 타겟 표현들의 일례들은 특정 단어의 스펠링인 캐릭터들의 시퀀스, 유전자를 지정하는 유전적 염기쌍들의 시퀀스, 이미지의 일부분을 형성하는 픽처 또는 비디오 파일의 비트들의 시퀀스, 프로그램의 파트를 형성하는 실행 가능 파일의 비트들의 시퀀스, 또는 노래 또는 음성 구절의 파트를 형성하는 오디오 파일의 비트들의 시퀀스를 포함한다.
탐색 기준은 하나 보다 많은 타겟 표현을 지정할 수 있다. 예를 들어, 탐색 기준은 레터 시퀀스 "cl"로 시작하는 모든 5글자 단어들, 레터 시퀀스 "cl"로 시작하는 임의의 단어, 단어 "cloud"를 3회보다 더 많이 포함하는 단락 등을 지정할 수 있다. 타겟 표현들의 가능한 집합들의 수는 독단적으로 크고, 예를 들어, 데이터 스트림이 제시할 수 있는 데이터의 순열들이 존재하는 만큼 많은 수의 타겟 표현들이 존재할 수 있다. 탐색 기준은, 규칙적인 표현으로서, 각각의 타겟 표현을 반드시 열거할 필요 없이 타겟 표현들의 집합들을 간결하게 지정하는 프로그래밍 언어를 포함하는 다양한 포맷들로 표현될 수 있다.
각각의 탐색 기준은 하나 이상의 탐색 용어들로부터 구성될 수 있다. 따라서, 탐색 기준의 각각의 타겟 표현은 하나 이상의 탐색 용어들을 포함할 수 있으며 일부 타겟 표현들은 공통 탐색 용어들을 사용할 수 있다. 본 명세서에서 사용되는 구절 "탐색 용어"는 단일 탐색 사이클 동안 탐색되는 데이터의 시퀀스를 지칭한다. 데이터의 시퀀스는 이진 포맷 또는 다른 포맷들, 예를 들어, 십진(base ten), ASCII 등의 포맷으로 데이터의 다수의 비트들을 포함할 수 있다. 시퀀스는 단일 디지트 또는 다수의 디지트들, 예를 들어, 수개의 이진 디지트들로 데이터를 인코딩할 수 있다. 예를 들어, 패턴 인식 프로세서(14)는 한번에 하나의 캐릭터씩 텍스트 데이터 스트림(12)을 탐색할 수 있으며, 탐색 용어들은 단일 캐릭터들의 집합, 예를 들어, 레터 "a", 레터들 "a" 또는 "e", 또는 모든 단일 캐릭터들의 집합을 지정하는 와일드카드 탐색 용어를 지정할 수 있다.
탐색 용어들은 캐릭터(또는 데이터 스트림으로 표현되는 정보의 다른 문자소(grapheme) - 즉, 기본 유닛 - 예를 들어, 음표, 유전적 염기쌍, 십진 디지트, 또는 서브-픽셀)를 지정하는 비트들의 수보다 더 적거나 더 많을 수 있다. 예를 들어, 탐색 용어는 8 비트일 수 있으며, 단일 캐릭터는 16 비트일 수 있으며, 이 경우 두 개의 연속 탐색 용어들이 단일 캐릭터를 지정할 수 있다.
탐색 기준(16)은 컴파일러(18)에 의해 패턴 인식 프로세서(14)에 대해 포맷될 수 있다. 포맷은 탐색 기준으로부터 탐색 용어들을 해체하는 것을 포함할 수 있다. 예를 들어, 데이터 스트림(12)에 의해 표현된 문자소들이 탐색 용어들보다 크면, 컴파일러는 탐색 기준을 다수의 탐색 용어들로 해체해서 단일 문자소에 대해 탐색할 수 있다. 유사하게, 데이터 스트림(12)에 의해 표현된 문자소들이 탐색 용어들보다 작으면, 컴파일러(18)는, 각각의 개별 문자소에 대해, 미사용 비트들을 단일 탐색 용어에 제공할 수 있다. 컴파일러(18)는 또한 패턴 인식 프로세서(14)에 의해 기본적으로 지원되지 않는 각종 일반적인 표현 오퍼레이터들을 지원하기 위해 탐색 기준(16)을 포맷할 수 있다.
패턴 인식 프로세서(14)는 데이터 스트림(12)으로부터 각각의 새로운 용어를 평가함으로써 데이터 스트림(12)을 탐색할 수 있다. 본 명세서에서 단어 "용어(term)"는 탐색 용어와 매치할 수 있는 데이터의 양을 지칭한다. 탐색 사이클 중에, 패턴 인식 프로세서(14)는 현재 제공된 용어가 탐색 기준 내의 현재 탐색 용어와 매치하는지를 결정할 수 있다. 용어가 탐색 용어와 매치하면, 평가는 "전진(advanced)"하고, 즉, 다음 용어가 탐색 기준 내의 다음 탐색 용어와 비교된다. 용어가 매치하지 않으면, 다음 용어는 탐색 기준 내의 첫번째 용어와 비교됨으로써, 탐색을 리셋한다.
각각의 탐색 기준은 패턴 인식 프로세서(14)에서 상이한 유한 상태 머신으로 컴파일링될 수 있다. 유한 상태 머신들은 병렬로 실행되어, 탐색 기준(16)에 따라 데이터 스트림(12) 탐색할 수 있다. 선행 탐색 용어가 데이터 스트림(12)에 의해 매치될 때 유한 상태 머신들은 탐색 기준 내의 각각의 연속적인 탐색 용어를 통해 나아갈 수 있고, 또는 탐색 용어가 매치되지 않으면, 유한 상태 머신들은 탐색 기준의 제1 탐색 용어를 탐색하기 시작할 수 있다.
패턴 인식 프로세서(14)는 거의 동시에, 예를 들어, 단일 디바이스 사이클 중에, 수개의 탐색 기준들 및 그들 각자의 탐색 용어들에 따라 각각의 새로운 용어를 평가할 수 있다. 병렬 유한 상태 머신들은 거의 동시에 데이터 스트림(12)으로부터 용어를 각각 수신할 수 있으며, 병렬 유한 상태 머신들 각각은 그 용어가 병렬 유한 상태 머신을 그것의 탐색 기준 내의 다음 탐색 용어로 전진하게 하는지를 결정할 수 있다. 병렬 유한 상태 머신들은 비교적 많은 수의 탐색 기준들, 예를 들어, 100보다 많은, 1000보다 많은, 또는 10,000보다 많은 탐색 기준들에 따라, 용어들을 평가할 수 있다. 병렬 유한 상태 머신들은 병렬로 동작하기 때문에, 데이터 스트림을 느리게 하지 않으면서, 비교적 높은 대역폭을 갖는 데이터 스트림(12), 예를 들어, 초당 64MB 또는 초당 128MB보다 크거나 거의 동일한 데이터 스트림(12)에 탐색 기준을 적용할 수 있다. 일부 실시예들에서, 탐색 사이클 지속 기간은 탐색 기준의 수에 의해 스케일링되지 않으므로, 탐색 기술들의 수는 패턴 인식 프로세서(14)의 성능에 거의 영향을 끼치지 않을 수 있다.
탐색 기준이 만족되면(즉, 최종 탐색 용어로 전진하여 그것과 매치한 후에), 패턴 인식 프로세서(14)는, CPU(central processing unit)(20) 등의 프로세싱 유닛에 기준의 만족을 보고할 수 있다. CPU(20)는 시스템(10)의 다른 부분들 및 패턴 인식 프로세서(14)를 제어할 수 있다.
시스템(10)은 데이터의 스트림을 탐색하는 각종 시스템들 또는 디바이스들 중 임의의 것일 수 있다. 예를 들어, 시스템(10)은, 데스크탑, 랩탑, 핸드헬드, 또는 데이터 스트림(12)을 모니터링하는 다른 타입의 컴퓨터일 수 있다. 시스템(10)은, 또한, 라우터, 서버, 또는 클라이언트(예를 들어, 전술한 타입들의 컴퓨터들 중 하나) 등의 네트워크 노드일 수 있다. 시스템(10)은 복사기, 스캐너, 프린터, 게임 콘솔, 텔레비전, 셋탑 비디오 배포 또는 기록 시스템, 케이블 박스, 퍼스널 디지털 미디어 플레이어, 공장 자동 시스템, 자동 컴퓨터 시스템, 또는 의료 기기 등의 일부 다른 종류의 전자 디바이스일 수 있다. (시스템들의 이러한 각종 일례들을 기술하는데 사용된 용어들은, 본 명세서에서 사용된 다수의 다른 용어들처럼, 일부 지시 대상(referent)을 공유할 수 있으며, 열거된 다른 아이템들로 인해 제한적으로 해석되어서는 안된다.)
데이터 스트림(12)은 사용자 또는 다른 엔티티가 탐색하기 희망하는 각종 타입들의 데이터 스트림들 중 하나 이상일 수 있다. 예를 들어, 데이터 스트림(12)은 네트워크를 통해 수신된 데이터의 스트림, 예를 들어, 인터넷을 통해 수신된 패킷들 또는 셀룰러 네트워크를 통해 수신된 음성 또는 데이터일 수 있다. 데이터 스트림(12)은 시스템(10)과 통신하는 센서, 예를 들어, 이미징 센서, 온도 센서, 가속도계 등 또는 그 조합으로부터 수신된 데이터일 수 있다. 데이터 스트림(12)은 순차적인 데이터 스트림으로서 시스템(10)에 의해 수신될 수 있고, 이 데이터는, 예를 들어, 일시적으로, 사전적으로, 또는 의미론적으로 중요한 순서를 의미하는 순서로 수신된다. 또는 데이터 스트림(12)은 병렬로 또는 순서와 무관하게 수신된 후, 예를 들어, 인터넷을 통해 수신된 패킷들을 재정렬함으로써, 순차적인 데이터 스트림으로 변환될 수 있다. 일부 실시예들에서, 데이터 스트림(12)은 용어들을 순차적으로 나타낼 수 있지만, 용어들 각각을 표현하는 비트들은 병렬로 수신될 수 있다. 데이터 스트림(12)은 시스템(10) 외부에 있는 소스로부터 수신될 수 있거나, 또는 메모리 디바이스로부터 정보를 얻고 저장된 데이터로부터 데이터 스트림(12)을 형성함으로써 형성될 수 있다.
데이터 스트림(12)의 데이터의 타입에 따라, 상이한 타입들의 탐색 기준들이 디자이너에 의해 선택될 수 있다. 예를 들어, 탐색 기준(16)은 바이러스 정의 파일일 수 있다. 바이러스들 또는 다른 멀웨어는 특징화될 수 있으며, 멀웨어의 양상들은 데이터 스트림(12)이 멀웨어를 전달할 것인지 여부를 나타내는 탐색 기준들을 형성하는데 사용될 수 있다. 결과적인 탐색 기준은 서버에 저장될 수 있으며, 클라이언트 시스템의 오퍼레이터는 시스템(10)에 탐색 기준을 다운로드하는 서비스에 가입할 수 있다. 상이한 타입들의 멀웨어가 나타날 때 탐색 기준(16)은 서버로부터 주기적으로 갱신될 수 있다. 탐색 기준은 또한 네트워크를 통해 수신될 수 있는 바람직하지 않은 콘텐츠, 예를 들어, 희망하지 않은 이메일들(통상 스팸으로 알려짐) 또는 사용자가 부적당하다고 여기는 다른 콘텐츠를 지정하는데 사용될 수 있다.
데이터 스트림(12)은 시스템(10)에 의해 수신되는 데이터에 관심이 있는 제3자에 의해 탐색될 수 있다. 예를 들어, 데이터 스트림(12)은, 저작권 보호 작품에서 발생하는 텍스트, 오디오 시퀀스, 또는 비디오 시퀀스에 대해 모니터링될 수 있다. 데이터 스트림(12)은 범죄 조사 또는 민사 소송 절차와 관련되거나 고용인에게 흥미로운 발언(utterances)에 대해 모니터링될 수 있다.
탐색 기준(16)은, 또한, 예를 들어, CPU(20) 또는 패턴 인식 프로세서(14)에 의해 어드레싱 가능한 메모리에서, 번역이 가능한 데이터 스트림(12)의 패턴들을 포함할 수 있다. 예를 들어, 탐색 기준(16)은 대응 스페인어 단어가 메모리에 저장되어 있는 영어 단어를 각각 지정할 수 있다. 다른 일례에서, 탐색 기준(16)은, 데이터 스트림(12)의 디코딩된 버전이 이용가능한, 예를 들어, MP3, MPEG4, FLAC, 오그 보비스(Ogg Vorbis) 등의 데이터 스트림(12)의 인코딩된 버전들을 지정할 수 있으며, 또는 그 역도 가능하다.
패턴 인식 프로세서(14)는 단일 컴포넌트(예를 들어, 단일 디바이스)로 CPU(20)와 통합된 하드웨어일 수 있으며, 또는 개별 컴포넌트로서 형성될 수 있다. 예를 들어, 패턴 인식 프로세서(14)는 개별 통합 회로일 수 있다. 패턴 인식 프로세서(14)는 "코프로세서" 또는 패턴 인식 코프로세서"라고 불릴 수 있다.
도 2는 패턴 인식 프로세서(14)의 일례를 도시한다. 패턴 인식 프로세서(14)는 인식 모듈(22), 및 출력 버퍼(51)를 갖는 집계 모듈(24)을 포함할 수 있다. 출력 버퍼(51)는 결과 버퍼(25)를 포함할 수 있다. 인식 모듈(22)은 수신된 용어들을 탐색 용어들과 비교하도록 구성될 수 있으며, 인식 모듈(22) 및 집계 모듈(24)은 용어의 탐색 용어와의 매칭이 탐색 기준을 만족시키는지를 협조하여 결정할 수 있다. 결과 버퍼(25)는 패턴 인식 프로세서(14)의 다른 부분들로부터의 결과 데이터를 버퍼링할 수 있는데, 이는 도 14와 관련해서 더 후술된다.
인식 모듈(22)은 행 디코더(28) 및 복수의 피처 셀(30)을 포함할 수 있다. 각각의 피처 셀(30)은 탐색 용어를 지정할 수 있으며, 피처 셀들(30)의 그룹들은 탐색 기준을 형성하는 병렬 유한 상태 머신을 형성할 수 있다. 피처 셀들(30)의 컴포넌트들은 탐색 용어 어레이(32), 검출 어레이(34), 및 활성화 라우팅 행렬(36)을 형성할 수 있다. 탐색 용어 어레이(32)는 복수의 입력 도체(37)를 포함할 수 있고, 각각의 입력 도체는 행 디코더(28)와 통신하는 피처 셀들(30) 각각을 배치할 수 있다.
행 디코더(28)는 데이터 스트림(12)의 콘텐츠를 기반으로 복수의 입력 도체(37) 중에 특정 도체들을 선택할 수 있다. 예를 들어, 행 디코더(28)는, 하나의 용어를 표현할 수 있는, 수신된 바이트의 값을 기반으로 256 행들 중 하나를 활성화하는 원 바이트 투 256 행 디코더(one byte to 256 row decoder)일 수 있다. 0000 0000의 원-바이트 용어는 복수의 입력 도체(37) 중 최상부 행(top row)에 대응할 수 있으며, 1111 1111의 원-바이트 용어는 복수의 입력 도체(37) 중 최하부 행(bottom row)에 대응할 수 있다. 따라서, 어떤 용어들이 데이터 스트림(12)으로부터 수신되는지에 따라, 상이한 입력 도체들(37)이 선택될 수 있다. 상이한 용어들이 수신되면, 행 디코더(28)는 이전 용어에 대응하는 행을 비활성화하고 새로운 용어에 대응하는 행을 활성화할 수 있다.
검출 어레이(34)는 탐색 기준의 완전한 또는 부분적인 만족을 나타내는 신호들을 집계 모듈(24)에 출력하는 검출 버스(38)에 연결될 수 있다. 활성화 라우팅 행렬(36)은 매치된 탐색 기준의 탐색 용어들의 수를 기반으로 피처 셀들(30)을 선택적으로 활성화 및 비활성화할 수 있다.
집계 모듈(24)은 래치 행렬(40), 집계 라우팅 행렬(42), 임계 논리 행렬(44), 논리곱 행렬(46), 논리합 행렬(48), 및 초기화 라우팅 행렬(50)을 포함할 수 있다.
래치 행렬(40)은 특정 탐색 용어들의 일부분들을 구현할 수 있다. 일부 탐색 기준들, 예를 들어, 일부 정규 표현들은, 매치 또는 매치 그룹들의 첫번째 발생만을 카운트한다. 래치 행렬(40)은 매치가 발생했는지를 기록하는 래치들을 포함할 수 있다. 탐색 기준들이 만족된 것으로 결정되거나 또는 더 만족시킬 수 없다고 결정될 때, 즉, 탐색 기준이 만족될 수 있기 전에 이전의 탐색 용어가 다시 매치될 필요가 있을 수 있을 때, 래치들은 초기화 중에 클리어되고, 오퍼레이션 중에 주기적으로 다시 초기화될 수 있다.
집계 라우팅 행렬(42)은 활성화 라우팅 행렬(36)에 유사하게 작용할 수 있다. 집계 라우팅 행렬(42)은 검출 버스(38)에서 매치들을 나타내는 신호들을 수신할 수 있으며, 임계 논리 행렬(44)에 연결되는 상이한 그룹 논리 라인들(53)로 신호들을 라우팅할 수 있다. 집계 라우팅 행렬(42)은, 또한, 탐색 기준이 만족된 것으로 결정되거나 또는 더 만족시킬 수 없다고 결정될 때 검출 어레이(34)의 부분들을 리셋하기 위해 검출 어레이(34)에 초기화 라우팅 행렬(50)의 출력들을 라우팅할 수 있다.
임계 논리 행렬(44)은 복수의 카운터, 예를 들어, 카운트 업 또는 카운트 다운하도록 구성된 32-비트 카운터들을 포함할 수 있다. 임계 논리 행렬(44)은 초기 카운트로 로딩될 수 있으며, 인식 모듈에 의해 시그널링되는 매치들을 기반으로 카운트로부터 카운트 업 또는 카운트 다운할 수 있다. 예를 들어, 임계 논리 행렬(44)은 일부 길이의 텍스트에서 단어의 발생 횟수를 카운트할 수 있다.
임계 논리 행렬(44)의 출력들은 논리곱 행렬(46)의 입력들일 수 있다. 논리곱 행렬(46)은 "곱" 결과들(예를 들어, 불 논리의 "AND" 함수)을 선택적으로 생성할 수 있다. 논리곱 행렬(46)은 정사각 행렬로서 구현될 수 있고, 이 때, 출력 곱들의 수는 임계 논리 행렬(44)로부터의 입력 라인들의 수와 동일하거나, 또는 논리곱 행렬(46)이 출력들과 상이한 수의 입력들을 가질 수 있다. 결과 곱 값들은 논리합 행렬(48)에 출력될 수 있다.
논리합 행렬(48)은 합들(예를 들어, 불 논리의 "OR" 함수)을 선택적으로 생성할 수 있다. 논리합 행렬(48)은 정사각 행렬일 수 있거나, 또는 논리합 행렬(48)은 출력들과 상이한 수의 입력들을 가질 수 있다. 입력들이 논리 곱들이기 때문에, 논리합 행렬(48)의 출력들은 곱들의 논리합들(예를 들어, 불 논리의 SOP(Sum-of-Product) 형태)일 수 있다. 논리합 행렬(48)의 출력은 초기화 라우팅 행렬(50)에 의해 수신될 수 있다.
초기화 라우팅 행렬(50)은 집계 라우팅 행렬(42)을 통해 검출 어레이(34) 및 집계 모듈(24)의 부분들을 리셋할 수 있다. 초기화 라우팅 행렬(50)은 또한 정사각 행렬로서 구현될 수 있거나, 또는 초기화 라우팅 행렬(50)은 출력들과 상이한 수의 입력들을 가질 수 있다. 예를 들어, 탐색 기준이 만족되거나 또는 더 만족시킬 수 없다고 결정될 때, 초기화 라우팅 행렬(50)은 논리합 행렬(48)로부터의 신호들에 응답할 수 있으며, 패턴 인식 프로세서(14)의 다른 부분들을 다시 초기화할 수 있다.
집계 모듈(24)은 임계 논리 행렬(44), 집계 라우팅 행렬(42), 및 논리합 행렬(48)의 출력들을 수신하는 출력 버퍼(51)를 포함할 수 있다. 집계 모듈(24)의 출력은 출력 버퍼(51)로부터 출력 버스(26)를 통해 CPU(20)(도 1)에 송신될 수 있다. 일부 실시예들에서, 출력 멀티플렉서는 상기 컴포넌트들(42, 44, 48)로부터의 신호들을 멀티플렉싱하고, 탐색 용어들의 매치들 또는 기준 만족을 나타내는 신호들을 CPU(20)(도 1)에 출력할 수 있다. 다른 실시예들에서, 패턴 인식 프로세서(14)로부터의 결과들은 출력 멀티플렉서를 통해 신호들을 송신하지 않고 보고될 수 있고, 이는 본 명세서에 기술된 임의의 다른 피처가 생략될 수 없다는 것을 시사하는 것은 아니다. 예를 들어, 임계 논리 행렬(44), 논리곱 행렬(46), 논리합 행렬(48), 또는 초기화 라우팅 행렬(50)로부터의 신호들은 출력 버스(26)에서 병렬로 CPU에 송신될 수 있다.
도 3은 본 명세서에서 탐색 용어 셀(54)로서 언급된 컴포넌트인, 탐색 용어 어레이(32)(도 2)의 단일 피처 셀(30)의 일부분을 도시한다. 탐색 용어 셀들(54)은 출력 도체(56) 및 복수의 메모리 셀(58)을 포함할 수 있다. 메모리 셀들(58) 각각은 출력 도체(56) 및 복수의 입력 도체(37) 중 하나의 도체에 모두 연결될 수 있다. 선택되는 입력 도체(37)에 응답해서, 메모리 셀들(58) 각각은 출력 도체(56)를 통해 데이터를 출력하면서, 저장된 값을 나타내는 값을 출력할 수 있다. 일부 실시예들에서, 복수의 입력 도체(37)는 "단어 라인들"이라고 할 수 있으며, 출력 도체(56)는 "데이터 라인"이라고 할 수 있다.
메모리 셀들(58)은 각종 타입들의 메모리 셀들 중 임의의 것을 포함할 수 있다. 예를 들어, 메모리 셀들(58)은, 트랜지스터 및 커패시터를 갖는 DRAM(dynamic random access memory) 셀들 등의 휘발성 메모리일 수 있다. 트랜지스터의 소스 및 드레인은 각각 커패시터의 플레이트 및 출력 도체(56)에 연결될 수 있으며, 트랜지스터의 게이트는 입력 도체들(37) 중 하나에 연결될 수 있다. 휘발성 메모리의 다른 일례에서, 메모리 셀들(58) 각각은 SRAM(static random access memory) 셀을 포함할 수 있다. SRAM 셀은 입력 도체들(37) 중 하나에 의해 제어되는 액세스 트랜지스터에 의해 출력 도체(56)에 선택적으로 연결되는 출력을 가질 수 있다. 메모리 셀들(58)은, 또한, 위상 변경 메모리(예를 들어, 오보닉 장치), 플래시 메모리, SONOS(silicon-oxide-nitride-oxide-silicon) 메모리, 자기 저항 메모리, 또는 다른 타입들의 비휘발성 메모리 등의 비휘발성 메모리를 포함할 수 있다. 메모리 셀들(58)은, 또한, 플립플롭들, 예를 들어, 논리 게이트들로 이루어진 메모리 셀들을 포함할 수 있다.
도 4 및 도 5는 오퍼레이션 중인 탐색 용어 셀(54)의 일례를 도시한다. 도 4는 셀의 탐색 용어와 매치하지 않는 용어를 수신하는 탐색 용어 셀(54)을 도시하고, 도 5는 매치를 도시한다.
도 4에 도시된 바와 같이, 탐색 용어 셀(54)은 메모리 셀들(58)에 데이터를 저장함으로써 하나 이상의 용어들을 탐색하도록 구성될 수 있다. 메모리 셀들(58)은 데이터 스트림(12)이 제시할 수 있는 용어를 각각 표현할 수 있다. 예를 들어, 도 3에서, 각각의 메모리 셀(58)은 레터 "a"로 시작해서 숫자 "9"로 끝나는 단일 레터 또는 수를 나타낸다. 탐색 용어를 만족시키는 용어들을 나타내는 메모리 셀들(58)은 제1 값을 저장하도록 프로그래밍될 수 있으며, 탐색 용어를 만족시키는 용어들을 나타내지 않는 메모리 셀들(58)은 상이한 값을 저장하도록 프로그래밍될 수 있다. 본 일례에서, 탐색 용어 셀(54)은 레터 "b"에 대해 탐색하도록 구성된다. "b"를 나타내는 메모리 셀들(58)은 1 또는 논리 하이를 저장할 수 있으며, "b"를 나타내지 않는 메모리 셀들(58)은 0 또는 논리 로우를 저장하도록 프로그래밍될 수 있다.
데이터 스트림(12)으로부터의 용어를 탐색 용어와 비교하기 위해, 행 디코더(28)는 수신된 용어를 나타내는 메모리 셀들(58)에 연결된 입력 도체(37)를 선택할 수 있다. 도 4에서, 데이터 스트림(12)은 소문자 "e"를 나타낸다. 이 용어는 8 비트 ASCII 코드의 형태로 데이터 스트림(12)에 의해 나타내질 수 있으며, 행 디코더(28)는 동력을 공급함으로써 도체(60)에서 신호를 출력하면서, 행 어드레스로서 이 바이트를 해석할 수 있다.
응답으로, 도체(60)에 의해 제어되는 메모리 셀(58)은 메모리 셀(58)이 저장하는 데이터를 나타내는 신호를 출력할 수 있으며, 신호는 출력 도체(56)에 의해 전달될 수 있다. 이러한 경우에, 레터 "e"가 탐색 용어 셀(54)에 의해 지정된 용어들 중 하나가 아니기 때문에, 탐색 용어와 매치하지 않으며, 탐색 용어 셀(54)은 0 값을 출력해서, 매치가 발견되지 않았음을 나타낸다.
도 5에서, 데이터 스트림(12)은 캐릭터 "b"를 나타낸다. 또한, 행 디코더(28)는 어드레스로서 상기 용어를 해석할 수 있으며, 행 디코더(28)는 도체(62)를 선택할 수 있다. 응답으로, 레터 "b"를 나타내는 메모리 셀(58)은 저장된 값, 이 경우에는 1을 출력해서, 매치를 나타낸다.
탐색 용어 셀들(54)은 한번에 하나 보다 많은 용어를 탐색하도록 구성될 수 있다. 다수의 메모리 셀들(58)은 하나 보다 많은 용어와 매치하는 탐색 용어를 지정하는, 1을 저장하도록 프로그래밍될 수 있다. 예를 들어, 레터들 소문자 "a" 및 대문자 "A"를 나타내는 메모리 셀들(58)은 1을 저장하도록 프로그래밍될 수 있으며, 탐색 용어 셀(54)은 양쪽의 용어에 대해 탐색할 수 있다. 다른 일례에서, 탐색 용어 셀(54)은 임의의 캐릭터가 수신되면 매치를 출력하도록 구성될 수 있다. 탐색 용어 셀(54)이 탐색 기준에서 와일드카드 용어로서 작용할 수 있도록, 모든 메모리 셀들(58)은 1을 저장하도록 프로그래밍될 수 있다.
도 6 내지 도 8은, 예를 들어, 한 단어에 대해 멀티-텀(multi-term) 탐색 기준에 따라 탐색하는 인식 모듈(22)을 도시한다. 구체적으로, 도 6은 한 단어의 첫 번째 레터를 검출하는 인식 모듈(22)을 도시하고, 도 7은 두 번째 레터의 검출을 도시하며, 도 8은 마지막 레터의 검출을 도시한다.
도 6에 도시된 바와 같이, 인식 모듈(22)은 단어 "big"을 탐색하도록 구성될 수 있다. 세개의 인접 피처 셀들(63, 64, 66)이 도시되어 있다. 피처 셀(63)은 레터 "b"를 검출하도록 구성된다. 피처 셀(64)은 레터 "i"를 검출하도록 구성된다. 또한, 피처 셀(66)은 레터 "g"를 검출하고 탐색 기준이 만족됨을 나타내도록 구성된다.
또한, 도 6은 검출 어레이(34)의 추가 세부 사항들을 도시한다. 검출 어레이(34)는 피처 셀들(63, 64, 66) 각각의 검출 셀(68)을 포함할 수 있다. 검출 셀들(68) 각각은, 피처 셀(63, 64, 또는 66)이 활성 상태인지 또는 비활성 상태인지를 나타내는, 전술한 메모리 셀들 타입들 중 하나(예를 들어, 플립플롭)와 같은 메모리 셀(70)을 포함할 수 있다. 검출 셀들(68)은 검출 셀이 모두 활성 상태이고 매치를 나타내는 신호를 연관된 탐색 용어 셀(54)로부터 수신했는지를 나타내는 신호를 활성화 라우팅 행렬(36)에 출력하도록 구성될 수 있다. 비활성 피처 셀들(63, 64, 66)은 매치들을 무시할 수 있다. 검출 셀들(68) 각각은 메모리 셀(70) 및 출력 도체(56)로부터의 입력을 갖는 AND 게이트를 포함할 수 있다. AND 게이트의 출력은 검출 버스(38) 및 활성화 라우팅 행렬(36) 둘 다에, 또는 둘 중 하나에 라우팅될 수 있다.
활성화 라우팅 행렬(36)은, 차례로, 검출 어레이(34)의 메모리 셀들(70)에 기록함으로써 피처 셀들(63, 64, 66)을 선택적으로 활성화할 수 있다. 활성화 라우팅 행렬(36)은 탐색 기준에 따라 피처 셀들(63, 64, 66)을 활성화할 수 있으며, 이 때에, 탐색 용어는 데이터 스트림(12)의 다음에 대해 탐색된다.
도 6에서, 데이터 스트림(12)은 레터 "b"를 나타낸다. 응답으로, 피처 셀들(63, 64, 66) 각각은 출력 도체(56)에서 신호를 출력해서, 도체(62)에 연결된 메모리 셀(58)에 저장된 값을 나타내서, 레터 "b"를 나타낼 수 있다. 그 후 검출 셀들(56)은 각각 매치를 나타내는 신호를 수신했는지와 활성 상태인지를 결정할 수 있다. 피처 셀(63)이 레터 "b"를 검출하도록 구성되고 활성 상태이기 때문에, 메모리 셀(70)에 의해 나타낸 바와 같이, 피처 셀(63)의 검출 셀(68)은 탐색 기준의 제1 탐색 용어가 매치되었음을 나타내는 신호를 활성화 라우팅 행렬(36)에 출력할 수 있다.
도 7에 도시된 바와 같이, 제1 탐색 용어가 매치된 후에, 활성화 라우팅 행렬(36)은 검출 셀(68)의 메모리 셀(70)에 1을 기록함으로써 다음 피처 셀(64)을 활성화할 수 있다. 다음 용어가 제1 탐색 용어를 만족시키는 경우, 예를 들어, 용어들의 시퀀스 "bbig"가 수신되면, 활성화 라우팅 행렬(36)은 또한 피처 셀(63)의 활성 상태를 유지할 수 있다. 탐색 기준의 제1 탐색 용어는 데이터 스트림(12)이 탐색되는 시간의 일부 중에 또는 거의 모든 시간 중에 활성 상태로 유지될 수 있다.
도 7에서, 데이터 스트림(12)은 인식 모듈(22)에 레터 "i"를 제시한다. 응답으로, 피처 셀들(63, 64, 66) 각각은 출력 도체(56)에서 신호를 출력해서, 도체(72)에 연결된 메모리 셀(58)에 저장된 값을 나타내서, 레터 "i"를 나타낼 수 있다. 그 후 검출 셀들(56)은 각각 매치를 나타내는 신호를 수신했는지와 활성 상태인지를 결정할 수 있다. 피처 셀(64)이 레터 "i"를 검출하도록 구성되고 활성 상태이기 때문에, 메모리 셀(70)에 의해 나타낸 바와 같이, 피처 셀(64)의 검출 셀(68)은 탐색 기준의 다음 탐색 용어가 매치되었음을 나타내는 신호를 활성화 라우팅 행렬(36)에 출력할 수 있다.
다음으로, 도 8에 도시된 바와 같이, 활성화 라우팅 행렬(36)은 피처 셀(66)을 활성화할 수 있다. 다음 용어를 평가하기 전에, 피처 셀(64)은 비활성화될 수 있다. 검출 셀(68)이 검출 사이클들 사이에서 메모리 셀(70)을 리셋함으로써 피처 셀(64)이 비활성화될 수 있거나, 또는, 예를 들어, 활성화 라우팅 행렬(36)이 피처 셀(64)을 비활성화할 수 있다.
도 8에서, 데이터 스트림(12)은 행 디코더(28)에 레터 "g"를 제시하고, 행 디코더(28)는 용어 "g"를 나타내는 도체(74)를 선택한다. 응답으로, 피처 셀들(63, 64, 66) 각각은 출력 도체(56)에서 신호를 출력해서, 도체(74)에 연결된 메모리 셀(58)에 저장된 값을 나타내서, 레터 "g"를 나타낼 수 있다. 그 후 검출 셀들(56)은 각각 매치를 나타내는 신호를 수신했는지와 활성 상태인지를 결정할 수 있다. 피처 셀(66)이 레터 "g"를 검출하도록 구성되고 활성 상태이기 때문에, 메모리 셀(70)에 의해 나타낸 바와 같이, 피처 셀(66)의 검출 셀(68)은 탐색 기준의 최종 탐색 용어가 매치되었음을 나타내는 신호를 활성화 라우팅 행렬(36)에 출력할 수 있다.
탐색 기준의 끝 또는 탐색 기준의 일부분이 활성화 라우팅 행렬(36) 또는 검출 셀(68)에 의해 식별될 수 있다. 상기 컴포넌트들(36 또는 68)은 피처 셀들(63, 64, 또는 66)이 탐색 기준의 최종 탐색 용어 또는 탐색 기준의 컴포넌트를 지정하는지를 나타내는 메모리를 포함할 수 있다. 예를 들어, 탐색 기준은 단어 "cattle"이 두 번 발생하는 모든 문장들을 지정할 수 있으며, 인식 모듈은 문장 내의 "cattle"의 각각의 발생을 나타내는 신호를 집계 모듈에 출력할 수 있고, 집계 모듈은 탐색 기준이 만족되는지를 결정하기 위해 발생들을 카운트할 수 있다.
피처 셀들(63, 64, 또는 66)은 여러 상황들에서 활성화될 수 있다. 피처 셀(63, 64, 또는 66)은 "항상 활성 상태"일 수 있고, 이는 모든 탐색 중에 또는 거의 모든 탐색 중에 활성 상태를 유지함을 의미한다. 항상 활성 상태인 피처 셀(63, 64, 또는 66)의 일례는 탐색 기준의 제1 피처 셀, 예를 들어, 피처 셀(63)이다.
피처 셀(63, 64, 또는 66)은 "요구될 때 활성 상태"일 수 있는데, 이는 일부 선행 조건이 매치될 때, 예를 들어, 탐색 기준의 선행 탐색 용어들이 매치될 때 피처 셀(63, 64, 또는 66)이 활성 상태임을 의미한다. 일레는, 도 6-8의 피처 셀(63)에 의해 요구될 때 활성 상태인 피처 셀(64), 및 피처 셀(64)에 의해 요구될 때 활성 상태인 피처 셀(66)이다.
피처 셀(63, 64, 또는 66)은 "자체 활성화"될 수 있고, 이는 일단 활성화되면, 탐색 용어가 매치되는 한 자체 활성화한다. 예를 들어, 임의의 숫자에 의해 매치되는 탐색 용어를 갖는 자체 활성화된 피처 셀은 레터 "x"에 도달될 때까지 시퀀스 "123456xy"에 걸쳐 활성 상태를 유지할 수 있다. 자체 활성화된 피처 셀의 탐색 용어가 매치될 때마다, 탐색 기준의 다음 피처 셀을 활성화할 수 있다. 따라서, 항상 활성 상태인 피처 셀은 자체 활성화 피처 셀 및 요구될 때 활성 상태인 피처 셀로부터 형성될 수 있다: 자체 활성화 피처 셀은 모든 메모리 셀들(58)이 1을 저장하게 하여 프로그래밍될 수 있으며, 각각의 용어 후에, 요구될 때 활성 상태인 피처 셀을 반복해서 활성화할 수 있다. 일부 실시예들에서, 각각의 피처 셀(63, 64, 66)은 피처 셀이 항상 활성 상태인지를 지정하는 활성화 라우팅 행렬(36)의 메모리 셀 또는 검출 셀(68)의 메모리 셀을 포함할 수 있어서, 단일 피처 셀로부터 항상 활성 상태인 피처 셀을 형성할 수 있다.
도 9는 제1 탐색 기준(75) 및 제2 탐색 기준(76)에 따라 병렬로 탐색하도록 구성된 인식 모듈(22)의 일례를 도시한다. 본 일례에서, 제1 탐색 기준(75)은 단어 "big"을 지정하고, 제2 탐색 기준(76)은 단어 "cab"을 지정한다. 데이터 스트림(12)으로부터 현재 용어를 나타내는 신호는 각각의 탐색 기준(75, 76)의 피처 셀들에 통상 동시에 통신될 수 있다. 입력 도체들(37) 각각은 탐색 기준(75, 76)을 둘 다 포괄한다. 그 결과, 일부 실시예들에서, 탐색 기준(75, 76) 둘 다는 현재 용어를 거의 동시에 평가할 수 있다. 이는 탐색 기준의 평가를 가속화한다고 여겨진다. 다른 실시예들은 더 많은 탐색 기준들을 병렬로 평가하도록 구성된 더 많은 피처 셀들을 포함할 수 있다. 예를 들어, 일부 실시예들은 병렬로 동작하는 100, 500, 1000, 5000, 또는 10,000보다 많은 피처 셀들을 포함할 수 있다. 이러한 피처 셀들은 수백 또는 수천 개의 탐색 기준들을 거의 동시에 평가할 수 있다.
상이한 수들의 탐색 용어들을 갖는 탐색 기준들은 더 많거나 더 적은 피처 셀들을 탐색 기준들에 할당함으로써 형성될 수 있다. 간단한 탐색 기준은 복잡한 탐색 기준들보다 더 적은 리소스들을 피처 셀들의 형태로 소비할 수 있다. 이는 모두 복작합 탐색 기준들을 평가하도록 구성된, 많은 수의 일반적으로 동일한 코어들을 갖는 프로세서들에 비해 패턴 인식 프로세서(14)(도 2)의 비용을 감소시킨다고 여겨진다.
도 10 내지 도 12는 활성화 라우팅 행렬(36)의 더 복잡한 탐색 기준 및 피처들의 일례를 도시한다. 활성화 라우팅 행렬(36)은 복수의 활성화 라우팅 셀(78)을 포함할 수 있고, 활성화 라우팅 셀들의 그룹들은 피처 셀들(63, 64, 66, 80, 82, 84, 86) 각각과 연관될 수 있다. 예를 들어, 피처 셀들 각각은 5, 10, 20, 50, 또는 그 이상의 활성화 라우팅 셀들(78)을 포함할 수 있다. 선행 탐색 용어가 매치될 때 활성화 라우팅 셀들(78)은 탐색 기준으로 다음 탐색 용어에 활성화 신호들을 송신하도록 구성될 수 있다. 활성화 라우팅 셀들(78)은 동일한 피처 셀 내의 다른 활성화 라우팅 셀들(78) 또는 인접한 피처 셀들에 활성화 신호들을 라우팅하도록 구성될 수 있다. 활성화 라우팅 셀들(78)은 어떤 피처 셀들이 탐색 기준에서 다음 탐색 용어에 대응하는지를 나타내는 메모리를 포함할 수 있다.
도 10-12에 도시된 바와 같이, 인식 모듈(22)은 단일 단어들을 지정하는 기준들 외에 복잡한 탐색 기준들에 따라 탐색하도록 구성될 수 있다. 예를 들어, 인식 모듈(22)은 접두사(88)로 시작해서 두개의 접미사들(90 또는 92) 중 하나로 끝나는 단어들을 탐색하도록 구성될 수 있다. 본 탐색 기준은 시퀀스에서 레터들 "c" 및 "l"로 시작해서 레터들의 시퀀스 "ap" 또는 레터들의 시퀀스 "oud"로 끝나는 단어들을 지정한다. 이는 다수의 타겟 표현들, 예를 들어, 단어 "clap" 또는 단어 "cloud"를 지정하는 탐색 기준의 일례이다.
도 10에서, 데이터 스트림(12)은 레터 "c"를 인식 모듈(22)에 제시하고, 피처 셀(63)은 활성 상태이며 매치를 검출한다. 응답으로, 활성화 라우팅 행렬(36)은 다음 피처 셀(64)을 활성화할 수 있다. 피처 셀(63)이 탐색 기준에서 제1 탐색 용어이면, 활성화 라우팅 행렬(36)은 또한 피처 셀(63)의 활성 상태를 유지할 수 있다.
도 11에서, 데이터 스트림(12)은 레터 "l"을 제시하고, 피처 셀(64)은 매치를 인식하고 활성 상태이다. 응답으로, 활성화 라우팅 행렬(36)은 제1 접미사(90)의 제1 피처 셀(66) 및 제2 접미사(92)의 제1 피처 셀(82) 양쪽에 활성화 신호를 송신할 수 있다. 다른 일례들에서, 더 많은 접미사들이 활성화될 수 있거나, 또는 다수의 접두사들이 하나 이상의 접미사들을 활성화할 수 있다.
다음으로, 도 12에 도시된 바와 같이, 데이터 스트림(12)은 레터 "o"를 인식 모듈(22)에 제시하고, 제2 접미사(92)의 피처 셀(82)은 매치를 검출하고 활성 상태이다. 응답으로, 활성화 라우팅 행렬(36)은 제2 접미사(92)의 다음 피처 셀(84)을 활성화할 수 있다. 피처 셀(66)이 비활성 상태가 되는 것이 허용되면, 제1 접미사(90)에 대한 탐색은 사라질 수 있다. 도 10-12에 도시된 단계들은 레터들 "u" 및 "d"에 걸쳐 계속될 수 있거나, 또는 다음번에 접두사(88)가 매치될 때까지 탐색은 사라질 수 있다.
전술한 바와 같이, 패턴 인식 프로세서(14)는 데이터 스트림(12)을 처리할 수 있다. 데이터 스트림(12)은 시스템(10)에 의해 수신되고 패턴 인식 프로세서(14)에 제공된 복수의 데이터 스트림으로부터의 데이터를 포함할 수 있다. 도 13은 본 발명의 일 실시예에 따라 복수의 데이터 스트림(92)을 수신하는 시스템(10)을 도시한다. 도 13에 도시된 바와 같이, 데이터 스트림들(92)은 하나 이상의 데이터 소스들(94)로부터 수신될 수 있다. 예를 들어, 데이터 소스 1은 데이터 스트림 1 및 데이터 스트림 2를 제공할 수 있고, 데이터 소스 2는 데이터 스트림 3을 제공할 수 있으며, 데이터 소스 4는 데이터 스트림 4를 제공할 수 있다. 데이터 소스들(94)은 네트워크(96)를 통해 시스템(10)과 통신할 수 있다. 패턴 인식 프로세서를 포함하는 시스템(10)은 네트워크 인터페이스 카드(NIC)(98) 또는 네트워크(96)와의 통신을 허용하는 다른 컴포넌트를 포함할 수 있다.
데이터 소스들(94)은 시스템(10)의 사용자에 의해 액세스 가능한 단일 리소스를 총괄해서 형성할 수 있다. 예를 들어, 데이터 소스들(94)은 각각 웹 페이지에 대한 요구에 응답해서 액세스될 수 있고, 각각의 데이터 소스(94)는 요구에 대한 데이터(예를 들어, 웹 페이지에 대한 콘텐츠, 광고 등)를 제공하는 상이한 서버에 대응한다. 대안으로, 각각의 데이터 소스(94)는 다수의 웹 페이지들, 메일 서버, 파일 서버 또는 임의의 애플리케이션 서버 등의 서버들, 피어-투-피어 애플리케이션들을 통해 정보에 액세스하는 워크스테이션 등의 워크스테이션들 등과 같은 상이한 리소스에 개별적으로 대응할 수 있다. 데이터 소스들(94)이 대응하는 리소스(들)와 무관하게, 데이터 스트림들 1, 2, 3, 또는 4 등의 임의의 데이터 스트림은 패턴 인식 프로세서(14)에 의해 수신 및 처리될 수 있다. 전술한 바와 같이, 스트림들은 바이러스, 멀웨어, 저작권 보호 정보, 또는 관심 있는 임의의 다른 데이터에 대해 탐색될 수 있다.
일부 실시예들에서, 네트워크(96)는, 인터넷에서 흔히 사용되는 바와 같이, TCP/IP(Transmission Control Protocol/Internet Protocol)를 사용하는 네트워크일 수 있다. 본 실시예에서, 데이터 스트림들(92)은 데이터 패킷들로서 네트워크(96)를 통해 패턴 인식 프로세서(14)에 전송될 수 있다. 본 실시예에서, 각각의 데이터 스트림(92)으로부터의 패킷들은 다른 데이터 소스들로부터의 다른 패킷들과 혼합(즉, 멀티플렉싱)될 수 있다. 즉, 다수의 스트림들(92)이 도시되더라도, 각각의 데이터 스트림(92)으로부터의 패킷들은 모든 데이터 스트림들(92)로부터의 연속 패킷들의 혼합된 스트림으로 디바이스(10)에 의해 수신될 수 있어서, 두 개의 연속 패킷(들)이 단일 데이터 스트림(92)에 속한다고 보장되지는 않는다. 각각의 데이터 스트림(92)의 패킷들은 특정한 순서 없이 시스템(10)의 NIC에 도착할 수 있다. 각각의 데이터 스트림(92)의 패킷들의 시퀀스는 데이터 흐름들(또한 "세션"이라고도 함)이라고 할 수 있다. 데이터 흐름은 패턴 인식 프로세서(14)에 의해 처리되는 관련된 패킷들의 시퀀스를 지칭한다. 따라서, 디바이스(10)에 의해 수신된 각각의 데이터 스트림(92)에 대한 하나의 데이터 흐름이 있을 수 있거나, 또는 하나의 데이터 스트림으로부터 처리된 다수의 데이터 흐름들이 있을 수 있다.
각각의 스트림(92), 및 패킷들의 흐름들은 패턴 인식 프로세서(14)에 의해 수신되어 임의의 순서로 처리될 수 있다. 스트림들(92) 및 데이터 흐름들의 프로세싱은 인터리빙될 수 있어서, 데이터 스트림의 프로세싱은 정지될 수 있으며, 프로세싱은 다른 데이터 스트림으로 스위치할 수 있다.
각각의 데이터 흐름을 식별하기 위해, 패턴 인식 프로세서(14)는 "FlowID" 레지스터(100)를 포함할 수 있다. 일부 실시예들에서, FlowID 레지스터는, 디바이스(10)의 다른 컴포넌트에 포함되는 등, 패턴 인식 프로세서(14)와 별개이지만, 패턴 인식 프로세서(14)에 의해 액세스될 수 있다. FlowID 레지스터(100)는 특정 데이터 흐름을 식별하는 임의의 수의 고유한 흐름 식별자들을 저장할 수 있다. 예를 들어, 새로운 데이터 흐름을 수신할 때, 프로세서(14)는 고유한 흐름 식별자를 데이터 흐름에 할당하고 흐름 식별자를 레지스터(100)에 기록할 수 있다. FlowID 레지스터(100)는 임의의 적합한 크기일 수 있으며, 레지스터(100)의 크기는 처리되는 데이터 흐름들의 수를 기반으로 선택될 수 있다. 예를 들어, 일부 실시예들에서, 레지스터(100)는 8-비트들(예를 들어, 256 FlowID들을 저장할 수 있음), 16 비트들(예를 들어, 65,536 FlowID들을 저장할 수 있음), 또는 32 비트들(예를 들어, 4,294,967,296 FlowID들을 저장할 수 있음)일 수 있다. 다른 실시예에서, 다수의 레지스터들이 FlowID들을 저장하는데 사용될 수 있다.
도 14는 본 발명의 출력에 따른 데이터 스트림들(92)의 프로세싱 및 출력을 도시한다. 전술한 바와 같이, 패턴 인식 프로세서(14)는 데이터 스트림들(92), 예를 들어, 데이터 스트림 1, 데이터 스트림 2, 데이터 스트림 3, 및 데이터 스트림 4를 수신할 수 있다. 각각의 데이터 스트림(92)으로부터의 패킷들은 패턴 인식 프로세서(14)의 상이한 데이터 흐름(즉, 세션)으로서 처리될 수 있다. 데이터 스트림(92)으로부터 패킷들을 수신할 때, FlowID 레지스터(100)는 데이터 스트림(92)에 대하여 각각의 흐름에 고유한 FlowID를 할당할 수 있다. 예를 들어, 도 14에 도시된 바와 같이, FlowID 레지스터(100)는 각각의 데이터 스트림 1, 2, 3, 4의 각각의 데이터 흐름에 대한 값들 FlowID1, FlowID2, FlowID3, FlowID4를 각각 저장할 수 있다.
각각의 데이터 흐름에 대한 데이터를 처리한 후에, 전술한 바와 같이, 패턴 인식 프로세서(14)는 대응하는 결과 스트림들(104)을 출력한다. 따라서, 각각의 데이터 스트림 1, 2, 3, 또는 4에 대해, 대응하는 결과 스트림 1, 2, 3, 4가 패턴 인식 프로세서(14)로부터 출력될 수 있다. 전술한 바와 같이, 결과 스트림들(104)은 각각의 데이터 스트림들(92)의 데이터에 대해 실행된 탐색 및/또는 임의의 다른 기능들의 결과들을 포함할 수 있다.
패턴 인식 프로세서(14)로부터의 출력은 출력 버퍼(51)(도 2)에 제공될 수 있다. 전술한 바와 같이, 출력 버퍼(51)는 도 14에 도시된 결과 버퍼(25)를 포함할 수 있다. 결과 버퍼(25)는 FIFO(first-in first-out) 버퍼(108), 버퍼-기록-제어 모듈(110), 버퍼-판독-제어 모듈(112), 및 버퍼-구성 모듈(114)을 포함할 수 있다. 결과 버퍼(25)는 패턴 인식 프로세서(14)의 일부로서 (예를 들어, 실리콘의 동일 바디 상에) 일체로 형성될 수 있거나, 또는 개별 컴포넌트일 수 있다. 결과 버퍼(25)는 결과 스트림들 1, 2, 3, 4를 전송하는 업스트림 결과 버스(116)를 통해 패턴 인식 프로세서(14)로부터 결과 데이터를 수신할 수 있으며, 결과 버퍼(25)는 다운스트림 결과 버스(118)를 통해 CPU(20)(도 1)에 결과 데이터를 출력할 수 있다.
FIFO 버퍼(108)는 복수의 레코드(120)를 저장하도록 구성될 수 있다. 각각의 레코드(120)는 결과 데이터, 예를 들어, 기준의 만족과 관련된 데이터를 저장할 수 있다. 각각의 레코드(120)는 하나의 기준의 만족의 하나의 인스턴스에 대응할 수 있거나, 또는 기준의 만족과 관련된 데이터는 수개의 레코드들(120), 예를 들어, 수개의 인접하는 또는 달리 순차적인 레코드들(120)에 저장될 수 있다. 결과 데이터는 어떤 기준이 만족되었는지 등의 데이터, 기준을 만족시킨 각각의 데이터 스트림(92)으로부터의 용어들의 시퀀스, 기준을 만족시킨 개별적인 데이터 스트림(92)의 일부를 가리키는 용어 카운트(예를 들어, 비트 카운트), 또는 기준을 만족시킨 데이터 스트림의 일부가 수신되는 시간 기간 등을 포함할 수 있다. FIFO 버퍼(94)의 각각의 레코드는, 상태 정보, 하우스키핑 정보 등의 임의의 다른 정보를 저장할 수 있다.
버퍼(25)에 저장된 결과 데이터가 특정 데이터 스트림(92)에 관련됨을 보장하기 위해, 결과 버퍼(25)의 각각의 레코드(120)는 결과 데이터 및 결과와 연관된 데이터 스트림에 대한 연관된 FlowID를 저장할 수 있다. 예를 들어, FIFO 버퍼(108)의 제1 레코드(122)는 FlowID4 및 데이터 스트림 4와 관련된 결과 데이터를 포함할 수 있다. 유사하게, 버퍼(108)의 제2 레코드(124)는 FlowID3 및 데이터 스트림 3과 관련된 결과 데이터 3을 포함할 수 있다. 이러한 방식으로, FIFO 버퍼(108)의 각각의 레코드(120)는 대응하는 흐름 식별자를 포함해서, 버퍼(25)의 임의의 컴포넌트 다운스트림은 개별적인 데이터 스트림들(92) 중 하나를 갖는 버퍼(25)로부터 수신된 결과 데이터를 식별할 수 있다.
버퍼-기록-제어 모듈(110)은 업스트림 결과 버스(116)로부터 어떤 데이터가 FIFO 버퍼(94)의 레코드(120)에 기록되는지를 제어하도록 구성될 수 있다. 버퍼-기록-제어 모듈(110)은 기준이 만족될 때마다 또는 결과 데이터가 새로운 레코드에 기록될 때마다 증가 또는 감소하는 카운터를 포함할 수 있다. 버퍼-기록-제어 모듈은 또한 어떤 레코드(120)가 가장 최근에 CPU(20)에 출력되었는지를 나타내는 메모리를 포함할 수 있으며, 버퍼-기록-제어 모듈(110)은 CPU(20)에 이미 전달된 데이터를 포함하는 레코드들(120)에 업스트림 결과 버스(116)로부터의 데이터를 기록하도록 구성될 수 있다.
유사하게, 버퍼-판독-제어 모듈(112)은 어떤 레코드(120)가 FIFO 버퍼(108)로부터 판독되지 않은 가장 오래된 레코드인지를 나타내도록 구성될 수 있다. 예를 들어, 버퍼-판독-제어 모듈(112)은 레코드가 FIFO 버퍼(108)로부터 판독될 때마다 증가 또는 감소하는 카운터를 포함할 수 있으며, 카운터의 카운트는 가장 오래된 판독되지 않은 레코드(120)를 식별할 수 있다. 버퍼-판독-제어 모듈(112)은 CPU(20)로부터 판독 커맨드 신호(126)를 수신해서 FIFO 버퍼(108)가 가장 오래된 판독되지 않은 레코드(120)에 의해 저장된 데이터를 출력하게 함으로써 판독 커맨드에 응답하도록 구성될 수 있다.
도 15는 패턴 인식 프로세서(14)의 일 실시예에서 다수의 데이터 흐름들을 처리하는 프로세스(200)의 플로우차트이다. 전술한 바와 같이, 디바이스(10)의 NIC(98)에 의해 수신되는 바와 같이, 디바이스(10)의 패턴 인식 프로세서(14)는 데이터 스트림 1에 대응할 수 있는 제1 데이터 흐름의 데이터 패킷(들)을 수신할 수 있다(블록(202)). 패턴 인식 프로세서(14)는 제1 데이터 흐름에 FlowID를 할당하고 FlowID 레지스터(100)에 FlowID를 기록할 수 있다(블록(204)). 그 후, 패턴 인식 프로세서(14)는, 활성 흐름(active flow)으로서, 흐름 1, 예를 들어, 제1 FlowID에 의해 식별된 데이터 흐름으로 스위치하고(블록(206)), 예를 들어, 기준의 만족에 대해 흐름을 탐색함으로써, 활성 흐름을 처리한다(블록(208)).
디바이스(10) 및 패턴 인식 프로세서(14)에 의해 수신된 다음 패킷(들)은 데이터 스트림 2에 대응할 수 있는 제2 데이터 흐름에 속할 수 있다. 패턴 인식 프로세서(14)는 제2 데이터 흐름의 패킷(들)을 수신하고(블록(210)) 제2 데이터 흐름에 대한 제2 FlowID를 할당하며(블록(212)) FlowID 레지스터(100)에 FlowID를 기록할 수 있다. 입력 패킷들이 다른 데이터 흐름에 속하기 때문에, 패턴 인식 프로세서(14)는 제2 데이터 흐름의 패킷들을 탐색하는 것으로 스위치할 수 있다. 패턴 인식 프로세서(14)는 활성 흐름, 예를 들어, 제1 FlowID를 갖는 제1 데이터 흐름의 프로세싱을 중단하고, 활성 흐름의 중간 결과들을 저장할 수 있다(블록(214)). 프로세서(14)는 활성 흐름으로서 제2 데이터 흐름으로 스위치하고(블록(216)), 새로운 활성 흐름, 예를 들어, 제2 FlowID를 갖는 제2 데이터 흐름을 처리할 수 있다(블록(218)).
데이터 흐름들의 스위칭은, 다른 패킷(들)이 다른 데이터 흐름에 대해 수신되는 임의의 시간에 실행될 수 있다. 예를 들어, 프로세스(200)에서, 제1 데이터 흐름, 흐름 1로부터의 다른 데이터 패킷(들)이 수신될 수 있다(블록(220)). 프로세서(14)는 현재 활성 흐름, 즉, 흐름 2의 프로세싱을 중단하고(블록(222)), 흐름 1 처리로 스위치할 수 있다. 다른 데이터 흐름의 추가 패킷(들)이 수신될 때까지, 프로세서(14)는 계속해서 흐름 1을 처리할 수 있다.
흐름 1, 흐름 2, 또는 둘 다의 프로세싱이 완료된 후에, 전술한 바와 같이, 각각의 데이터 흐름의 결과 데이터가 결과 버퍼(25)에 출력될 수 있다(블록(224)). 흐름 1의 결과 데이터뿐만 아니라 흐름 1의 FlowID가 버퍼(25)에 출력되어 FIFO 버퍼(108)에 저장될 수 있다. 유사하게, 흐름 2의 결과 데이터 및 대응하는 FlowID가 버퍼(25)에 출력되어 FIFO 버퍼(108)에 저장될 수 있다. 전술한 프로세스(200)는 임의의 수의 데이터 흐름들, 예를 들어, 디바이스(10)에 의해 수신되고 패턴 인식 프로세서(14)에 의해 처리된 데이터 스트림들(92)에 대해 구현될 수 있음이 이해될 것이다. 데이터 흐름으로부터의 각각의 입력 패킷(들)은 새로운 FlowID를 할당받을 수 있으며, 프로세서(14)는 해당 데이터 흐름 처리로 스위치할 수 있다.
본 발명은 각종 변경들 및 대안적인 형태들을 갖기 쉽지만, 특정 실시예들이 도면들에서 일례로서 도시되었고 본 명세서에 상세히 기술되었다. 그러나, 본 발명이 기술된 특정 형태들로 제한되지 않음을 알아야만 한다. 오히려, 본 발명은 이하의 첨부된 청구항들에 의해 정의되는 본 발명의 사상 및 범주 내에 속한 모든 변경물들, 균등물들, 및 대안물들을 커버한다.

Claims (32)

  1. 패턴 인식 프로세서; 및
    상기 패턴 인식 프로세서에 의해 액세스 가능하며 복수의 식별자를 저장하도록 구성된 레지스터를 포함하고,
    각각의 식별자는 각각의 탐색 결과들을 생성하기 위해 상기 패턴 인식 프로세서에 의해 탐색되고 수신되는 데이터 패킷들을 포함하는 복수의 데이터 흐름의 각각에 할당되고,
    상기 복수의 데이터 흐름의 각각은 상기 패턴 인식 프로세서에 의해 탐색될 때 활성 데이터 흐름으로서 식별되는 시스템.
  2. 제1항에 있어서,
    흐름의 결과 데이터 및 상기 흐름에 할당된 식별자를 저장하도록 구성된 버퍼를 포함하는 시스템.
  3. 제1항에 있어서,
    상기 레지스터는 8-비트 레지스터, 16-비트 레지스터, 또는 32-비트 레지스터 중 하나를 포함하는 시스템.
  4. 제1항에 있어서,
    상기 복수의 데이터 흐름을 제공하도록 구성된 복수의 데이터 소스를 포함하는 시스템.
  5. 제4항에 있어서,
    상기 복수의 데이터 소스의 각각은 상기 복수의 데이터 흐름으로서 데이터를 제공하도록 구성된 서버를 포함하는 시스템.
  6. 제1항에 있어서,
    상기 복수의 데이터 흐름을 제공하도록 구성된 제1 데이터 소스를 포함하는 시스템.
  7. 제1항에 있어서,
    상기 복수의 데이터 흐름을 상기 패턴 인식 프로세서에 전송하도록 구성된 네트워크를 포함하는 시스템.
  8. 제7항에 있어서,
    상기 패턴 인식 프로세서에 연결되고 상기 네트워크와 통신하도록 구성된 네트워크 디바이스를 포함하는 시스템.
  9. 제1항에 있어서,
    상기 복수의 데이터 흐름의 각각은 복수의 데이터 패킷을 포함하는 시스템.
  10. 제1항에 있어서,
    상기 레지스터는 상기 패턴 인식 프로세서의 일부로서 일체로 형성되는 시스템.
  11. 데이터 패킷들을 포함하는 복수의 데이터 흐름을 처리하고 상기 복수의 데이터 흐름의 각각의 데이터 흐름에 각각의 식별자를 할당하도록 구성된 패턴 인식 프로세서 - 상기 복수의 데이터 흐름의 각각의 데이터 흐름은 상기 패턴 인식 프로세서에 의해 탐색될 때 활성 데이터 흐름으로서 식별됨 - ; 및
    상기 패턴 인식 프로세서에 의해 액세스 가능하며 복수의 레코드를 저장하도록 구성된 버퍼를 포함하고,
    각각의 레코드는 결과 데이터, 및 상기 패턴 인식 프로세서에 의해 탐색되는 상기 복수의 데이터 흐름의 각각의 데이터 흐름과 상기 결과 데이터를 연관시키는 각각의 식별자를 저장하도록 구성된 디바이스.
  12. 제11항에 있어서,
    상기 버퍼는 FIFO(first-in first-out) 버퍼를 포함하는 디바이스.
  13. 제11항에 있어서,
    상기 버퍼는 상기 복수의 레코드에 상기 결과 데이터를 기록하도록 구성된 기록 제어 모듈 및 상기 복수의 레코드로부터 데이터를 판독하도록 구성된 판독 제어 모듈을 포함하는 디바이스.
  14. 제11항에 있어서,
    상기 버퍼는 상기 패턴 인식 프로세서의 일부로서 일체로 형성되는 디바이스.
  15. 제11항에 있어서,
    상기 버퍼는 상기 패턴 인식 프로세서와 분리된 디바이스.
  16. 제11항에 있어서,
    상기 복수의 레코드의 각각은 탐색 기준의 만족을 포함하는 결과 데이터를 저장하는 디바이스.
  17. 제11항에 있어서,
    상기 복수의 레코드 중 두 개 이상의 순차적 레코드들이 탐색 기준의 만족을 포함하는 결과 데이터를 저장하는 디바이스.
  18. 제11항에 있어서,
    상기 결과 데이터는 탐색 기준의 만족, 탐색 기준을 만족시킨 상기 복수의 데이터 흐름 중 하나로부터의 용어들의 시퀀스, 탐색 기준을 만족시킨 상기 복수의 데이터 흐름 중 하나의 일부를 식별하는 식별자, 상기 복수의 데이터 흐름 중 하나의 일부가 탐색 기준을 만족시킨 시간 기간, 또는 이들의 임의의 조합을 포함하는 디바이스.
  19. 데이터를 처리하는 방법으로서,
    데이터 패킷들을 포함하는 데이터 흐름을 수신하는 단계;
    상기 데이터 흐름에 식별자를 할당하는 단계;
    상기 식별자를 레지스터에 기록하는 단계;
    탐색 기준에 따라 활성 데이터 흐름으로서 상기 데이터 흐름을 탐색하여 탐색 결과들을 생성하는 단계;
    제2 데이터 흐름을 수신하는 단계;
    상기 제2 데이터 흐름에 제2 식별자를 할당하는 단계;
    상기 제2 식별자를 상기 레지스터에 기록하는 단계;
    상기 활성 데이터 흐름으로서 상기 제2 데이터 흐름으로 스위치하는 단계; 및
    상기 제2 데이터 흐름을 탐색하는 단계
    를 포함하는 방법.
  20. 제19항에 있어서,
    상기 탐색 결과들 및 상기 식별자를 버퍼에 저장하는 단계를 포함하는 방법.
  21. 삭제
  22. 제19항에 있어서,
    상기 제2 데이터 흐름을 탐색하는 단계는 제2 탐색 결과들을 생성하는 방법.
  23. 제22항에 있어서,
    상기 제2 탐색 결과들 및 상기 제2 식별자를 버퍼에 저장하는 단계를 포함하는 방법.
  24. 제19항에 있어서,
    상기 데이터 흐름의 탐색을 중단하는 단계와 상기 탐색의 결과들을 저장하는 단계를 포함하는 방법.
  25. 제24항에 있어서,
    탐색 기준에 따라 상기 제2 데이터 흐름의 탐색으로 스위치하는 단계를 포함하는 방법.
  26. 제20항에 있어서,
    결과들을 저장하는 단계는 상기 데이터 흐름에 의해 만족된 탐색 기준을 식별하는 데이터를 저장하는 단계를 포함하는 방법.
  27. 제1 데이터 흐름의 패킷들을 탐색하여 제1 탐색 결과들을 생성하는 단계 ― 상기 제1 데이터 흐름은 제1 흐름 식별자를 할당받으며 활성 흐름으로서 식별됨 ―;
    제2 데이터 흐름의 패킷들을 수신하는 단계;
    상기 제2 데이터 흐름에 대한 제2 흐름 식별자를 할당하는 단계;
    상기 활성 흐름으로서 상기 제2 데이터 흐름으로 스위치하는 단계; 및
    상기 제2 데이터 흐름의 패킷들을 탐색하는 단계
    를 포함하는 방법.
  28. 제27항에 있어서,
    상기 활성 흐름으로서 상기 제2 데이터 흐름으로 스위치하기 전에 상기 제1 탐색 결과들을 저장하는 단계를 포함하는 방법.
  29. 제27항에 있어서,
    패턴 인식 프로세서에 의해 액세스 가능한 레지스터에 상기 제1 흐름 식별자를 기록하는 단계를 포함하는 방법.
  30. 제27항에 있어서,
    패턴 인식 프로세서에 의해 액세스 가능한 레지스터에 상기 제2 흐름 식별자를 기록하는 단계를 포함하는 방법.
  31. 제27항에 있어서,
    패턴 인식 프로세서에 의해 액세스 가능한 레지스터로부터 상기 제1 흐름 식별자를 판독하는 단계를 포함하는 방법.
  32. 제27항에 있어서,
    패턴 인식 프로세서에 의해 액세스 가능한 레지스터로부터 상기 제2 흐름 식별자를 판독하는 단계를 포함하는 방법.
KR1020117015206A 2008-12-01 2009-11-17 상이한 데이터 집합들의 식별을 가능케 하는 시스템들 및 방법들 KR101661000B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/325,982 US10007486B2 (en) 2008-12-01 2008-12-01 Systems and methods to enable identification of different data sets
US12/325,982 2008-12-01
PCT/US2009/064847 WO2010065295A1 (en) 2008-12-01 2009-11-17 Systems and methods to enable identification of different data sets

Publications (2)

Publication Number Publication Date
KR20110098770A KR20110098770A (ko) 2011-09-01
KR101661000B1 true KR101661000B1 (ko) 2016-10-10

Family

ID=41558421

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117015206A KR101661000B1 (ko) 2008-12-01 2009-11-17 상이한 데이터 집합들의 식별을 가능케 하는 시스템들 및 방법들

Country Status (7)

Country Link
US (2) US10007486B2 (ko)
EP (1) EP2370893A1 (ko)
JP (1) JP5714497B2 (ko)
KR (1) KR101661000B1 (ko)
CN (1) CN102232213B (ko)
TW (1) TWI507977B (ko)
WO (1) WO2010065295A1 (ko)

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8209521B2 (en) * 2008-10-18 2012-06-26 Micron Technology, Inc. Methods of indirect register access including automatic modification of a directly accessible address register
US8938590B2 (en) 2008-10-18 2015-01-20 Micron Technology, Inc. Indirect register access method and system
US7917684B2 (en) 2008-11-05 2011-03-29 Micron Technology, Inc. Bus translator
US8402188B2 (en) 2008-11-10 2013-03-19 Micron Technology, Inc. Methods and systems for devices with a self-selecting bus decoder
US20100138575A1 (en) 2008-12-01 2010-06-03 Micron Technology, Inc. Devices, systems, and methods to synchronize simultaneous dma parallel processing of a single data stream by multiple devices
US20100174887A1 (en) 2009-01-07 2010-07-08 Micron Technology Inc. Buses for Pattern-Recognition Processors
US8214672B2 (en) 2009-01-07 2012-07-03 Micron Technology, Inc. Method and systems for power consumption management of a pattern-recognition processor
US8281395B2 (en) 2009-01-07 2012-10-02 Micron Technology, Inc. Pattern-recognition processor with matching-data reporting module
US8489534B2 (en) * 2009-12-15 2013-07-16 Paul D. Dlugosch Adaptive content inspection
US9501705B2 (en) 2009-12-15 2016-11-22 Micron Technology, Inc. Methods and apparatuses for reducing power consumption in a pattern recognition processor
US9323994B2 (en) 2009-12-15 2016-04-26 Micron Technology, Inc. Multi-level hierarchical routing matrices for pattern-recognition processors
US8766666B2 (en) 2010-06-10 2014-07-01 Micron Technology, Inc. Programmable device, hierarchical parallel machines, and methods for providing state information
US8601013B2 (en) 2010-06-10 2013-12-03 Micron Technology, Inc. Analyzing data using a hierarchical structure
US8468119B2 (en) * 2010-07-14 2013-06-18 Business Objects Software Ltd. Matching data from disparate sources
US8799311B2 (en) * 2010-11-05 2014-08-05 Apple Inc. Intelligent data caching
EP2668575B1 (en) 2011-01-25 2021-10-20 Micron Technology, INC. Method and apparatus for compiling regular expressions
KR101607736B1 (ko) 2011-01-25 2016-03-30 마이크론 테크놀로지, 인크. 오토마톤의 진입 차수 및/또는 진출 차수를 제어하기 위한 양화 언롤링
EP2668574B1 (en) 2011-01-25 2021-11-24 Micron Technology, INC. Utilizing special purpose elements to implement a fsm
CN103430148B (zh) 2011-01-25 2016-09-28 美光科技公司 用于元件利用的状态分组
US8782624B2 (en) 2011-12-15 2014-07-15 Micron Technology, Inc. Methods and systems for detection in a state machine
US9443156B2 (en) 2011-12-15 2016-09-13 Micron Technology, Inc. Methods and systems for data analysis in a state machine
US8680888B2 (en) 2011-12-15 2014-03-25 Micron Technologies, Inc. Methods and systems for routing in a state machine
US8593175B2 (en) 2011-12-15 2013-11-26 Micron Technology, Inc. Boolean logic in a state machine lattice
US8648621B2 (en) 2011-12-15 2014-02-11 Micron Technology, Inc. Counter operation in a state machine lattice
CN103171314B (zh) * 2011-12-21 2015-06-17 北大方正集团有限公司 喷墨印刷的控制方法和装置
US20130275709A1 (en) 2012-04-12 2013-10-17 Micron Technology, Inc. Methods for reading data from a storage buffer including delaying activation of a column select
US9524248B2 (en) 2012-07-18 2016-12-20 Micron Technology, Inc. Memory management for a hierarchical memory system
US9389841B2 (en) 2012-07-18 2016-07-12 Micron Technology, Inc. Methods and systems for using state vector data in a state machine engine
US9235798B2 (en) 2012-07-18 2016-01-12 Micron Technology, Inc. Methods and systems for handling data received by a state machine engine
US9304968B2 (en) 2012-07-18 2016-04-05 Micron Technology, Inc. Methods and devices for programming a state machine engine
US9075428B2 (en) 2012-08-31 2015-07-07 Micron Technology, Inc. Results generation for state machine engines
US9501131B2 (en) 2012-08-31 2016-11-22 Micron Technology, Inc. Methods and systems for power management in a pattern recognition processing system
US9703574B2 (en) 2013-03-15 2017-07-11 Micron Technology, Inc. Overflow detection and correction in state machine engines
US9448965B2 (en) 2013-03-15 2016-09-20 Micron Technology, Inc. Receiving data streams in parallel and providing a first portion of data to a first state machine engine and a second portion to a second state machine
WO2014163072A1 (ja) * 2013-04-01 2014-10-09 日本電気株式会社 情報処理装置、情報処理方法およびプログラム
US10258886B2 (en) 2013-09-04 2019-04-16 Nvidia Corporation Dynamic interface control device mapping when game sharing
US11130055B2 (en) 2013-09-04 2021-09-28 Nvidia Corporation System and method for granting remote access to a video game executed on a video game console or network client
EP2945053B1 (en) * 2014-05-16 2021-10-20 EM Microelectronic-Marin SA Operating a FIFO memory
US11366675B2 (en) 2014-12-30 2022-06-21 Micron Technology, Inc. Systems and devices for accessing a state machine
WO2016109571A1 (en) 2014-12-30 2016-07-07 Micron Technology, Inc Devices for time division multiplexing of state machine engine signals
US10430210B2 (en) 2014-12-30 2019-10-01 Micron Technology, Inc. Systems and devices for accessing a state machine
US10709991B2 (en) 2015-04-02 2020-07-14 Nvidia Corporation System and method for cooperative application control
US10846103B2 (en) 2015-10-06 2020-11-24 Micron Technology, Inc. Methods and systems for representing processing resources
US10691964B2 (en) 2015-10-06 2020-06-23 Micron Technology, Inc. Methods and systems for event reporting
US10977309B2 (en) 2015-10-06 2021-04-13 Micron Technology, Inc. Methods and systems for creating networks
EP3408989B1 (en) * 2016-01-27 2021-07-28 Hewlett Packard Enterprise Development LP Detecting malware on spdy connections
US10146555B2 (en) 2016-07-21 2018-12-04 Micron Technology, Inc. Adaptive routing to avoid non-repairable memory and logic defects on automata processor
US10268602B2 (en) 2016-09-29 2019-04-23 Micron Technology, Inc. System and method for individual addressing
US10019311B2 (en) 2016-09-29 2018-07-10 Micron Technology, Inc. Validation of a symbol response memory
US10592450B2 (en) 2016-10-20 2020-03-17 Micron Technology, Inc. Custom compute cores in integrated circuit devices
US10929764B2 (en) 2016-10-20 2021-02-23 Micron Technology, Inc. Boolean satisfiability
US11018982B2 (en) 2016-11-14 2021-05-25 SZ DJI Technology Co., Ltd. Data flow scheduling between processors

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080262991A1 (en) * 2005-07-01 2008-10-23 Harsh Kapoor Systems and methods for processing data flows

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU624205B2 (en) 1989-01-23 1992-06-04 General Electric Capital Corporation Variable length string matcher
DE69328640T2 (de) * 1992-02-07 2000-09-28 Canon Kk Verfahren und Einrichtung zur Mustererkennung
US5300830A (en) 1992-05-15 1994-04-05 Micron Semiconductor, Inc. Programmable logic device macrocell with an exclusive feedback and exclusive external input lines for registered and combinatorial modes using a dedicated product term for control
US5331227A (en) 1992-05-15 1994-07-19 Micron Semiconductor, Inc. Programmable logic device macrocell with an exclusive feedback line and an exclusive external input line
IL117134A (en) * 1996-02-14 2000-01-31 Galileo Technology Ltd First-in first-out (fifo) buffer
US6275861B1 (en) 1996-09-27 2001-08-14 Pmc-Sierra, Inc. Method and apparatus to identify flows in data systems
JP2000307642A (ja) 1999-04-16 2000-11-02 Sony Corp データ解析装置及びデータ解析方法
US6880087B1 (en) 1999-10-08 2005-04-12 Cisco Technology, Inc. Binary state machine system and method for REGEX processing of a data stream in an intrusion detection system
US7013394B1 (en) * 2000-04-18 2006-03-14 International Business Machines Corporation Data flow pattern recognition and manipulation
US6240003B1 (en) 2000-05-01 2001-05-29 Micron Technology, Inc. DRAM content addressable memory using part of the content as an address
CA2459411C (en) * 2001-09-07 2013-04-02 Ip Flex Inc. Data processing system and control method
US7389360B1 (en) * 2001-11-05 2008-06-17 Juniper Networks, Inc. Context switched route lookup key engine
US6650141B2 (en) * 2001-12-14 2003-11-18 Lattice Semiconductor Corporation High speed interface for a programmable interconnect circuit
JP2004072512A (ja) 2002-08-07 2004-03-04 Ricoh Co Ltd 画像形成装置
US7146643B2 (en) 2002-10-29 2006-12-05 Lockheed Martin Corporation Intrusion detection accelerator
US7454499B2 (en) * 2002-11-07 2008-11-18 Tippingpoint Technologies, Inc. Active network defense system and method
US7089352B2 (en) 2002-12-23 2006-08-08 Micron Technology, Inc. CAM modified to be used for statistic calculation in network switches and routers
US6944710B2 (en) 2002-12-30 2005-09-13 Micron Technology, Inc. Multiple category CAM
US6906938B2 (en) 2003-08-15 2005-06-14 Micron Technology, Inc. CAM memory architecture and a method of forming and operating a device according to a CAM memory architecture
US7460487B2 (en) 2004-06-04 2008-12-02 Lucent Technologies Inc. Accelerated per-flow traffic estimation
US8341288B2 (en) 2004-10-22 2012-12-25 Cisco Technology, Inc. Mechanism for sharing resources among different senders and receivers
US7392229B2 (en) 2005-02-12 2008-06-24 Curtis L. Harris General purpose set theoretic processor
KR20070009298A (ko) 2005-07-15 2007-01-18 삼성전자주식회사 모션 감지에 의하여 효과 음향을 재생하고 제어하는 방법및 장치
JP2007053651A (ja) 2005-08-19 2007-03-01 Fuji Xerox Co Ltd 画像読取装置およびその制御方法
FR2891075B1 (fr) 2005-09-21 2008-04-04 St Microelectronics Sa Circuit de memoire pour automate de reconnaissance de caracteres de type aho-corasick et procede de memorisation de donnees dans un tel circuit
US7512634B2 (en) 2006-06-05 2009-03-31 Tarari, Inc. Systems and methods for processing regular expressions
JP2008048080A (ja) 2006-08-11 2008-02-28 Ricoh Co Ltd 画像形成装置
US7644080B2 (en) 2006-09-19 2010-01-05 Netlogic Microsystems, Inc. Method and apparatus for managing multiple data flows in a content search system
KR20090108695A (ko) * 2006-12-26 2009-10-16 쌘디스크 코포레이션 다이렉트 데이터 파일 메모리 시스템에서 lba 인터페이스를 관리하는 방법
US7953221B2 (en) * 2006-12-28 2011-05-31 Intel Corporation Method for processing multiple operations
CN101237415B (zh) 2008-02-22 2010-10-13 浙江大学 一种实现arp协议ip核的方法
US8209521B2 (en) 2008-10-18 2012-06-26 Micron Technology, Inc. Methods of indirect register access including automatic modification of a directly accessible address register
US8938590B2 (en) 2008-10-18 2015-01-20 Micron Technology, Inc. Indirect register access method and system
US7917684B2 (en) 2008-11-05 2011-03-29 Micron Technology, Inc. Bus translator
US9639493B2 (en) 2008-11-05 2017-05-02 Micron Technology, Inc. Pattern-recognition processor with results buffer
US7970964B2 (en) 2008-11-05 2011-06-28 Micron Technology, Inc. Methods and systems to accomplish variable width data input
US8402188B2 (en) 2008-11-10 2013-03-19 Micron Technology, Inc. Methods and systems for devices with a self-selecting bus decoder
US20100138575A1 (en) 2008-12-01 2010-06-03 Micron Technology, Inc. Devices, systems, and methods to synchronize simultaneous dma parallel processing of a single data stream by multiple devices
US9348784B2 (en) 2008-12-01 2016-05-24 Micron Technology, Inc. Systems and methods for managing endian mode of a device
US9164945B2 (en) 2008-12-01 2015-10-20 Micron Technology, Inc. Devices, systems, and methods to synchronize parallel processing of a single data stream
US8140780B2 (en) 2008-12-31 2012-03-20 Micron Technology, Inc. Systems, methods, and devices for configuring a device
US20100174887A1 (en) 2009-01-07 2010-07-08 Micron Technology Inc. Buses for Pattern-Recognition Processors
US8843523B2 (en) 2009-01-12 2014-09-23 Micron Technology, Inc. Devices, systems, and methods for communicating pattern matching results of a parallel pattern search engine

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080262991A1 (en) * 2005-07-01 2008-10-23 Harsh Kapoor Systems and methods for processing data flows

Also Published As

Publication number Publication date
CN102232213A (zh) 2011-11-02
TWI507977B (zh) 2015-11-11
WO2010065295A1 (en) 2010-06-10
CN102232213B (zh) 2015-07-08
US20180307463A1 (en) 2018-10-25
JP5714497B2 (ja) 2015-05-07
KR20110098770A (ko) 2011-09-01
TW201027424A (en) 2010-07-16
EP2370893A1 (en) 2011-10-05
US10007486B2 (en) 2018-06-26
US10466966B2 (en) 2019-11-05
US20100138432A1 (en) 2010-06-03
JP2012510668A (ja) 2012-05-10

Similar Documents

Publication Publication Date Title
KR101661000B1 (ko) 상이한 데이터 집합들의 식별을 가능케 하는 시스템들 및 방법들
US10817569B2 (en) Methods and devices for saving and/or restoring a state of a pattern-recognition processor
KR101474598B1 (ko) 패턴 인식을 위한 장치, 방법 및 시스템
JP5489014B2 (ja) パターン認識プロセッサの電力消費管理のための方法およびシステム
KR101320713B1 (ko) 병렬 패턴 검색 엔진의 패턴 정합 결과를 전달하는 장치, 시스템 및 방법
KR101648235B1 (ko) 정합-데이터 보고 모듈을 갖는 패턴 인식 프로세서
US8713223B2 (en) Methods and systems to accomplish variable width data input
CN106919959B (zh) 用于模式辨识处理器的多级层次路由矩阵

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant