KR101618822B1 - Method for minimizing scan test time and apparatus therefor - Google Patents

Method for minimizing scan test time and apparatus therefor Download PDF

Info

Publication number
KR101618822B1
KR101618822B1 KR1020140159606A KR20140159606A KR101618822B1 KR 101618822 B1 KR101618822 B1 KR 101618822B1 KR 1020140159606 A KR1020140159606 A KR 1020140159606A KR 20140159606 A KR20140159606 A KR 20140159606A KR 101618822 B1 KR101618822 B1 KR 101618822B1
Authority
KR
South Korea
Prior art keywords
scan
pattern
shift frequency
section
shift
Prior art date
Application number
KR1020140159606A
Other languages
Korean (ko)
Inventor
송재훈
Original Assignee
(주)이노티오
송재훈
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by (주)이노티오, 송재훈 filed Critical (주)이노티오
Priority to PCT/KR2014/011978 priority Critical patent/WO2016068385A1/en
Priority to PCT/KR2015/011374 priority patent/WO2016068573A1/en
Priority to TW104135661A priority patent/TW201625973A/en
Application granted granted Critical
Publication of KR101618822B1 publication Critical patent/KR101618822B1/en

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • G01R31/2856Internal circuit aspects, e.g. built-in test features; Test chips; Measuring material aspects, e.g. electro migration [EM]
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2896Testing of IC packages; Test features related to IC packages
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318544Scanning methods, algorithms and patterns
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318544Scanning methods, algorithms and patterns
    • G01R31/318547Data generators or compressors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318583Design for test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318594Timing aspects

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Environmental & Geological Engineering (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Testing Of Individual Semiconductor Devices (AREA)
  • Power Engineering (AREA)

Abstract

Disclosed are a method for minimizing a scan test time by optimizing a shift frequency by scan sections, and an apparatus therefor. The apparatus divides scan patterns into two or more scan sections, and determines a second shift frequency lower than a first shift frequency as the shift frequency of each of the scan selections after the first shift frequency where the output pattern of a scan chain is different from a prediction pattern is recognized by an increase/decrease in a shift frequency, for each of the scan sections.

Description

스캔 테스트 시간 최소화 방법 및 그 장치{Method for minimizing scan test time and apparatus therefor}[0001] The present invention relates to a method for minimizing scan test time,

본 발명은 IC(Integrated Circuit) 칩 스캔 테스트에 관한 것으로서, 보다 상세하게는 쉬프트 주파수를 최적화하여 스캔 테스트의 시간을 최소화하는 방법 및 그 장치에 관한 것이다. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to IC (Integrated Circuit) chip scan test, and more particularly, to a method and apparatus for optimizing a shift frequency to minimize the time of a scan test.

IC 칩을 테스트하는 가장 일반적인 방법은 IC 칩의 입력에 테스트 데이터를 인가하고 IC 칩의 출력에서 관찰한 값을 미리 알고 있는 예측 값과 비교하는 것이다. 그러나 플립플롭(flip-flop)과 같은 저장요소(storage element)를 가진 순차 회로(Sequential Logic)를 포함한 IC 칩을 테스트하고자 하는 경우 외부에서 IC 칩 내의 플립플롭에 원하는 값을 인가하거나 플립플롭의 값을 외부에서 관찰하기가 매우 어렵다. 이를 해결하기 위한 방법으로 스캔 설계(scan design) 방법이 있다.The most common method for testing an IC chip is to apply test data to the input of the IC chip and compare the observed value at the output of the IC chip with a predicted value that is known in advance. However, when an IC chip including a sequential logic having a storage element such as a flip-flop is to be tested, a desired value may be externally applied to the flip-flop in the IC chip or a value of the flip- It is very difficult to observe from outside. To solve this problem, there is a scan design method.

스캔 설계 방법은 회로의 제어도(controllability) 및 관측도(observability)를 높이기 위해 이용되는 테스트를 고려한 설계(DFT, design for testability) 방법 중 하나이다. 스캔 설계 방법을 이용하면, 회로의 구조적(structural) 정보를 기초로 테스트 패턴을 자동으로 생성하는 ATPG(Automatic Test Pattern Generator) 소프트웨어를 이용하여 크기는 작으면서 높은 고장 검출율(fault coverage)를 갖는 테스트 데이터를 얻을 수 있다. The scan design method is one of the design-for-testability (DFT) methods used to increase the controllability and observability of the circuit. Using the scan design method, the ATPG (Automatic Test Pattern Generator) software, which automatically generates test patterns based on the structural information of the circuit, is used to test small size and high fault coverage Data can be obtained.

다시 말해, 스캔 설계는 스캔 테스트 동안 순차 회로(sequential logic)를 조합 회로(combinational logic)화 하여 칩 외부에서 해당 회로를 쉽게 제어하고 관측할 수 있게 하며, ATPG를 통해 테스트 데이터의 크기를 최소화할 수 있다. 스캔 설계 및 ATPG 소프트웨어를 통해 얻은 테스트 데이터는 적어도 하나 이상의 스캔 패턴으로 구성된다. 일반적으로 스캔 패턴들은 스캔 테스트의 수행에서 순서를 가진다. 테스트 패턴 집합은 하나 이상의 스캔 패턴으로 구성된다.In other words, the scan design allows sequential logic to be combinational logic during scan test so that the circuit can be easily controlled and observed outside the chip, and the size of test data can be minimized through ATPG have. The test data obtained through the scan design and the ATPG software are composed of at least one scan pattern. In general, the scan patterns have an order in performing the scan test. The set of test patterns consists of one or more scan patterns.

도 1은 종래 스캔 설계 방법이 적용된 IC 칩의 일 예를 도시한 도면이다.1 is a view illustrating an example of an IC chip to which a conventional scan design method is applied.

도 1을 참조하면, IC 칩(100)은 적어도 하나 이상의 조합회로(110)와 복수 개의 플립플롭(120,130,140)으로 구성되는 순차 회로(Sequential Logic)이다. 도 1의 경우, 플립플롭(120,130,140)은 멀티플렉서(Multiplexer, MUX) 방식의 스캔 플립플롭이나, 이 외 다양한 방식으로 구현될 수 있다. 1, the IC chip 100 is a sequential logic circuit including at least one combination circuit 110 and a plurality of flip-flops 120, 130 and 140. In the case of FIG. 1, the flip-flops 120, 130 and 140 may be implemented by a multiplexer (MUX) type scan flip-flop or various other methods.

IC 칩(100)은 주 입력(PI, Primary Input) 포트(150), 주 출력(PO, Primary Output) 포트(152), 스캔활성화(SE, Scan Enable) 포트(160), 스캔 입력 포트(162), 클락(Clock) 입력 포트(164), 스캔 출력 포트(166) 등을 포함한다. 스캔활성화 포트(160)와 클락입력 포트(164)는 각각 플립플롭(120,130,140)과 연결된다. 각 플립플롭(120,130,140)은 조합회로(110)와 연결되어 각 플립플롭에 저장된 값을 조합회로로 출력하고, 조합회로로부터 출력된 값을 입력받는다. The IC chip 100 includes a primary input port (PI) 150, a primary output port 152, a scan enable (SE) port 160, a scan input port 162 A clock input port 164, a scan output port 166, and the like. The scan enable port 160 and the clock input port 164 are connected to the flip-flops 120, 130 and 140, respectively. Each of the flip-flops 120, 130, and 140 is connected to the combinational circuit 110 to output the values stored in the respective flip-flops to the combinational circuit, and receives the value output from the combinational circuit.

주 입력 포트(150) 및 주 출력 포트(152)는 각각 IC 칩의 정상적인 동작 과정에서 데이터를 입력하고 출력하는 포트이다. The main input port 150 and the main output port 152 are ports for inputting and outputting data during normal operation of the IC chip, respectively.

스캔활성화 포트(160)는 스캔 활성화 신호 또는 스캔 비활성화 신호를 입력하는 포트로써, 스캔 활성화 신호 또는 스캔 비활성화 신호에 따라 IC 칩은 정상적인 동작을 수행하는 노멀(normal), 즉 기능(functional) 모드가 되거나 IC 칩을 테스트하는 스캔 모드가 된다.The scan enable port 160 is a port for inputting a scan enable signal or a scan disable signal. In response to a scan enable signal or a scan disable signal, the IC chip is in a normal mode, that is, a functional mode The scan mode for testing the IC chip becomes the scan mode.

스캔 입력 포트(162)는 IC 칩(100)의 테스트를 위하여 스캔 패턴을 입력하는 포트이고, 스캔 출력 포트(166)는 스캔 패턴에 의한 테스트 결과를 출력하는 포트이다. 스캔 출력 포트를 통해 출력되는 테스트 결과를 출력 패턴이라고 한다. The scan input port 162 is a port for inputting a scan pattern for testing the IC chip 100 and the scan output port 166 is a port for outputting a test result based on a scan pattern. The test result output through the scan output port is called an output pattern.

클락입력 포트(164)는 스캔 입력 포트(162)를 통해 입력되는 스캔 패턴을 플립플롭(120,130,140)에 로드하거나, 조합회로(110)의 출력을 플립플롭(120,130,140)에 캡쳐할 수 있도록 트리거링(triggering)하기 위한 클락 신호를 입력하는 포트이다. 예를 들어, 플립플롭(120,130,140)은 클락입력 포트(164)를 통해 입력되는 클락 신호의 상승 에지(rising edge) 또는 하강 에지(falling edge)에 의해 트리거링되어 입력 값을 저장 또는 캡쳐한다. The clock input port 164 may be triggered to load the scan pattern input through the scan input port 162 to the flip flops 120, 130 and 140 or to capture the output of the combinational circuit 110 to the flip flops 120, 130, ) Is a port for inputting a clock signal. For example, the flip-flops 120, 130, and 140 are triggered by a rising edge or a falling edge of a clock signal input through a clock input port 164 to store or capture an input value.

스캔 입력 포트(162)로부터 복수 개의 플립플롭(120,130,140)을 거쳐 스캔 출력 포트(166)로 연결되는 경로(점선 경로)를 스캔 체인(Scan Chain) 또는 스캔 경로(Scan Path)라고 한다. 도 1에서는 단일 스캔 체인을 도시하였으나, 복수 개의 스캔 체인이 사용될 수 있다.A path (dotted line path) connected from the scan input port 162 to the scan output port 166 through the plurality of flip flops 120, 130 and 140 is referred to as a scan chain or a scan path. Although a single scan chain is shown in FIG. 1, a plurality of scan chains can be used.

기능 모드의 경우, 조합 회로(110)는 주 입력 포트(150)를 통해 데이터를 입력받고 주 출력 포트(152)를 통해 결과를 출력하는 정상적인 동작을 수행한다. 이와 더불어, 기능 모드에서 플립플롭(120,130,140)은 클락 신호에 따라 조합회로(110)의 출력값을 입력받으며, 스캔 테스트 시에는 이러한 동작을 스캔 캡쳐(scan capture)라고 한다. In the functional mode, the combinational circuit 110 performs the normal operation of receiving data through the main input port 150 and outputting the result through the main output port 152. [ In addition, in the functional mode, the flip-flops 120, 130 and 140 receive the output value of the combinational circuit 110 according to the clock signal, and during the scan test, this operation is called scan capture.

스캔 모드에서, 스캔 패턴의 각 비트들은 클락 신호에 따라 스캔 경로 상에 존재하는 플립플롭(120,130,140) 들에 순차적으로 쉬프트-인(Shift-In) 되고, 또한 순차적으로 스캔 출력 포트(166)를 통해 쉬프트-아웃(Shift-Out) 된다. 여기서, 플립플롭(120,130,140)에 스캔 패턴이 쉬프트-인 된 상태를 로드(load)라고 하며, 플립플롭(120,130,140)에 저장된 값이 스캔 출력 포트(166)를 통해 쉬프트-아웃된 상태를 언로드(unload)라고 한다. In the scan mode, each bit of the scan pattern is sequentially shifted in accordance with the clock signal to the flip-flops 120, 130 and 140 existing in the scan path, and sequentially through the scan output port 166 And is shifted out. A state in which the scan pattern is shifted to the flip-flops 120, 130 and 140 is referred to as a load and a state in which the values stored in the flip-flops 120, 130 and 140 are shifted out through the scan output port 166 is unloaded ).

예를 들어, IC 칩 내 스캔 체인 상의 플립플롭(120,130,140)의 수가 3개라면, 각 스캔 패턴의 길이는 스캔 체인 상의 플립플롭의 수와 동일한 3 비트의 길이로 구성되고, 3 비트의 스캔 패턴은 클락 신호에 따라 순차적으로 스캔 체인 상의 플립플롭(120,130,140)에 쉬프트-인 된다. 즉, 클락 신호의 상승 에지에 플립플롭에 값이 저장된다고 할 때, 클락 신호의 한 번의 상승 에지에 첫 번째 플립플롭(140)에 스캔 패턴의 첫 번째 비트가 입력되어 저장되고, 다음 클락 신호에서 첫 번째 플리플롭(140)의 출력 값은 두 번째 플립플롭(130)에 저장되고, 첫 번째 플립플롭(140)에는 스캔 패턴의 두 번째 비트 값이 저장된다. 또 다음 클락 신호에서 두 번째 플립플롭(130)의 출력 값은 세 번째 플립플롭(120)에 저장되고, 첫 번째 플립플롭(140)의 출력 값은 두 번째 플립플롭(130)에 저장되고, 첫 번째 플립플롭(140)에는 스캔 패턴의 세 번째 비트 값이 저장된다. 따라서 세 번의 클락 신호로 하나의 스캔 패턴이 스캔 체인 상의 플립플롭(120,130,140)에 로드된다. 마찬가지로 세 번의 클락 신호로 스캔 체인 상의 플립플롭(120,130,140)의 값이 스캔 출력 포트(166)를 통해 언로드된다.For example, if the number of flip-flops 120, 130, 140 on the scan chain in the IC chip is three, the length of each scan pattern is made up of 3 bits of the same length as the number of flip flops on the scan chain, And are sequentially shifted to the flip-flops 120, 130 and 140 on the scan chain according to the clock signal. That is, when the value is stored in the flip-flop at the rising edge of the clock signal, the first bit of the scan pattern is stored in the first flip-flop 140 on one rising edge of the clock signal, The output value of the first flip flop 140 is stored in the second flip flop 130 and the second bit value of the scan pattern is stored in the first flip flop 140. In the next clock signal, the output value of the second flip-flop 130 is stored in the third flip-flop 120, the output value of the first flip-flop 140 is stored in the second flip-flop 130, Th flip-flop 140 stores the third bit value of the scan pattern. Thus, one scan pattern is loaded into the flip-flops 120, 130, and 140 on the scan chain with three clock signals. Similarly, the values of the flip-flops 120, 130 and 140 on the scan chain are unloaded through the scan output port 166 with three clock signals.

일반적인 스캔 테스트 과정을 보다 구체적으로 살펴보면 다음과 같다.The general scan test process will be described in more detail as follows.

(1) IC 칩(100)의 주 입력 포트(150)에 주 입력 테스트 데이터를 인가한다. (1) Main input test data is applied to the main input port 150 of the IC chip 100.

(2) 스캔 활성화 포트(160)에 스캔 활성화 신호를 인가하여 칩(100)을 스캔 모드로 만든다.(2) A scan enable signal is applied to the scan enable port 160 to put the chip 100 into a scan mode.

(3) 스캔 입력 포트(162)에 스캔 패턴을 쉬프트-인하여 스캔 체인 상의 플립플롭(120,130,140)에 스캔 패턴을 로드한다. 스캔 체인에 로드된 스캔 패턴은 조합회로(110)에 인가된다. 상기 스캔 패턴이 조합회로에 인가된 후 주 출력 포트(152)를 통해 출력되는 결과를 예측된 주 출력 값과 비교하며, 비교결과가 다르면 IC 칩은 불량품이다.(3) Load the scan pattern into the flip-flops 120, 130 and 140 on the scan chain by shifting the scan pattern to the scan input port 162. The scan pattern loaded in the scan chain is applied to the combinational circuit 110. After the scan pattern is applied to the combinational circuit, the result output through the main output port 152 is compared with the predicted main output value, and if the comparison result is different, the IC chip is a defective product.

(4) 스캔 활성화 포트(160)에 스캔 비활성화 신호를 인가하여 칩(100)을 스캔 모드에서 기능 모드로 전환한다. 기능 모드에서, 클락 신호가 인가되면 플립플롭(120,130,140)은 조합회로(110)의 출력 값을 캡쳐하며, 이러한 동작을 스캔 캡쳐라고 한다.(4) The scan enable signal is applied to the scan enable port 160 to switch the chip 100 from the scan mode to the functional mode. In the functional mode, when the clock signal is applied, the flip-flops 120, 130 and 140 capture the output value of the combinational circuit 110, and this operation is called scan capture.

(5) 스캔 활성화 포트(160)에 스캔 활성화 신호를 인가하여 칩을 다시 기능 모드에서 스캔 모드로 전환한다. (5) The scan enable signal is applied to the scan enable port 160 to switch the chip back from the functional mode to the scan mode.

(6) 그리고, 스캔 체인 상의 플립플롭(120,130,140)에 캡쳐된 값을 스캔 출력 포트(166)를 통해 쉬프트-아웃 하여 언로드한다. (6) Then, the values captured in the flip-flops 120, 130 and 140 on the scan chain are shifted out through the scan output port 166 and unloaded.

(7) 언로드된 출력 패턴과 미리 알고 있는 예측 패턴을 비교하여 IC 칩의 정상 동작 여부를 파악한다. 여기서, 예측 패턴은 IC 칩이 정상적인 경우 주 입력 테스트 데이터와 스캔 패턴을 인가하고 스캔 캡쳐 동작을 한 후 스캔 출력 포트(166)를 통해 출력되는 스캔 패턴으로서 테스트 전에 미리 알고 있는 값이다. 즉, 상기 단계 (3)에서의 비교 결과와 단계 (7)에서의 비교 결과가 모두 동일하면 IC 칩은 양품이며 그렇지 않으면 IC 칩은 불량품이다. (7) The unloaded output pattern is compared with a predicted pattern that is known beforehand to determine whether the IC chip is operating normally. Here, the predictive pattern is a scan pattern output through the scan output port 166 after the main input test data and the scan pattern are applied and the scan capture operation is performed when the IC chip is normal. That is, if the comparison result in the step (3) and the comparison result in the step (7) are both the same, the IC chip is a good product, otherwise, the IC chip is a defective product.

스캔 테스트의 종류는 크게 고착 고장(stuck-at-fault) 테스트와 지연 고장 테스트(delay fault) 테스트로 나뉜다. 여기서, 고착 고장은 IC 칩 상의 어느 신호선이 논리 0(logic 0) 또는 논리 1(logic 1) 값으로 의도하지 않게 고착된 문제를 의미하고, 지연고장은 IC 칩 상에서 어느 신호선(line) 또는 경로(path)를 통해 신호값을 전달할 때 지연 시간으로 인해 IC 칩의 스펙을 만족하지 못하는 고장을 의미한다. The types of scan tests are divided into stuck-at-fault test and delay fault test. Here, the stuck-at fault means that a signal line on the IC chip is inadvertently stuck to a logic 0 (logic 0) or a logic 1 (logic 1) value, and a delay fault refers to a signal line or path path) of the IC chip due to the delay time when the signal value is transmitted through the IC chip.

지연 고장 테스트의 종류로는 또한 천이 지연(transition delay) 테스트와 경로 지연(path delay) 테스트가 있다. 천이 지연 테스트는 IC 칩 상의 어느 특정 노드(node) 또는 신호선에 0-to-1 또는 1-to-0 신호값 천이 지연 시간 문제가 있는지 테스트하는 것이다. 경로 지연 테스트는 IC 칩 상의 어느 특정 경로에 0-to-1 또는 1-to-0 신호값 천이 지연 시간 문제가 있는지 테스트하는 것이다.Types of delayed fault tests also include transition delay tests and path delay tests. The transition delay test is to test whether a specific node or signal line on the IC chip has a 0-to-1 or 1-to-0 signal value transition delay time problem. The path delay test is to test whether a particular path on the IC chip has a 0-to-1 or 1-to-0 signal value transition delay time problem.

지연 고장 테스트를 위한 대표적 방법으로 론치-온-캡쳐(Launch-On-Capture) 방법과 론치-온-쉬프트(Launch-On-Shift) 방법이 있으며, 이들 방법 또한 지연 고장 테스트를 위한 스캔 패턴을 스캔 체인 상에 쉬프트-인 하는 로드 동작과 스캔 체인 상의 플립플롭에 갭쳐된 지연고장 테스트 결과를 쉬프트-아웃 하는 언로드 동작으로 구성된다.On-Capture and Launch-On-Shift methods are representative methods for delay fault test, and these methods also scan scan patterns for delay fault test A load operation that shifts in on the chain, and an unload operation that shifts out the delay fault test results captured by the flip flops on the scan chain.

이와 같은 종래 스캔 테스트의 경우, 스캔 체인 상의 플립플롭의 개수만큼 쉬프트 하기 위한 클락 펄스의 개수가 필요하므로, 쉬프트-인과 쉬프트-아웃 동작에 따른 많은 시간이 소요되는 문제점이 있다. 그러나 테스트 시간을 줄이기 위하여 클락 신호의 주파수, 즉 쉬프트 주파수를 단순히 높일 수는 없다.In the conventional scan test, since the number of clock pulses required to shift the number of flip-flops on the scan chain is required, it takes a long time to perform the shift-in and shift-out operations. However, the frequency of the clock signal, that is, the shift frequency, can not simply be increased to reduce the test time.

예를 들어, 스캔 쉬프트 주파수를 단순히 높이게 되면 전력 소모 또는 크리티컬 경로(cirtical path) 지연 시간 문제 등에 의해 양품을 불량품으로 판정하는 오버 킬(over kill) 문제점이 발생할 수 있다.For example, when the scan shift frequency is simply increased, there is a problem of over kill in which a good product is determined as a defective product due to a power consumption or a problem of a cirtical path delay time.

또한, 딥 서브 마이크론(DSM, Deep Sub-Micron) 미세공정 및 저전력 공정뿐만 아니라 저전력 설계에 의해 IC 칩은 더욱 저전력화되어 가면서 IC 칩 동작 주파수에 대한 파워 서플라이 노이즈의 영향은 더욱 높아졌다. 특히 IC 칩은 기능 모드일 때보다 스캔 모드에서 보다 많은 스위칭 동작이 발생하므로, 스위칭 동작에 따른 파워 서플라이 노이즈로 인해 발생하는 신호선의 추가적인 지연이 지연 테스트 오버킬을 발생시킬 수 있어 단순히 쉬프트 주파수를 높이는데 한계가 있다. In addition, as the IC chip is further reduced in power due to the low-power design as well as the deep sub-micron (DSM) microprocessing and low-power process, the influence of the power supply noise on the IC chip operating frequency is further increased. In particular, since the IC chip generates more switching operation than the scan mode in the functional mode, additional delay of the signal line due to the power supply noise due to the switching operation can cause delay test overkill, There is a limit to it.

또한, IC 칩 상의 신호선 간 간섭(signal crosstalk)에 의한 신호 무결성(signal integrity) 문제는 DSM 미세 공정으로 가면서 더욱 중요해졌다. 스캔 모드에서 보다 더 많이 발생하는 스위칭 동작으로 인해 신호선 간 갑섭이 더욱 심해진다. 따라서 지연 테스트 시에 신호선 간 간섭으로 인해 신호선에 발생하는 추가적인 지연이 지연 테스트 오버킬을 발생시킬 수 있다. In addition, the problem of signal integrity due to signal crosstalk on the IC chip has become more important as it goes to DSM microprocessing. Switching operation, which occurs more frequently than in scan mode, increases the inter-signal interference. Therefore, additional delay in the signal line due to inter-signal line interference in the delay test may cause delay test overkill.

또한, 스캔 패턴의 파워 소모 값을 기초로 쉬프트 주파수를 찾는 경우, 파워 소모 값이 IC 칩의 스펙을 넘기지 않더라도 스캔 테스트 특성상 IC 칩에 과도한 회로 스위칭 동작과 공정 변이(process variation)의 영향으로 인해 IR-drop 또는 Ground-bounce로 인한 스캔 테스트 오류 문제가 발생할 수 있다. 예를 들어, 스캔 패턴을 사용한 지연 테스트 시에 IR-drop, 즉 전압 강하(voltage drop)의 영향으로 인해 특정 신호선에 추가적인 지연이 생길 수 있으며 이는 지연 테스트 오버킬을 야기할 수 있다. 또한 반대로 스캔 패턴의 전력소모가 IC 칩의 스펙을 넘는 경우라도 칩의 공정 및 설계 특성에 의해 IR-drop 또는 Ground-bounce 문제가 발생하지 않을 수 있다. 따라서 단순히 파워 소모 값만을 가지고는 IC 칩에 대한 최적의 쉬프트 주파수를 찾는 데 한계가 있다. 또한, 스캔 패턴의 파워 소모 값만으로 최대 쉬프트 주파수를 찾는 경우에, 파워 소모 값이 IC 칩의 스펙을 넘지 않더라도 증가된 쉬트프 주파수에 의해 스캔 체인 상에 크리티컬 패스(critical path) 타이밍 문제가 발생할 수 있다. In the case of finding the shift frequency based on the power consumption value of the scan pattern, even if the power consumption value does not exceed the specification of the IC chip, due to the influence of excessive circuit switching operation and process variation on the IC chip, -drop or Ground-bounce may cause scan test failure problems. For example, in a delay test using a scan pattern, an additional delay may occur in a particular signal line due to the influence of IR-drop, i.e., voltage drop, which may cause a delay test overrun. Conversely, even if the power consumption of the scan pattern exceeds the specification of the IC chip, IR-drop or ground-bounce problem may not occur due to the process and design characteristics of the chip. Therefore, there is a limit to finding the optimal shift frequency for the IC chip by simply using the power consumption value. In addition, when finding the maximum shift frequency only by the power consumption value of the scan pattern, even if the power consumption value does not exceed the specification of the IC chip, a critical path timing problem may occur on the scan chain due to the increased Schmitt frequency. have.

또한, 쉬프트 주파수를 증가하면 스캔 체인 상에 크리티컬 패스 타이밍 문제가 생길 수 있지만 스캔 패턴에 의한 논리적인 문제는 생기지 않는 경우가 있다. 다시 말해 스캔 체인의 크리티컬 패스 상의 비트 값의 상태에 따라 거짓(false) 크리티컬 패스의 경우가 특정 스캔 쉬프트 사이클에서 생길 수 있다.Also, increasing the shift frequency may cause a critical path timing problem on the scan chain, but logical problems due to the scan pattern may not occur. In other words, depending on the state of the bit value on the critical path of the scan chain, a false critical path case may occur in a particular scan shift cycle.

또한, 다중 전압 섬(Voltage Island) 또는 전압 지역(Voltage Domain or Region) 기법을 사용하는 저전력 IC 칩의 경우 높은 속도의 성능에 필요한 설계 지역에는 높은 전압을 공급하고 그렇지 않은 지역에는 상대적으로 낮은 전압을 공급하므로, 각 전압 지역별로 허용되는 전력소모가 다르다.In addition, a low-power IC chip using a voltage island or voltage domain technique provides a high voltage in a design area for high speed performance and a relatively low voltage in a non- As a result, the allowable power consumption differs for each voltage region.

특허공개공보 제2012-0102876호Patent Publication No. 2012-0102876

본 발명이 이루고자 하는 기술적 과제는, 스캔 패턴을 스캔 체인에 쉬프트-인 또는 쉬프트-아웃 하기 위하여 사용하는 쉬프트 주파수를 스캔 섹션별로 최적화화여 스캔 테스트 시간을 최소화할 수 있는 방법 및 그 장치를 제공하는 데 있다. SUMMARY OF THE INVENTION The present invention provides a method and apparatus for minimizing a scan test time by optimizing a shift frequency used for shift-in or shift-out of a scan pattern into a scan chain for each scan section have.

상기의 기술적 과제를 달성하기 위한, 본 발명에 따른 스캔 테스트 시간 최소화 방법의 일 예는, 적어도 둘 이상의 스캔 섹션의 각각에 대하여, 쉬프트 주파수의 증감을 통해 스캔 체인의 출력 패턴이 예측 패턴과 상이해지는 제1 쉬프트 주파수를 파악하는 단계; 및 기 제1 쉬프트 주파수보다 작은 제2 쉬프트 주파수를 각 스캔 섹션의 쉬프트 주파수로 결정하는 단계;를 포함한다.According to another aspect of the present invention, there is provided a method of minimizing a scan test time, the method comprising the steps of: increasing / decreasing a shift frequency for each of at least two scan sections, Determining a first shift frequency; And determining a shift frequency of each scan section as a second shift frequency smaller than the first shift frequency.

상기의 기술적 과제를 달성하기 위한, 본 발명에 따른 스캔 테스트 시간 최소화 방법의 다른 일 예는, 적어도 둘 이상의 스캔 섹션 각각에 대하여 서로 다른 쉬프트 주파수를 결정하는 단계;를 포함하고, 각 스캔 섹션에 대해 결정된 쉬프트 주파수는 스캔 체인의 출력 패턴이 예측 패턴과 상이해지는 쉬프트 주파수보다 작은 값인 것을 특징으로 한다.According to another aspect of the present invention, there is provided a method of minimizing scan test time, the method comprising: determining different shift frequencies for at least two scan sections; And the determined shift frequency is a value smaller than a shift frequency at which the output pattern of the scan chain is different from the predicted pattern.

상기의 기술적 과제를 달성하기 위한, 본 발명에 따른 스캔 테스트 시간 최소화 장치의 일 예는, 스캔 쉬프트 주파수를 증감하는 주파수 증감부; 하나 이상의 스캔 섹션을 포함하는 스캔 패턴을 스캔 체인에 입력하는 패턴 입력부; 상기 스캔 체인의 출력 패턴이 예측 패턴과 동일한지 파악하는 패턴 비교부; 및 상기 출력 패턴과 상기 예측 패턴이 상이한 시점의 쉬프트 주파수보다 작은 쉬프트 주파수를 상기 스캔 섹션의 가능한 쉬프트 주파수로 파악하는 주파수 파악부;를 포함하며, 적어도 둘 이상의 스캔 섹션에 대해 각각 파악된 쉬프트 주파수들의 일부 또는 전부는 서로 상이한 것을 특징으로 한다.According to an aspect of the present invention, there is provided an apparatus for minimizing a scan test time comprising: a frequency adjuster for increasing or decreasing a scan shift frequency; A pattern input unit for inputting a scan pattern including at least one scan section into a scan chain; A pattern comparison unit for determining whether an output pattern of the scan chain is the same as a predicted pattern; And a frequency detector for detecting a shift frequency smaller than a shift frequency at a time when the output pattern and the predicted pattern are different from each other as a possible shift frequency of the scan section, Some or all of which are different from each other.

본 발명에 따르면, 스캔 패턴, 스캔 섹션 또는 섹션 그룹별 최적의 쉬프트 주파수를 제공한다. 또한 전력 소모 또는 크리티컬 경로(cirtical path) 지연 시간만을 고려하여 쉬프트 주파수를 높이는 경우에 오버 쉬프트 주파수로 인해 양품을 불량품으로 판정하는 오버 킬(over kill) 문제점을 해결하면서 스캔 테스트 시간을 최소화할 수 있는 최적의 쉬프트 주파수를 찾을 수 있다. According to the present invention, an optimal shift frequency is provided for each scan pattern, scan section, or section group. In addition, when the shift frequency is increased by considering only the power consumption or the cirtical path delay time, it is possible to minimize the scan test time while solving the over kill problem of determining the good product as defective due to the overshift frequency An optimal shift frequency can be found.

또한 파워 서플라이 노이즈의 영향, 신호선 간 간섭 영향을 고려하여 최적의 쉬프트 주파수를 찾을 수 있다. 또한 스캔 테스트에 의한 과도한 회로 스위칭 동작, 프로세스 변이(process variation), 미세 공정, 저전력 공정 또는 저전력 설계의 영향으로 야기될 수 있는 IR-drop 또는 Ground-bounce의 영향을 반영하여 최적의 쉬프트 주파수를 찾을 수 있다. In addition, the optimum shift frequency can be found by taking into consideration the influence of power supply noise and the influence of interference between signal lines. It also finds the optimal shift frequency to reflect the effects of IR-drop or ground-bounce, which can be caused by excessive circuit switching behavior, process variation, fine processing, low power processes, or low power design caused by scan tests. .

또한 쉬프트 주파수의 증가시에 발생할 수 있는 스캔 체인상의 크리티컬 패스 타이밍 영향을 고려하여 최적의 쉬프트 주파수를 찾을 수 있다. Also, the optimum shift frequency can be found by considering the influence of the critical path timing on the scan chain, which may occur when the shift frequency is increased.

또한 스캔 체인 상의 비트 값에 따라 스캔 체인의 크리티컬 패스가 거짓(false) 크리티컬 패스 상태가 될 경우에는 크리티컬 타이밍 제약을 무시하고 IC 칩이 정상적으로 동작할 수 있는 범위 내에서 스캔 쉬프트 주파수를 최대한 높여 테스트 시간을 최소화할 수 있다. Also, when the critical path of the scan chain becomes a false critical path state according to the bit value on the scan chain, the critical timing constraint is ignored and the scan shift frequency is maximized within the range in which the IC chip can operate normally, Can be minimized.

또한 다중 전압 섬(voltage island) 또는 전압 지역(voltage doamin or region) 기법을 사용하는 저전력 IC 칩의 경우 각 전압 섬 또는 전압 지역 별로 허용되는 전력소모를 반영하여 최적의 쉬프트 주파수를 찾을 수 있다.In the case of a low power IC chip using a voltage island or a voltage doamin or region technique, an optimal shift frequency can be found by reflecting the power consumption allowed for each voltage island or voltage region.

또한 스캔 패턴 또는 스캔 섹션의 최적 쉬프트 주파수를 찾는데 있어서 IC 칩의 회로 설계 정보가 필요 없으므로, 칩의 회로 설계 정보가 손실 또는 유실되어도 칩과 스캔 패턴 집합만 있으면 스캔 패턴별 또는 스캔 섹션별 최적의 쉬프트 주파수를 찾을 수 있다. 또한 번인(burn-in) 테스트 시간을 줄일 수 있다. In addition, since the circuit design information of the IC chip is not required in finding the optimal shift frequency of the scan pattern or the scan section, even if the circuit design information of the chip is lost or lost, You can find the frequency. You can also reduce the burn-in test time.

도 1은 종래 스캔 설계 방법이 적용된 IC 칩의 일 예를 도시한 도면,
도 2 및 도 3은 본 발명이 적용되는 스캔 테스트 장치의 일 실시 예의 구성을 각각 도시한 도면,
도 4는 본 발명에 따른 스캔 테스트 시간 최소화 방법에 적용되어 스캔 테스트 시간을 줄일 수 있는 스캔 패턴의 일 예를 도시한 도면,
도 5는 본 발명에 따른 스캔 섹션의 일 예를 도시한 도면,
도 6은 본 발명에 따른 스캔 테스트 시간 최소화를 위하여 각 스캔 섹션별로 쉬프트 주파수를 할당한 일 예를 도시한 도면,
도 7은 본 발명에 따른 스캔 테스트 시간 최소화를 위한 쉬프트 주파수를 찾는 방법의 일 예를 도시한 도면,
도 8은 본 발명에 따른 스캔 테스트 시간 최소화 방법의 일 예를 도시한 흐름도,
도 9는 본 발명에 따른 스캔 테스트 시간 최소화 방법의 다른 일 예를 도시한 흐름도,
도 10은 본 발명에 따른 스캔 테스트 시간 최소화 방법의 보다 구체적인 과정을 도시한 호름도,
도 11은 본 발명에 따른 스캔 테스트 시간 최소화 방법에서 정상적인 쉬프트-인을 파악하는 구체적인 과정을 도시한 흐름도,
도 12는 본 발명에 따른 스캔 테스트 시간 최소화 방법의 다른 일 예를 도시한 흐름도,
도 13은 본 발명에 따른 스캔 테스트 시간 최소화 장치의 일 실시예의 구성을 도시한 도면, 그리고,
도 14는 본 발명에 따른 스캔 테스트 시간 최소화를 위한 스캔 패턴의 재배치 방법의 일 예를 도시한 도면이다.
1 is a view showing an example of an IC chip to which a conventional scan designing method is applied,
FIG. 2 and FIG. 3 are views each showing a configuration of an embodiment of a scan test apparatus to which the present invention is applied,
4 is a diagram illustrating an example of a scan pattern that can be applied to a scan test time minimization method according to the present invention to reduce a scan test time.
5 is a view illustrating an example of a scan section according to the present invention.
6 is a diagram illustrating an example of assigning a shift frequency to each scan section in order to minimize a scan test time according to the present invention.
7 is a diagram illustrating an example of a method for finding a shift frequency for minimizing a scan test time according to the present invention.
8 is a flowchart illustrating an example of a scan test time minimization method according to the present invention.
FIG. 9 is a flowchart illustrating another example of a scan test time minimization method according to the present invention;
FIG. 10 is a diagram showing a more detailed process of the scan test time minimization method according to the present invention.
FIG. 11 is a flowchart illustrating a specific procedure for determining a normal shift-in in the scan test time minimization method according to the present invention.
FIG. 12 is a flowchart illustrating another example of a scan test time minimization method according to the present invention;
13 is a diagram illustrating a configuration of an apparatus for minimizing a scan test time according to an embodiment of the present invention,
FIG. 14 is a diagram illustrating an example of a method of relocating a scan pattern for minimizing a scan test time according to the present invention.

이하에서, 첨부된 도면들을 참조하여 본 발명에 따른 스캔 테스트 시간 최소화 방법 및 그 장치에 대해 상세히 설명한다.Hereinafter, a method and apparatus for minimizing scan test time according to the present invention will be described in detail with reference to the accompanying drawings.

도 2 및 도 3은 본 발명이 적용되는 일반적으로 ATE(Automatic Test Equipment)라고 불리는 IC 칩 테스트 장치, 즉 스캔 테스트 장치의 일 실시 예의 구성을 각각 도시한 도면이다.FIGS. 2 and 3 are views each showing the configuration of an IC chip test apparatus, that is, a scan test apparatus, which is generally called ATE (Automatic Test Equipment) to which the present invention is applied.

도 2 및 도 3을 참조하면, 상기 스캔 테스트 장치는 호스트 컴퓨터(200,300), 테스터 본체(210,310), 테스트 헤드(220,320), 인터페이스 보드(230,330)를 포함한다. 테스트를 위해 인터페이스 보드에 위치하는 테스트 대상 디바이스(DUT, Device Under Test)(240,340)는 웨이퍼 상의 IC 또는 패키징 된 IC 칩 등이다. DUT가 웨이퍼 상의 IC 칩인 경우 프로버(350)를 더 포함할 수 있다. 이하 웨이퍼 상의 IC 칩 또는 패키징된 IC 칩을 통칭하여 IC 칩이라고 한다.2 and 3, the scan test apparatus includes a host computer 200 and 300, a tester main body 210 and 310, test heads 220 and 320, and interface boards 230 and 330. A device under test (DUT) (240, 340) located on an interface board for testing is an IC on a wafer or a packaged IC chip. If the DUT is an IC chip on the wafer, it may further include a prober 350. Hereinafter, an IC chip on a wafer or a packaged IC chip is collectively referred to as an IC chip.

테스터 본체(210,310)는 스캔 테스트를 전체적으로 제어한다. 예를 들어, 테스터 본체는 DUT 테스트를 위한 셋업, DUT 테스트를 위한 전기적 신호의 발생, DUT 테스트 결과 신호의 관측 및 측정 등의 전반적인 과정을 제어한다. 테스트 본체(210,310)는 중앙처리장치(CPU), 메모리, 하드 디스크, 사용자 인터페이스 등을 포함하는 컴퓨터로 구현될 수 있으며, 실시 예에 따라 DUT(240,340)에 전원을 공급하는 디바이스 파워 공급장치(Device Power Supply)를 더 포함할 수도 있다. 또한, 테스터 본체(210,310)는 각종 디지털 신호를 처리하는 신호처리 프로세서(DSP, Digital Signal Processor)(미도시)와 테스트 헤드(220,320)를 제어하고, DUT(240,340)로 신호를 인가하는 제어기 및 신호 생성기 등의 전용 하드웨어, 소프트웨어 또는 펌웨어 등을 포함할 수 있다. 테스트 본체(210,310)는 메인 프레임 또는 서버라고 불리기도 한다.The tester bodies 210 and 310 control the scan test as a whole. For example, the tester body controls overall processes such as setup for DUT testing, generation of electrical signals for DUT testing, observation and measurement of DUT test result signals, and the like. The test bodies 210 and 310 may be implemented as a computer including a central processing unit (CPU), a memory, a hard disk, a user interface, and the like, and may include a device power supply device Power Supply). The tester main bodies 210 and 310 include a controller for controlling a signal processing processor (DSP) (not shown) for processing various digital signals and the test heads 220 and 320, a controller for applying a signal to the DUTs 240 and 340, Dedicated hardware, such as a generator, software or firmware, and the like. The test bodies 210 and 310 are also referred to as mainframes or servers.

호스트 컴퓨터(200,300)는 워크스테이션 등과 같은 컴퓨터일 수 있으며, 사용자가 테스트 프로그램을 실행시키고 테스트 과정을 제어하며 테스트 결과를 분석할 수 있도록 하는 장치이다. 일반적으로 호스트 컴퓨터(200,300)는 중앙 처리장치, 메모리 또는 하드 디스크와 같은 저장장치, 사용자 인터페이스 등과 같은 구성을 포함할 수 있으며, 테스터 본체(210,310)와 유선 또는 무선 통신으로 연결될 수 있다. 호스트 컴퓨터(200,300)는 테스트를 제어하기 위한 전용 하드웨어, 소프트웨어, 펌웨어 등을 포함할 수 있다. 본 실시 예는 호스트 컴퓨터와 테스트 본체를 구분하여 도시하였으나, 호스트 컴퓨터(200,300)와 테스트 본체(210,310)는 하나의 장치로 구현될 수 있다. The host computer 200, 300 may be a computer, such as a workstation, and is a device that allows a user to run a test program, control the test process, and analyze test results. In general, the host computers 200 and 300 may include a central processing unit, a storage unit such as a memory or a hard disk, a user interface, and the like, and may be connected to the tester bodies 210 and 310 through wired or wireless communication. The host computer 200, 300 may include dedicated hardware, software, firmware, etc. for controlling the test. Although the host computer 200 and the test main body 200 are separately shown in the present embodiment, the host computers 200 and 300 and the test main bodies 210 and 310 may be implemented as a single device.

테스터 본체(210,310) 또는 호스트 컴퓨터(200,300)의 메모리의 일 예로 DRAM, SRAM, 플래쉬 메모리 등이 사용될 수 있으며, 메모리에는 DUT 테스트를 수행하기 위한 프로그램과 데이터가 저장될 수 있다.An example of the memory of the tester main body 210 or 310 or the host computer 200 or 300 may be a DRAM, an SRAM, a flash memory, or the like, and the memory may store programs and data for performing the DUT test.

테스터 본체(210,310) 또는 호스트 컴퓨터(200,300)의 소프트웨어 또는 펌웨어는 스캔 테스트를 위한 디바이스 드라이버 프로그램, 운영체제(OS, Operating System) 프로그램, DUT 테스트를 수행하는 프로그램으로써, DUT 테스트를 위한 셋업, DUT 테스트를 위한 신호의 발생, DUT 테스트 결과 신호의 관측 분석 등의 수행을 위한 명령 코드(instruction code) 형태로 메모리에 저장되어 중앙 처리장치에 의해 수행될 수 있다. 따라서 스캔 테스트 패턴은 이러한 프로그램에 의해 DUT로 인가될 수 있다. 또한 DUT 테스트 및 테스트 결과에 대한 리포팅 및 분석 데이터를 프로그램을 통해 자동 수행하여 얻을 수 있다. 프로그램에 사용되는 언어는 C, C++, 자바(java) 등 다양한 언어가 사용될 수 있다. 프로그램은 하드디스크, 마그네틱 테이프 또는 플래시 메모리 등과 같은 저장장치에 저장될 수 있다.Software or firmware of the tester main body 210 or 310 or the host computer 200 or 300 is a device driver program, an operating system (OS) program for a scan test, a program for performing a DUT test, a setup for a DUT test, a DUT test And the like, and may be stored in a memory in the form of an instruction code for performing observation analysis of the DUT test result signal, and may be performed by the central processing unit. Thus, the scan test pattern can be applied to the DUT by such a program. It is also possible to obtain the DUT test and the reporting and analysis data of the test result automatically through the program. The language used in the program can be a variety of languages such as C, C ++, and Java. The program may be stored in a storage device such as a hard disk, a magnetic tape or a flash memory.

테스터 본체(210,310) 또는 호스트 컴퓨터(200,300)의 중앙 처리장치는 프로세서로서, 메모리에 저장된 소프트웨어 또는 프로그램의 코드를 실행한다. 예를 들어, 키보드나 마우스 등과 같은 사용자 인터페이스를 통해 사용자 명령을 받으면, 중앙 처리장치는 사용자의 명령을 분석하고 이를 소프트웨어 또는 프로그램을 통해 수행한 후 그 결과를 스피커, 프린터, 모니터 등의 사용자 인터페이스를 통해 사용자에게 제공한다.The central processing unit of the tester main body 210, 310 or the host computer 200, 300 is a processor which executes the code of the software or program stored in the memory. For example, when receiving a user command through a user interface such as a keyboard or a mouse, the central processing unit analyzes the user's command and executes the command through a software or a program, and outputs the result to a user interface such as a speaker, To the user.

테스터 본체(210,310) 또는 호스트 컴퓨터(200,300)의 사용자 인터페이스는 사용자와 장치 간에 정보를 주고받고 명령을 전달할 수 있도록 해준다. 예를 들어, 키보드, 터치 스크린, 마우스 등과 같은 사용자 입력을 위한 인터페이스 장치와, 스피커, 프린터, 모니터 등과 같은 출력 인터페이스 장치 등이 있다.The user interface of the tester main body 210, 310 or the host computer 200, 300 allows information to be communicated between the user and the device and to communicate commands. For example, there are an interface device for user input such as a keyboard, a touch screen, a mouse and the like, and an output interface device such as a speaker, a printer, and a monitor.

테스트 헤드(220,320)는 테스터 본체(210,310)와 DUT(240,340) 사이에 전기적 신호 전송을 위한 채널 등을 포함한다. 테스트 헤드(220,320) 상부에는 인터페이스 보드(230,330)가 구비된다. 패키징된 IC 칩 테스트에 사용되는 인터페이스 보드를 일반적으로 로드 보드(load board)라고 하며, 웨이퍼 상의 IC 칩 테스트에 사용되는 인터페이스 보드를 프로브 카드(probe card)라고 한다. The test heads 220 and 320 include channels for electrical signal transmission between the tester main bodies 210 and 310 and the DUTs 240 and 340. Interface boards 230 and 330 are provided on the test heads 220 and 320, respectively. The interface board used to test packaged IC chips is generally called a load board, and the interface board used for testing IC chips on a wafer is called a probe card.

도 2 및 도 3의 테스트 장치는 본 발명의 이해를 돕기 위한 하나의 예에 지나지 아니하며 각각의 구성을 통합하여 일체형으로 구현하거나, 하나의 구성을 다수의 구성으로 분리하여 구현할 수 있는 등 실시 예에 따라 다양하게 설계 변경가능하다.The test apparatuses shown in FIGS. 2 and 3 are merely examples for facilitating understanding of the present invention, and each of the configurations may be integrated into one unit, or one unit may be divided into a plurality of units. Various design changes are possible.

도 4는 본 발명에 따른 스캔 테스트 시간 최소화 방법에 적용되어 스캔 테스트 시간을 줄일 수 있는 스캔 패턴의 일 예를 도시한 도면이다.FIG. 4 is a diagram illustrating an example of a scan pattern that can be applied to a scan test time minimization method according to the present invention to reduce a scan test time.

도 4를 참조하면, 스캔 모드에서 쉬프트-인 동작과 쉬프트-아웃 동작을 각각 수행하는 경우에 소요되는 시간을 줄이기 위하여 쉬프트-인과 쉬프트-아웃 동작이 동시에 수행된다. 즉 로드와 언로드 동작이 동시에 수행된다.Referring to FIG. 4, shift-in and shift-out operations are simultaneously performed in order to reduce the time required for performing the shift-in operation and the shift-out operation in the scan mode, respectively. That is, the load and unload operations are performed simultaneously.

예를 들어, k번째 입력 스캔 패턴(430)이 스캔 입력 포트를 통해 스캔 체인에 쉬프트-인 되어 로드될 때, k-1번째 입력 스캔 패턴(400)에 의한 테스트 결과가 스캔 출력 포트를 동시에 쉬프트-아웃 되어 언로드 된다. 이때 언로드된 출력 패턴은 k번째 입력 스캔 패턴(430)과 쌍으로 관리되는 k-1번째 입력 스캔 패턴(400)에 대한 예측 출력 스캔 패턴(440)과 비교된다.For example, when the k-th input scan pattern 430 is loaded in the scan chain via the scan input port, the test result by the (k-1) -th input scan pattern 400 shifts the scan output port simultaneously - Out and unloaded. At this time, the unloaded output pattern is compared with the predicted output scan pattern 440 for the (k-1) th input scan pattern 400 managed in pairs with the kth input scan pattern 430.

쉬프트-인과 쉬프트-아웃 동작을 중첩(overlapping)시켜 스캔 테스트를 하기 위하여, 스캔 입력 포트를 통해 쉬프트-인 되는 k 번째 입력 스캔 패턴(430)과 k-1 번째 입력 스캔 패턴(400)에 대한 예측 출력 스캔 패턴(440)을 쌍으로 관리한다. 따라서 스캔 패턴들은 서로 순서를 가질 수 있다. 또한 스캔 패턴들은 다양한 방법으로 재배치될 수 있다. In order to perform a scan test by overlapping shift-in and shift-out operations, a prediction for a k-th input scan pattern 430 shifted through the scan input port and a k-1 input scan pattern 400 And the output scan patterns 440 are managed in pairs. Thus, the scan patterns can be in sequence with each other. The scan patterns can also be rearranged in various ways.

첫 번째 스캔 패턴을 스캔 체인에 쉬프트-인 할 때 동시에 쉬프트-아웃 되는 출력 패턴은 돈케어(Don't-care) 패턴이거나 테스트 대상 칩의 리셋에 의한 스캔 체인 상태 값일 수 있다. The output pattern that is shifted out simultaneously when shifting the first scan pattern to the scan chain may be a don't-care pattern or a scan chain state value due to a reset of the chip under test.

스캔 테스트 시간을 최소화하기 위한 또 다른 방법으로 스캔 테스트를 위한 전체 스캔 패턴의 양을 줄이는 방법과 쉬프트 주파수를 높여 스캔 패턴을 IC 칩에 빠르게 인가하는 방법이 있다. 본 발명은 이하에서 주로 쉬프트 주파수를 높여 스캔 테스트 시간을 최소화할 수 있는 방법에 대해 설명한다.Another way to minimize scan test time is to reduce the amount of scan patterns for scan test and to increase the shift frequency to quickly apply scan patterns to the IC chip. In the following, the present invention mainly explains a method of increasing the shift frequency to minimize the scan test time.

도 5는 본 발명에 따른 스캔 테스트의 시간을 최소화하기 위하여 스캔 패턴을 스캔 섹션으로 분할하는 일 예를 도시한 도면이다.5 is a diagram illustrating an example of dividing a scan pattern into scan sections in order to minimize the time of the scan test according to the present invention.

도 5를 참조하면, 하나 이상의 입력 스캔 패턴으로 구성된 스캔 패턴 집합은 적어도 둘 이상의 스캔 섹션으로 분할된다. 즉, 스캔 섹션은 적어도 하나 이상의 스캔 패턴으로 구성되거나 스캔 패턴의 일부로 구성될 수 있으며, 스캔 섹션별로 최적의 쉬프트 주파수를 찾아 적용함으로써 스캔 테스트 시간을 보다 더 절약할 수 있다. Referring to FIG. 5, a set of scan patterns composed of one or more input scan patterns is divided into at least two scan sections. That is, the scan section may be constituted by at least one scan pattern or a part of the scan pattern, and an optimal shift frequency may be found for each scan section to further save the scan test time.

제1 실시 예로, 스캔 섹션(500)은 하나의 스캔 패턴으로 구성되며 스캔 패턴과 일대일 대응될 수 있다. 즉 스캔 패턴이 곧 스캔 섹션이 될 수 있다. In the first embodiment, the scan section 500 is composed of one scan pattern and may correspond one-to-one with the scan pattern. That is, the scan pattern may be a scan section.

제2 실시 예로, 스캔 섹션(510)은 두 개의 스캔 패턴을 포함할 수 있다. 스캔 섹션에 포함되는 스캔 패턴의 개수는 실시 예에 따라 다양하게 변경 가능하다.In a second embodiment, the scan section 510 may include two scan patterns. The number of scan patterns included in the scan section may be variously changed according to the embodiment.

제3 실시 예로, 스캔 섹션(520)은 제1 스캔 패턴의 일부와 제2 스캔 패턴의 일부로 구성될 수 있다.In the third embodiment, the scan section 520 may be composed of a part of the first scan pattern and a part of the second scan pattern.

제4 실시 예로, 스캔 섹션(530)은 하나의 스캔 패턴의 일부로 구성될 수 있다. In the fourth embodiment, the scan section 530 may be configured as a part of one scan pattern.

제5 실시 예로, 하나의 스캔 패턴이 두 개의 스캔 섹션(540,550)으로 분할될 수 있다. 하나의 스캔 패턴에 포함되는 스캔 섹션의 개수는 실시 예에 따라 다양하게 변경 가능하다.In a fifth embodiment, one scan pattern may be divided into two scan sections 540, 550. The number of scan sections included in one scan pattern can be variously changed according to the embodiment.

하나 이상의 스캔 패턴은 앞서 살핀 여러 가지 실시 예(500,510,520,530,540,550) 중 어느 하나의 방법으로 분할될 수 있을 뿐만 아니라, 이들 실시 예를 두 가지 이상 적용하여 스캔 패턴을 분할할 수 있다. 예를 들어, 도 5의 N개의 스캔 패턴으로 구성된 스캔 패턴 집합은 하나의 스캔 패턴을 포함하는 제1 스캔 섹션(500), 두 개의 스캔 패턴을 포함하는 제2 스캔 섹션(510), 하나의 스캔 패턴의 일부를 포함하는 제3,4 스캔 섹션(540,550)으로 분할될 수 있다. The one or more scan patterns can be divided into any one of the above-described embodiments 500, 510, 520, 530, 540, and 550, and the scan patterns can be divided by applying two or more of these embodiments. For example, the set of scan patterns having N scan patterns shown in FIG. 5 includes a first scan section 500 including one scan pattern, a second scan section 510 including two scan patterns, And the third and fourth scan sections 540 and 550 including a part of the pattern.

이 외에도 스캔 패턴 집합을 스캔 섹션으로 분할하는 다양한 방법이 적용될 수 있으며, 본 발명은 도 5에 도시된 스캔 섹션에 한정되지 않는다.In addition, various methods of dividing a set of scan patterns into scan sections can be applied, and the present invention is not limited to the scan section shown in Fig.

도 6은 본 발명에 따른 스캔 테스트 시간 최소화를 위하여 각 스캔 섹션별로 쉬프트 주파수를 할당한 일 예를 도시한 도면이다.6 is a diagram illustrating an example of assigning a shift frequency to each scan section in order to minimize scan test time according to the present invention.

도 6을 참조하면, 복수의 쉬프트 주파수들이 각 스캔 섹션에 할당된다. 종래 스캔 테스트의 경우에, IC 칩에 따라 미리 고정된 단일 스캔 쉬프트 주파수(constant scan shift frequency)를 이용하는데, 이러한 단일 주파수를 명목(nominal) 쉬프트 주파수라고 한다. Referring to FIG. 6, a plurality of shift frequencies are allocated to each scan section. In the case of a conventional scan test, a fixed scan shift frequency is used in advance according to the IC chip, and this single frequency is referred to as a nominal shift frequency.

명목 쉬프트 주파수는 ATPG 소프트웨어로 스캔 패턴을 만들 때 사용되는 쉬프트 주파수이거나 이를 기준으로 약간 조정된 쉬프트 주파수로 상당히 낮은 주파수이다. 따라서 이들 주파수를 그대로 사용할 수 경우 스캔 테스트 시간이 많이 소요된다. The nominal shift frequency is the shift frequency used when making the scan pattern with the ATPG software, or a significantly lower frequency with a slightly adjusted shift frequency based on this. Therefore, if these frequencies can be used as they are, the scan test time will be long.

그렇다고 명목 쉬프트 주파수를 높게 할 경우, 스캔 패턴에 따라 쉬프트-인과 쉬프트-아웃할 때 발생하는 전력 소모가 IC 칩이 요구하는 전력 범위를 벗어나게 되므로 정상적인 스캔 테스트를 수행할 수 없게 된다. 또한, 오버 쉬프트 주파수로 인해 크리티컬 경로(cirtical path) 지연 시간 문제, 파워 서플라이 노이즈 영향 심화, 신호선 간 간섭 영향 심화 등으로 인한 양품을 불량품으로 판정하는 오버 킬(over kill) 문제가 발생할 수 있다. However, when the nominal shift frequency is increased, the power consumption occurring when the shift-in and shift-out is performed according to the scan pattern is out of the power range required by the IC chip, so that the normal scan test can not be performed. In addition, due to the overshift frequency, there may occur an over kill problem in which a good product is determined as a defective product due to a cirtical path delay time problem, an increase in power supply noise influence, and an increase in influence of interference between signal lines.

따라서 본 발명은 전체 스캔 패턴에 대해 상기 명목 쉬프트 주파수와 같은 단일의 쉬프트 주파수를 적용하는 것이 아니라 스캔 섹션 별로 스캔 체인에 정상적으로 쉬프트 될 수 있는 최적의 쉬프트 주파수를 할당한다. 스캔 섹션 별 최적의 쉬프트 주파수를 찾는 과정은 도 8 이하를 참조하여 보다 상세하게 설명한다. 여기서, 최적의 쉬프트 주파수는 허용 가능한 최대 쉬프트 주파수이거나 이보다 작은 쉬프트 주파수일 수 있다. Therefore, the present invention does not apply a single shift frequency such as the nominal shift frequency to the entire scan pattern, but allocates an optimal shift frequency that can be normally shifted to the scan chain for each scan section. The process of finding an optimal shift frequency for each scan section will be described in more detail with reference to FIG. Here, the optimal shift frequency may be an allowable maximum shift frequency or a shift frequency less than the allowable maximum shift frequency.

다시 도 6을 참조하면, 첫 번째 스캔 섹션은 쉬프트 주파수 A를 할당받고, 두 번째 스캔 섹션은 쉬프트 주파수 B를 할당받는다. 그리고 세 번째 스캔 섹션은 첫 번째 스캔 섹션과 동일한 쉬프트 주파수 A를 할당받는다. 이와 같이, 각 스캔 섹션은 동일한 쉬프트 주파수를 할당받거나 서로 다른 쉬프트 주파수를 할당받을 수 있다. Referring again to FIG. 6, the first scan section is assigned a shift frequency A and the second scan section is assigned a shift frequency B. FIG. And the third scan section is assigned the same shift frequency A as the first scan section. As such, each scan section may be assigned the same shift frequency or may be assigned a different shift frequency.

예를 들어, 하나의 스캔 패턴이 복수의 스캔 섹션으로 분할된 경우에, 하나의 스캔 패턴에 복수의 수프트 주파수가 할당될 수 있다. 도 5를 참조하면, 하나의 스캔 패턴에 속한 두 개의 스캔 섹션(540,550)은 서로 다른 쉬프트 주파수를 할당받을 수 있다. 즉, 하나의 스캔 패턴에 두 개의 쉬프트 주파수가 할당된다.For example, in the case where one scan pattern is divided into a plurality of scan sections, a plurality of sweep frequencies may be assigned to one scan pattern. Referring to FIG. 5, two scan sections 540 and 550 belonging to one scan pattern may be assigned different shift frequencies. That is, two shift frequencies are assigned to one scan pattern.

쉬프트 주파수를 할당받은 각 스캔 섹션은 실시 예에 따라 섹션 그룹으로 통합될 수도 있다. 예를 들어, 두 번째 스캔 섹션과 세 번째 스캔 섹션을 섹션 그룹으로 묶고, 각 스캔 섹션의 쉬프트 주파수 A,B 중 더 작은 쉬프트 주파수 또는 그 이하를 해당 섹션 그룹에 할당할 수 있다.
발명의 배경이 되는 기술에서 설명한 일반적인 스캔 테스트 과정의 주 입력 포트에 테스트 데이터 인가 및 스캔 체인에 스캔 패턴 입력 후 주 출력에서의 테스트 결과 관찰은 이하 발명의 내용에 있어서 일반적인 스캔 테스트 과정으로써 적용될 수 있다.
Each scan section assigned shift frequency may be integrated into a section group according to an embodiment. For example, the second scan section and the third scan section may be grouped into a section group, and a smaller shift frequency of the shift frequencies A and B of each scan section or less may be assigned to the corresponding section group.
The test data can be applied to the main input port of the general scan test process described in the background art and the test result observation at the main output after the scan pattern input to the scan chain can be applied as a general scan test process .

도 7은 본 발명에 따른 스캔 테스트 시간 최소화를 위한 쉬프트 주파수를 찾는 방법의 일 예를 도시한 도면이다.FIG. 7 is a diagram illustrating an example of a method for finding a shift frequency for minimizing a scan test time according to the present invention.

도 7은 도 4에서 설명한 쉬프트-인과 쉬프트-아웃이 중첩하여 수행되는 경우에 스캔 테스트 시간을 최소화하기 위한 방법의 일 예를 설명한다. 도 7은 본 발명에 따른 하나의 예를 설명하고자 함이며, 도 4에서 설명한 쉬프트-인과 쉬프트-아웃이 동시에 수행되는 경우로 한정되지 아니한다.FIG. 7 illustrates an example of a method for minimizing a scan test time when the shift-in and shift-out operations illustrated in FIG. 4 are performed in a superposed manner. FIG. 7 illustrates one example according to the present invention, and is not limited to the case where the shift-in and shift-out described in FIG. 4 are simultaneously performed.

또한 설명의 편의를 위하여, k번째 스캔 섹션(704)이 최적의 스캔 쉬프트 주파수를 찾고자 하는 섹션이고, k번째 스캔 섹션(704)이 k번째 입력 스캔 패턴과 일대일 대응되는 경우라고 가정한다. 물론, k번째 스캔 섹션(704)은 도 5에서 설명한 바와 같이 스캔 패턴의 일부이거나 복수 개의 스캔 패턴으로 구성될 수 있다. For convenience of explanation, it is assumed that a kth scan section 704 is a section for searching for an optimal scan shift frequency, and a kth scan section 704 is a one-to-one correspondence with a kth input scan pattern. Of course, the kth scan section 704 may be a part of the scan pattern or a plurality of scan patterns as described with reference to FIG.

도 7을 참조하면, k번째 스캔 섹션(704)이 특정 쉬프트 주파수로 스캔 체인에 정상적으로 쉬프트-인이 되는지 확인하기 위하여, k-1번째 입력 패턴(702)과 k+1번째 입력 패턴(706)이 필요하다.Referring to FIG. 7, a k-1-th input pattern 702 and a (k + 1) -th input pattern 706 are used to check whether a kth scan section 704 is normally shifted- Is required.

k-1 번째 입력 패턴(702)은, k 번째 스캔 섹션(704)의 앞에 위치한 실제 스캔 테스트에 사용되는 k-1 번째 스캔 패턴이거나, 상기 k-1번째 스캔 패턴을 스캔 체인에 로드한 후 스캔 캡쳐하는 경우 얻어지는 예측 패턴일 수 있다. k+1 번째 입력 패턴(706)은 k 번째 스캔 섹션(704)의 뒤에 위치한 실제 스캔 테스트에 사용되는 k+1 번째 스캔 패턴이거나, 스캔 체인상의 스위칭 동작을 줄이기 위하여 비트 '0' 또는 '1' 위주로 구성되거나 연속된 비트 '0' 또는 '1' 위주로 구성되는 등 기 설정된 임의의 패턴일 수 있다.The (k-1) th input pattern 702 may be a (k-1) th scan pattern used in an actual scan test located in front of the kth scan section 704, And may be a prediction pattern obtained when capturing. The (k + 1) -th input pattern 706 may be a (k + 1) th scan pattern used in an actual scan test located behind the kth scan section 704 or a bit pattern of '0' or '1' Quot; 0 " or " 1 " based on consecutive bits or consecutive bits.

그리고 스캔 테스트에 있어서 첫 번째 스캔 섹션의 앞과 마지막 스캔 섹션의 뒤에 각각 위치하는 입력 스캔 패턴은, 스캔 체인상의 스위칭 동작을 줄이기 위하여 비트 '0' 또는 '1' 위주로 구성되거나 연속된 비트 '0' 또는 '1' 위주로 구성되는 등 기 설정된 임의의 패턴일 수 있다. 또한 상기 첫 스캔 섹션의 앞에 위치하는 입력 스캔 패턴은 테스트 대상 칩이 리셋 상태일 때의 스캔 체인 상의 값일 수도 있다.In the scan test, the input scan patterns located respectively before and after the first scan section of the first scan section are composed of bits '0' or '1' in order to reduce the switching operation on the scan chain, Or " 1 ", respectively. The input scan pattern located before the first scan section may be a value on the scan chain when the chip under test is in the reset state.

k-1 번째 입력 패턴(702) 또는 k+1 번째 입력 패턴(706)은 각각 하나 이상의 스캔 섹션으로 구성될 수 있으며, 이들 섹션에 명목 쉬프트 주파수 이하 또는 이들 섹션 중 본 발명에 따른 방법을 통해 최적의 쉬프트 주파수가 이미 결정된 경우에는 해당하는 최적의 쉬프트 주파수 이하와 같이 기 설정된 쉬프트 주파수를 상기 해당 섹션에 적용하여 k-1번째 입력 패턴(702) 또는 k+1번째 입력 패턴(706)을 쉬프트-인 할 수도 있다. 상기 기 설정된 쉬프트 주파수는 명목 쉬프트 주파수 이상이 되거나 장치마다 미리 설정된 값 또는 사용자가 설정한 값이 될 수 있는 등 실시 예에 따라 다양하게 변경 가능하며 위 예에 반드시 한정되는 것은 아니다. Each of the (k-1) -th input pattern 702 or the (k + 1) -th input pattern 706 may be constituted by one or more scan sections, and these sections may be optimized (K + 1) th input pattern 702 or (k + 1) -th input pattern 706 is applied to the corresponding section by applying a predetermined shift frequency equal to or less than the optimum shift frequency to the corresponding section, . The predetermined shift frequency may be a nominal shift frequency or more, a preset value for each device, or a value set by a user, and the present invention is not limited thereto.

예를 들어, 본 발명에 따른 방법을 스캔 패턴들에 대해 순차적으로 적용하는 경우, k 번째 스캔 패턴에 대한 스캔 섹션의 쉬프트 주파수 결정 과정 이전에 k-1번째 스캔 패턴에 대한 스캔 섹션의 최적의 쉬프트 주파수가 미리 결정된다. 따라서 스캔 테스트 시간 최소화 장치는 k-1 번째 스캔 패턴의 스캔 섹션에 대해서는 결정된 최적의 쉬프트 주파수를 이용하고, k+1 번째 스캔 패턴에 대한 스캔 섹션에 대해서는 명목 쉬프트 주파수를 이용할 수 있다.For example, when the method according to the present invention is applied to scan patterns sequentially, an optimal shift of a scan section for a k-th scan pattern is performed before a shift frequency of a scan section for a k < th & The frequency is predetermined. Therefore, the apparatus for minimizing the scan test time may use the determined optimum shift frequency for the scan section of the (k-1) th scan pattern and use a nominal shift frequency for the scan section for the (k + 1) th scan pattern.

그리고 k번째 스캔 패턴의 최적의 쉬프트 주파수를 찾고자 하는 섹션에 대해 쉬프트 주파수를 증감하면서, k-1, k, k+1 번째 스캔 패턴을 스캔 체인(710)에 순차적으로 입력하여 실제 출력 패턴(720)이 예측 패턴(730)과 동일한지를 파악한다. Then, the k-th scan pattern is sequentially input to the scan chain 710 while increasing / decreasing the shift frequency with respect to the section for which the optimum shift frequency of the kth scan pattern is to be searched, ) Is the same as the prediction pattern 730.

예를 들어, 스캔 테스트 시간 최소화 장치는 초기 쉬프트 주파수를 명목 쉬프트 주파수로 설정하고, 스캔 테스트 시간 최소화 장치에 기 설정된 쉬프트 주파수의 변동 단위로 쉬프트 주파수를 증가한다. 즉 k-1 번째 입력 스캔 패턴(702)을 스캔 체인에 명목 주파수와 같은 기 설정된 쉬프트 주파수로 쉬프트-인하여 로드한 후, k 번째 스캔 섹션(704)을 "초기 쉬프트 주파수 + 일정 단위 증가"의 쉬프트 주파수로 스캔 체인에 쉬프트-인 하고, 동시에 k-1번째 입력 스캔 패턴(702)에 의한 테스트 결과(즉, 출력패턴 K-1)(722)를 쉬프트-아웃하여 미리 알고 있는 예측 패턴 K-1(732)과 동일한지 파악한다. 그리고 다시 k+1 번째 입력 스캔 패턴(706)의 쉬프트-인과 동시에, k 번째 스캔 패턴(704)에 의한 테스트 결과를 쉬프트-아웃 하여 얻은 출력 패턴 K(724)를 미리 알고 있는 예측 패턴 K(734)와 동일한지 파악한다.For example, the apparatus for minimizing the scan test time sets the initial shift frequency to the nominal shift frequency, and increases the shift frequency in units of a predetermined shift frequency in the scan test time minimizing apparatus. That is, after loading the (k-1) -th input scan pattern 702 into the scan chain with a predetermined shift frequency such as a nominal frequency, the kth scan section 704 is shifted to the "initial shift frequency + (I.e., the output pattern K-1) 722 by the (k-1) -th input scan pattern 702 to shift-out the predicted pattern K-1 (732). The output pattern K 724 obtained by shifting out the test result by the kth scan pattern 704 is shifted to the predicted pattern K 734 ).

위에서 언급한 기 설정된 쉬프트 주파수는 명목 쉬프트 주파수 외에 명목 쉬프트 주파수 이상 또는 이하가 되거나 장치마다 미리 설정된 값 또는 사용자가 설정한 값이 될 수 있는 등 실시 예에 따라 다양하게 변경 가능하며 위 예에 반드시 한정되는 것은 아니다. The predetermined shift frequency mentioned above may be variously changed according to the embodiment such as a nominal shift frequency, a nominal shift frequency higher or lower than the nominal shift frequency, a preset value for each apparatus or a value set by the user. It is not.

출력패턴 K-1(722)과 예측패턴 K-1(732)이 동일하고, 출력패턴 K(724)와 예측패턴 K(734)가 동일하면, 스캔 테스트 시간 최소화 장치는 최적의 쉬프트 주파수를 찾고자 하는 스캔 섹션 K(704)에 대한 쉬프트 주파수를 다시 일정 크기만큼 증가하며, 상기와 같이 다시 k-1번째 입력 스캔 패턴(702)부터 스캔 체인에 입력하는 과정을 수행하여 출력 패턴(720)과 예측 패턴(730)의 비교과정을 다시 수행한다.When the output pattern K-1 722 and the predicted pattern K-1 732 are the same and the output pattern K 724 and the predicted pattern K 734 are the same, the apparatus for minimizing the scan test time finds the optimal shift frequency The shift frequency for the scan section K 704 is increased by a predetermined magnitude and input to the scan chain from the (k-1) -th input scan pattern 702 again as described above to generate the output pattern 720 and the prediction The pattern comparison process of the pattern 730 is performed again.

이와 같이, k 번째 스캔 섹션(704)에 대한 쉬프트 주파수를 계속하여 증가하여 출력 패턴(720)과 예측 패턴(730)이 달라지는 지점까지 수행하고, 그 지점 이전의 쉬프트 주파수 이하를 k 번째 스캔 섹션의 최적의 쉬프트 주파수로 결정한다. The shift frequency for the kth scan section 704 is continuously increased to the point where the output pattern 720 and the predicted pattern 730 are different from each other. The optimum shift frequency is determined.

실시 예에 따라, k 번째 스캔 섹션에 대한 최적의 쉬프트 주파수를 찾기 위한 초기 쉬프트 주파수는 명목 주파수 외에 다양한 값이 설정될 수 있으며, 또한 낮은 값에서 증가시키는 것이 아니라 출력 패턴과 예측 패턴이 달라지는 높은 값부터 시작하여 쉬프트 주파수를 낮춰가면서 출력 패턴과 예측 패턴이 동일해지는 지점의 쉬프트 주파수를 찾을 수도 있다. 또한, k 번째 스캔 섹션의 쉬프트 주파수의 변화를 순차적으로 증가 또는 감소시키는 것이 아니라 여러 알고리즘을 통해 다양한 방법으로 변경시켜 보다 빠른 시간에 최적의 쉬프트 주파수를 찾을 수도 있다. According to an embodiment, various values can be set in addition to the nominal frequency for the initial shift frequency for finding the optimal shift frequency for the kth scan section, and a high value for varying the output pattern and the predicted pattern, It is possible to find the shift frequency at the point where the output pattern and the predicted pattern become the same while lowering the shift frequency. In addition, it is possible not to increase or decrease the shift frequency of the k-th scan section sequentially, but to change it in various ways through various algorithms to find an optimal shift frequency in a shorter time.

예를 들면 이진 검색(binary search) 알고리즘을 사용할 수 있다. 이에 대한 일 예를 들면, 쉬프트 주파수가 10MHz에서 성공이고 20MHz에서 실패하면 다음 쉬프트 주파수는 그 사이인 15MHz를 시도해 본다. 그리고 만약 성공이면 15MHz와 20MHz 사이를 시도해 보며, 만약 실패하면 10MHz와 15MHz 사이를 시도해 보는 방법이다.For example, a binary search algorithm can be used. For example, if the shift frequency is successful at 10 MHz and fails at 20 MHz, try the next shift frequency between them, 15 MHz. And if it is successful, try between 15MHz and 20MHz, and if it fails, try between 10MHz and 15MHz.

도 7의 예에서, 최적의 쉬프트 주파수를 찾기 위한 스캔 섹션 K(704)는 스캔 패턴 K(704)와 일대일 대응되지만, 도 5의 스캔 섹션(530)과 같이 스캔 패턴의 일부로 구성될 수도 있다. 이러한 경우 최적의 쉬프트 주파수를 찾기 위해 선택된 스캔 섹션을 포함하는 스캔 패턴에서, 해당 스캔 섹션을 제외한 부분에는 명목 쉬프트 주파수 이하 또는 본 발명에 따른 방법을 통해 최적의 쉬프트 주파수가 이미 결정된 경우에는 최적의 쉬프트 주파수 이하와 같이 기 설정된 쉬프트 주파수가 사용될 수 있다. 그리고 상기 최적의 쉬프트 주파수를 찾기 위해 선택된 스캔 섹션에 대해서는 이상에서 설명한 바와 같이 쉬프트 주파수 증감을 통해 최적의 주파수를 찾는다. 상기 기 설정된 쉬프트 주파수는 명목 쉬프트 주파수 이상이 되거나 장치마다 미리 설정된 값 또는 사용자가 설정한 값이 될 수 있는 등 실시 예에 따라 다양하게 변경 가능하며 위 예에 반드시 한정되는 것은 아니다. In the example of FIG. 7, the scan section K 704 for finding the optimal shift frequency corresponds one-to-one to the scan pattern K 704, but may be configured as a part of the scan pattern as the scan section 530 of FIG. In this case, in a scan pattern including a selected scan section to find an optimal shift frequency, if an optimum shift frequency is already determined at a nominal shift frequency or less according to the method of the present invention, Frequency A predefined shift frequency can be used as follows. For the selected scan section to find the optimal shift frequency, an optimal frequency is searched for by increasing or decreasing the shift frequency as described above. The predetermined shift frequency may be a nominal shift frequency or more, a preset value for each device, or a value set by a user, and the present invention is not limited thereto.

도 8은 본 발명에 따른 스캔 테스트 시간 최소화 방법의 일 예를 도시한 흐름도이다.FIG. 8 is a flowchart illustrating an example of a scan test time minimization method according to the present invention.

도 8을 참조하면, 스캔 테스트 시간 최소화 장치는 하나 이상의 스캔 패턴을 적어도 둘 이상의 스캔 섹션으로 분할한다(S800). 스캔 패턴의 분할의 일 예로 도 5에 도시된 방법을 사용할 수 있다. 스캔 테스트 시간 최소화 장치는 복수 개의 쉬프트 주파수를 각 스캔 섹션에 할당한다(S810). 여기서 각 스캔 섹션에 할당된 쉬프트 주파수는 스캔 체인의 출력 패턴이 예측 패턴과 상이해지는 쉬프트 주파수보다 작은 값이다. 상기 스캔 패턴의 스캔 섹션으로서의 분할(S800)과 상기 쉬프트 주파수의 스캔 섹션 할당(S810)은 실시 예에 따라 동일한 장치 또는 서로 다른 장치에서 각각 수행될 수 있다.Referring to FIG. 8, the apparatus for minimizing scan test time divides one or more scan patterns into at least two scan sections (S800). As an example of the division of the scan pattern, the method shown in Fig. 5 can be used. The scan test time minimizing apparatus allocates a plurality of shift frequencies to each scan section (S810). Here, the shift frequency assigned to each scan section is smaller than the shift frequency at which the output pattern of the scan chain is different from the predicted pattern. The division (S800) of the scan pattern as the scan section and the scan section allocation (S810) of the shift frequency may be performed in the same device or in different devices, respectively, according to the embodiment.

즉, 스캔 테스트 시간 최소화 장치는 쉬프트 주파수의 증감에 따라 출력 패턴과 예측 패턴이 달라지기 바로 이전의 쉬프트 주파수를 해당 스캔 섹션에 할당 가능한 최대 쉬프트 주파수로 파악한다. 실시 예에 따라 각 스캔 섹션은 쉬프트 주파수의 증감을 통해 파악한 최대 쉬프트 주파수보다 작은 쉬프트 주파수를 할당받을 수도 있다.That is, the scan test time minimizing apparatus recognizes the shift frequency immediately before the output pattern and the predicted pattern are changed as the maximum shift frequency that can be allocated to the scan section according to the increase / decrease of the shift frequency. According to an embodiment, each scan section may be assigned a shift frequency that is smaller than the maximum shift frequency determined by increasing or decreasing the shift frequency.

도 9는 본 발명에 따른 스캔 테스트 시간 최소화를 위하여 각 스캔 섹션별 최적의 쉬프트 주파수를 사용한 방법의 다른 일 예를 도시한 도면이다.9 is a diagram illustrating another example of a method using an optimal shift frequency for each scan section in order to minimize the scan test time according to the present invention.

도 9을 참조하면, 스캔 테스트 시간 최소화 장치는 하나 이상의 스캔 패턴을 적어도 둘 이상의 스캔 섹션으로 분할한다(S900). Referring to FIG. 9, the apparatus for minimizing scan test time divides one or more scan patterns into at least two scan sections (S900).

스캔 테스트 시간 최소화 장치는 스캔 섹션을 스캔 체인에 쉬프트-인 하는 주파수를 증감시키면서, 출력 패턴이 예측 패턴과 상이해지는 시점의 쉬프트 주파수를 파악한다(S910). 최적의 쉬프트 주파수를 찾기 위하여 사용되는 칩은 미리 양품으로 검사된 칩을 사용하는 것이 바람직하다. 예를 들어, 명목 쉬프트 주파수를 이용하여 스캔 테스트 한 결과 양품인 칩을 이용하여 본 실시 예에 따라 최적의 쉬프트 주파수를 검색한다. 이하의 다른 실시 예에서도 동일하다. In step S910, the scan test time minimizing device obtains a shift frequency at a time point at which the output pattern is different from the predicted pattern while increasing or decreasing the frequency of shifting the scan section to the scan chain. It is desirable to use a chip that has been previously tested with a good product to find the optimum shift frequency. For example, as a result of performing a scan test using a nominal shift frequency, an optimal shift frequency is searched for according to the present embodiment using a good chip. The same is true in the following other embodiments.

그리고, 스캔 테스트 시간 최소화 장치는 출력 패턴과 예측 패턴이 상이해지는 시점 이전의 쉬프트 주파수를 해당 스캔 섹션의 쉬프트 주파수로 결정한다(S920). 상기 이전의 쉬프트 주파수라고 함은 그보다 작은 쉬프트 주파수도 포함한다.In operation S920, the apparatus for minimizing the scan test time determines a shift frequency of the scan section before the point at which the output pattern and the predicted pattern differ from each other. The previous shift frequency also includes a shift frequency smaller than the shift frequency.

예를 들어, 제1 쉬프트 주파수에서 출력 패턴과 예측 패턴이 동일하였으나, 제1 쉬프트 주파수를 일정 크기 증가한 제2 쉬프트 주파수에서 스캔 체인의 출력 패턴과 예측 패턴이 달라지는 경우, 스캔 테스트 시간 최소화 장치는 제2 쉬프트 주파수 또는 이보다 작은 쉬프트 주파수를 스캔 섹션의 쉬프트 주파수로 결정한다.For example, if the output pattern and the predicted pattern are the same at the first shift frequency but the output pattern and predicted pattern of the scan chain are different at the second shift frequency where the first shift frequency is increased by a certain magnitude, 2 Shift frequency or less shift frequency is determined as the shift frequency of the scan section.

최적의 쉬프트 주파수를 찾기 위하여 증감하는 크기는 스캔 테스트 장치에 미리 설정되어 있으며, 사용자에 의해 증감 크기가 변경될 수도 있다. In order to find the optimum shift frequency, the increase / decrease size is preset in the scan test apparatus, and the increase / decrease size may be changed by the user.

도 9에서 설명한 각 단계는 실시 예에 따라 스캔 테스트 시간 최소화 장치에서 모두 실시되는 것이 아니라 여러 장치에 분산되어 수행될 수도 있다. The steps described in FIG. 9 are not all performed in the apparatus for minimizing the scan test time according to the embodiment, but may be performed dispersedly in various apparatuses.

도 10은 본 발명에 따른 스캔 테스트 시간 최소화 방법의 보다 구체적인 과정을 도시한 흐름도이다.FIG. 10 is a flowchart illustrating a method for minimizing a scan test time according to the present invention.

도 10을 참조하면, 스캔 테스트 시간 최소화 장치는 하나 이상의 스캔 패턴을 복수의 스캔 섹션으로 분할한다(S1000). Referring to FIG. 10, the apparatus for minimizing scan test time divides one or more scan patterns into a plurality of scan sections (S1000).

스캔 테스트 시간 최소화 장치는 스캔 섹션들 중 본 실시 예에 따라 쉬프트 주파수가 결정되지 아니한 스캔 섹션을 하나 선택한다(S1010). 예를 들어, 스캔 테스트를 위한 스캔 패턴들 사이에 일정한 순서가 정해져 있는 경우라면, 스캔 테스트 시간 최소화 장치는 첫 번째 스캔 섹션부터 순차적으로 선택할 수 있다.The scan test time minimization apparatus selects one scan section in which the shift frequency is not determined according to the present embodiment among the scan sections (S1010). For example, if a certain order is set between the scan patterns for the scan test, the apparatus for minimizing the scan test time can sequentially select from the first scan section.

스캔 테스트 시간 최소화 장치는 쉬프트 주파수를 증감한다(S1020). 예를 들어, 스캔 테스트 시간 최소화 장치는 초기 쉬프트 주파수를 명목 쉬프트 주파수 등으로 다양하게 설정할 수 있다.The scan test time minimizing device increases or decreases the shift frequency (S1020). For example, the scan test time minimizing device can set the initial shift frequency to various nominal shift frequencies.

스캔 테스트 시간 최소화 장치는 초기 쉬프트 주파수부터 시작하여 증감된 쉬프트 주파수에서 스캔 섹션을 스캔 체인에 정상적으로 쉬프트-인이 가능한지를 파악한다(S1030). 선택된 스캔 섹션이 현재의 쉬프트 주파수로 정상적으로 쉬프트-인이 가능한지를 파악하는 구체적인 방법의 일 예는 도 11에서 설명한다.The scan test time minimizing apparatus starts from the initial shift frequency and determines whether the scan section can be normally shifted to the scan chain at the increased or decreased shift frequency (S1030). An example of a specific method of determining whether the selected scan section can be normally shifted to the current shift frequency will be described with reference to FIG.

스캔 섹션의 정상적인 쉬프트-인이 가능하면(S1040), 스캔 테스트 시간 최소화 장치는 다시 쉬프트 주파수를 증감하고(S1020) 정상적인 쉬프트-인이 가능한지 파악하는 과정을 반복한다(S1030).If the normal shift-in of the scan section is possible (S1040), the scan test time minimizing apparatus repeats the process of increasing or decreasing the shift frequency again (S1020) and determining whether normal shift-in is possible (S1030).

쉬프트 주파수의 증감에 따라 스캔 섹션의 정상적인 쉬프트-인이 안 되는 경우가 발생하면(S1040), 스캔 테스트 시간 최소화 장치는 스캔 섹션의 정상적인 쉬프트-인이 안되는 현재의 쉬프트 주파수 이전의 쉬프트 주파수 이하를 스캔 섹션의 쉬프트 주파수로 결정한다(S1050). 그리고 모든 스캔 섹션에 대한 쉬프트 주파수가 결정될 때까지 위의 과정을 반복수행한다(S1060). If it is determined that the scan section can not be normally shifted in accordance with the increase / decrease of the shift frequency (S1040), the scan test time minimizing apparatus scans the shift frequency lower than the shift frequency before the current shift frequency, Section as the shift frequency of the section (S1050). The above process is repeated until the shift frequency for all scan sections is determined (S1060).

스캔 테스트 시간 최소화 장치는 필요에 따라 스캔 섹션을 섹션 그룹으로 묶을 수 있다(S1070). 예를 들어, 실제 스캔 테스트를 수행하는 스캔 테스트 장치가 스캔 테스트 동안 지원 가능한 최대 쉬프트 주파수 변경 횟수, 쉬프트 주파수의 최대 개수, 쉬프트 주파수 변경에 필요한 지연 시간 등의 제약 사항을 가진 경우에, 스캔 테스트 시간 최소화 장치는 스캔 섹션의 개수가 위 제약 사항을 만족할 수 있도록 스캔 섹션을 그룹으로 묶을 수 있으며, 이때 전체 스캔 테스트 시간이 최소화될 수 있도록 고려할 수 있다. 이때 하나의 섹션 그룹에 포함되는 적어도 둘 이상의 스캔 섹션의 각 최적의 쉬프트 주파수들 중 가장 낮은 쉬프트 주파수 이하를 해당 섹션 그룹의 쉬프트 주파수로 결정할 수 있다. 섹션 그룹으로 묶는 과정(S1070)는 실시 예에 따라 생략될 수 있다. Minimizing scan test time The apparatus can group scan sections into section groups as required (S1070). For example, when the scan test apparatus performing the actual scan test has constraints such as the maximum number of shift frequency changes that can be supported during the scan test, the maximum number of shift frequencies, and the delay time required for changing the shift frequency, The minimization device can group the scan sections so that the number of scan sections meets the above constraints, which can be considered to minimize the overall scan test time. At this time, the shift frequency of the corresponding section group may be determined to be the lowest shift frequency or less among the optimal shift frequencies of at least two scan sections included in one section group. The process of grouping into a section group (S1070) may be omitted according to the embodiment.

예를 들어, 스캔 테스트 장치에서 지원 가능한 최대 쉬프트 주파수 변경 횟수가 5인 경우, 스캔 테스트 시간 최소화 장치는 현재 스캔 섹션의 수가 5를 초과하는 경우 스캔 섹션들을 5 개 이하의 섹션 그룹으로 나누고, 각 섹션 그룹 내 섹션의 최적의 쉬프트 주파수 중 가장 낮은 최적의 쉬프트 주파수 이하를 해당 섹션 그룹의 쉬프트 주파수로 결정할 수 있다. 섹션 그룹으로 그룹핑하는 방법은 비슷한 최적의 쉬프트 주파수를 갖는 섹션 그룹별로 그룹핑하는 방법 등 다양한 방법이 존재할 수 있으며, 전체 스캔 테스트 시간이 최소화될 수 있도록 하는 것이 바람직하다.For example, when the maximum number of shift frequency changes that can be supported by the scan test apparatus is 5, the scan test time minimizing apparatus divides scan sections into five or less section groups when the number of current scan sections exceeds 5, The shift frequency of the section group can be determined to be equal to or less than the lowest optimal shift frequency of the optimal shift frequency of the intra-group section. There are various methods such as a method of grouping into a section group and a method of grouping by section group having a similar optimal shift frequency, and it is desirable that the whole scan test time can be minimized.

지금까지 살펴본 실시 예들은 주로 쉬프트 주파수의 증감만을 고려하여 최적의 쉬프트 주파수를 찾는 과정이었다. 그러나 칩은 공급 전압이나 주변 온도 등에 의해서도 영향을 받으므로 이러한 환경 조건을 반영하여 최적의 쉬프트 주파수를 찾을 필요가 있다.In the embodiments discussed so far, only an increase / decrease of the shift frequency is mainly considered, and an optimum shift frequency is found. However, since the chip is also influenced by the supply voltage and ambient temperature, it is necessary to find the optimal shift frequency by reflecting such environmental conditions.

따라서, 스캔 테스트 시간 최소화 장치는 공급 전압이나 외부 온도 등의 조건을 변경해 가면서 최적 쉬프트 주파수를 찾는 과정을 수행할 수 있다. Accordingly, the apparatus for minimizing the scan test time can perform the process of finding the optimum shift frequency while changing conditions such as the supply voltage and the external temperature.

예를 들어, 스캔 테스트 시간 최소화 장치는 칩의 규격상 범위 또는 QA(Quality Assurance), QC(Quality Control) 등과 같은 품질 관련 정책 등을 고려하여 칩에 공급되는 전압을 증감한다(S1020). 그리고 스캔 테스트 시간 최소화 장치는 각각의 증감된 공급 전압에서 본 발명의 실시 예에 따라 스캔 섹션별 최적의 쉬프트 주파수를 찾는다. 선택된 스캔 섹션의 공급 전압별로 찾은 최적의 쉬프트 주파수가 복수 개 존재하면, 스캔 테스트 시간 최소화 장치는 이 중 가장 낮은 최적의 쉬프트 주파수 이하를 선택된 스캔 섹션의 쉬프트 주파수로 결정한다(S1050). 이 외 온도 증감이나 다른 여러 가지 조건별로 최적의 쉬프트 주파수를 찾는 과정을 반복하고, 이 중 가장 낮은 최적의 쉬프트 주파수 이하를 해당 스캔 섹션의 쉬프트 주파수로 결정할 수 있다. For example, the apparatus for minimizing the scan test time may increase or decrease the voltage supplied to the chip in consideration of the standard range of the chip or the quality-related policies such as QA (Quality Assurance) and QC (S1020). And the scan test time minimization device finds the optimum shift frequency for each scan section according to the embodiment of the present invention at each incremental supply voltage. If there is a plurality of optimal shift frequencies found for each supply voltage of the selected scan section, the scan test time minimizing apparatus determines the shift frequency of the selected scan section as the shift frequency of the lowest optimal shift frequency among the plurality of shift frequencies. The process of finding the optimum shift frequency for each of the other temperature conditions and other various conditions is repeated, and the shift frequency of the scan section below the lowest optimal shift frequency can be determined.

여기서, IC 칩의 공급 전압 또는 주변 온도 등을 변화시키면서 IC 칩의 동작 주파수 범위와 같은 특성을 파악하는 것을 일반적으로 전기적 특성 테스팅(electrical testing) 또는 쉬무잉(shmooing)이라 하며, 전기적 특성 테스팅 또는 쉬무잉을 하여 특성 정보에 대한 도표를 만드는 것을 쉬무 플랏팅(shmoo plotting) 한다고 한다. 도표는 쉬무 플랏(shmoo plot)이라 불린다. Here, it is generally referred to as electrical testing or shmooing to determine the characteristics such as the operating frequency range of the IC chip while changing the supply voltage or ambient temperature of the IC chip, It is called shmoo plotting to make a diagram of the characteristic information by moiting. The plot is called a shmoo plot.

도 10의 각 단계는 스캔 패턴 집합과 각 스캔 섹션에 대해 파악된 쉬프트 주파수 및 스캔 테스트 시간 최소화 장치의 제약 사항 정보를 사용하여 스캔 테스트 시간 최소화 장치뿐만 아니라 별도의 장치에서 수행될 수도 있다. 10 may be performed in a separate apparatus as well as the scan test time minimizing apparatus using the set of scan patterns and constraint information of the shift frequency and scan test time minimizing apparatus that are grasped for each scan section.

도 11은 본 발명에 따른 스캔 테스트 시간 최소화 방법에서 정상적인 쉬프트-인을 파악하는 구체적인 과정을 도시한 흐름도이다. 즉, 도 11은 도 10의 S1030 단계에 대응된다.FIG. 11 is a flowchart illustrating a specific procedure for determining a normal shift-in in the scan test time minimization method according to the present invention. That is, Fig. 11 corresponds to step S1030 of Fig.

도 11을 참조하면, 스캔 테스트 시간 최소화 장치는 도 7과 같이 현재 선택된 k번째 스캔 섹션의 앞에 위치한 k-1 번째 입력 패턴을 스캔 체인에 쉬프트-인 한다(S1100). k-1 번째 입력 패턴은 k번째 스캔 섹션의 앞에 위치하여 실제 스캔 테스트에 사용되는 k-1 번째 입력 스캔 패턴이거나, k-1번째 입력 스캔 패턴을 스캔 체인에 로드한 후 스캔 캡쳐할 때 나타나는 예측 패턴일 수 있다. Referring to FIG. 11, the scan test time minimizing apparatus shifts the (k-1) th input pattern located in front of the currently selected kth scan section to the scan chain as shown in FIG. 7 (S1100). The (k-1) -th input pattern may be a (k-1) -th input scan pattern located in front of the k-th scan section and used in an actual scan test, or a prediction Pattern.

(1) k-1 번째 입력 패턴이 실제 스캔 테스트에 사용되는 k-1 번째 스캔 패턴인 경우, 스캔 테스트 시간 최소화 장치는 k-1 번째 스캔 패턴을 스캔 체인에 로드한 후 스캔 캡쳐하는 과정을 수행한다. 이 경우 실제 스캔 테스트 동작을 그대로 반영할 수 있는 장점이 있다. (1) When the (k-1) -th input pattern is the (k-1) -th scan pattern used in the actual scan test, the scan test time minimizing device loads the k-th scan pattern into the scan chain and performs scan capturing do. In this case, there is an advantage that the actual scan test operation can be reflected as it is.

(2) k-1 번째 입력 패턴이 실제 스캔 테스트에 사용되는 k-1 번째 스캔 패턴을 로드 후 스캔 캡쳐할 때 나타나는 예측 패턴인 경우, 스캔 테스트 시간 최소화 장치는 상기 k-1 번째 입력 패턴을 스캔 체인에 로드한 후 별도의 스캔 캡쳐 과정을 수행할 필요가 없으므로 스캔 캡쳐를 위한 클락에 소요되는 시간을 줄일 수 있다. (2) If the (k-1) -th input pattern is a prediction pattern appearing when the scan pattern is captured after the (k-1) -th scan pattern used in the actual scan test, the scan test time minimizing apparatus scans the Since there is no need to perform a separate scan capture process after loading into the chain, the time required for the clock for scan capture can be reduced.

스캔 테스트 시간 최소화 장치는 k-1 번째 입력 패턴을 로드 한 후, 선택된 스캔 섹션(k 번째 스캔 섹션)을 증감된 쉬프트 주파수로 스캔 섹션에 쉬프트-인 한다(S1110). 만약 최적의 쉬프트 주파수를 찾기 위해 선택된 스캔 섹션 K가 도 5의 스캔 섹션(530,540,550)과 같이 스캔 패턴의 일부인 경우 상기 스캔 섹션 K를 제외한 스캔 패턴부분은, 명목 쉬프트 주파수 이하 또는 이들 섹션 중 본 발명에 따른 방법을 통해 최적의 쉬프트 주파수가 이미 결정된 경우에는 해당하는 최적의 쉬프트 주파수 이하와 같이 기 설정된 쉬프트 주파수를 사용하여 쉬프트-인 할 수 있다. 그리고 스캔 체인 상에 저장된 값을 동시에 쉬프트-아웃 한다. 상기 기 설정된 쉬프트 주파수는 명목 쉬프트 주파수 이상이 되거나 장치마다 미리 설정된 값 또는 사용자가 설정한 값이 될 수 있는 등 실시 예에 따라 다양하게 변경 가능하며 위 예에 반드시 한정되는 것은 아니다. The scan test time minimizing device shifts the selected scan section (kth scan section) to the scan section with the increased shift frequency after loading the (k-1) th input pattern at step S1110. If the selected scan section K to find the optimal shift frequency is part of the scan pattern, such as the scan sections 530, 540, 550 of FIG. 5, the scan pattern portion, excluding the scan section K, When the optimum shift frequency is already determined through the method according to the present invention, shift-in can be performed using a predetermined shift frequency equal to or less than the optimum shift frequency. And simultaneously shift-out the values stored on the scan chain. The predetermined shift frequency may be a nominal shift frequency or more, a preset value for each device, or a value set by a user, and the present invention is not limited thereto.

예를 들어, k-1 번째 입력 패턴이 실제 스캔 테스트에 사용되는 k-1번째 스캔 패턴 그 자체인 경우에, 쉬프트-아웃 되는 출력 패턴은 상기 k-1 번째 스캔 패턴을 로드한 상태에서 스캔 캡쳐한 결과이다. k-1 번째 입력 패턴이 실제 스캔 테스트에 사용되는 k-1 번째 스캔 패턴에 의한 스캔 캡쳐에 대한 예측 패턴인 경우에, 출력 패턴은 스캔 캡쳐 없이 스캔 체인에서 출력되는 결과이다. For example, when the (k-1) -th input pattern is the (k-1) -th scan pattern itself used in the actual scan test, the shift- This is a result. When the (k-1) -th input pattern is a predictive pattern for scan capture by the (k-1) -th scan pattern used in the actual scan test, the output pattern is a result of being output from the scan chain without scan capture.

스캔 테스트 시간 최소화 장치는 출력 패턴이 예측 패턴과 동일한지 비교한다(S1120). 출력 패턴과 예측 패턴이 동일하지 않으면(S1120), 스캔 테스트 시간 최소화 장치는 k 번째 스캔 섹션을 현 쉬프트 주파수로 스캔 섹션에 정상적으로 쉬프트-인 할 수 없다고 파악한다(S1170).The scan test time minimizing apparatus compares the output pattern with the predicted pattern (S1120). If the output pattern and the predicted pattern are not the same (S1120), the scan test time minimizing device determines that the kth scan section can not be normally shifted to the scan section at the current shift frequency (S1170).

출력 패턴과 예측 패턴이 동일하면, 스캔 테스트 시간 최소화 장치는 현재 스캔 체인 상에 위치한 k 번째 스캔 섹션을 그대로 쉬프트-아웃 하거나, k 번째 스캔 섹션이 로드된 상태에서 스캔 캡쳐 후 그 결과를 쉬프트-아웃 한다(S1140). 스캔 캡쳐를 수행하는 경우 실제 스캔 테스트 동작 과정을 반영할 수 있는 장점이 있다. 스캔 캡쳐 없이 k 번째 스캔 섹션을 그대로 쉬프트-아웃 하는 경우는 스캔 캡쳐에 소요되는 시간을 줄일 수 있는 장점이 있다.If the output pattern and the predicted pattern are the same, the scan test time minimizing device shifts out the kth scan section existing on the current scan chain as it is, or shifts the result after the scan capture in the state where the kth scan section is loaded (S1140). In case of performing scan capture, there is an advantage that it can reflect the actual scan test operation process. If the kth scan section is directly shifted out without scan capture, the time required for scan capture can be reduced.

스캔 테스트 시간 최소화 장치는 쉬프트-아웃된 출력 패턴과 예측 패턴을 비교한다(S1150). 예를 들어, k 번째 스캔 섹션이 그대로 쉬프트-아웃 되는 경우에 스캔 테스트 장치는 출력패턴에 k 번째 스캔 섹션이 그대로 있는지 비교한다. k번째 스캔 섹션에 대한 스캔 캡쳐 결과를 출력하는 경우에 스캔 테스트 시간 최소화 장치는 출력패턴과 k 번째 스캔 섹션에 대해 미리 알고 있는 예측된 스캔 캡쳐 패턴과 서로 동일한지 비교한다.The scan test time minimizing device compares the shift-out output pattern with the prediction pattern (S1150). For example, when the kth scan section is shifted out as it is, the scan test apparatus compares the output pattern with the kth scan section. In the case of outputting the scan capture result for the kth scan section, the scan test time minimization device compares the output pattern with the predicted scan capture pattern that is known in advance for the kth scan section.

스캔 테스트 시간 최소화 장치는 k 번째 스캔 섹션에 대한 출력 패턴과 예측 패턴이 동일하면, 현 쉬프트 주파수로 스캔 섹션을 스캔 체인에 정상적으로 쉬프트-인이 가능하다고 파악한다(S1160).If the output pattern and the predicted pattern for the kth scan section are the same, the scan test time minimizing apparatus determines that the scan section can be normally shifted to the scan chain at the current shift frequency (S1160).

도 12는 본 발명에 따른 스캔 테스트 시간 최소화 방법의 다른 일 예를 도시한 흐름도이다.12 is a flowchart illustrating another example of a scan test time minimization method according to the present invention.

공정(process)의 종류 및 상태에 따라 서로 다른 웨이퍼 상의 IC 칩들 간 또는 동일 웨이퍼 상의 IC 칩들 간의 공정 차이(process variation)가 발생할 수 있으며, 이는 IC 칩의 동작 주파수 및 전력 소모 등에 많은 영향을 미칠 수 있다. 특히 미세공정 및 저전력 공정에서는 더 많은 영향을 미친다. 도 12는 최적의 스캔 쉬프트 주파수를 찾는데 이를 반영하기 위한 하나의 예이다.Process variations between IC chips on different wafers or between IC chips on the same wafer may occur depending on the type and state of the process and this may have a great influence on the operation frequency and power consumption of the IC chip have. Especially in micro and low power processes. FIG. 12 is an example for reflecting an optimal scan shift frequency.

도 12를 참조하면, 스캔 테스트 시간 최소화 장치는 복수의 칩에 대해 앞서 살핀 스캔 섹션별 최적의 주파수를 결정하는 과정을 수행한다(S1200). 여기서 복수의 칩은 동일 웨이퍼 상의 칩이거나 서로 다른 웨이퍼 상의 칩일 수 있으며, 미리 양품으로 검사된 칩이 바람직하다. Referring to FIG. 12, in step S1200, the apparatus for minimizing scan test time determines a frequency optimum for each scan section for a plurality of chips. The plurality of chips may be chips on the same wafer or chips on different wafers, and a chip that has been inspected with good products in advance is preferable.

스캔 테스트 시간 최소화 장치는 어느 한 스캔 섹션에 대해 복수의 칩을 통해 파악한 복수의 최적의 쉬프트 주파수들 중 가장 낮은 쉬프트 주파수 이하를 해당 스캔 섹션의 최적의 쉬프트 주파수로 결정할 수 있으며(S1210), 이를 각 스캔 섹션에 대해 수행할 수 있다. The scan test time minimizing apparatus can determine the optimal shift frequency of the scan section to be less than or equal to the lowest shift frequency among a plurality of optimal shift frequencies obtained through a plurality of chips for one scan section (S1210) Can be performed on the scan section.

예를 들어, 제1 칩의 k 번째 스캔 섹션의 쉬프트 주파수가 A이고, 제2 칩의 k 번째 스캔 섹션의 쉬프트 주파수가 B라고 하자. 쉬프트 주파수 A가 쉬프트 주파수 B 보다 작다면, 스캔 테스트 장치는 k 번째 스캔 섹션의 쉬프트 주파수로 A 또는 그 이하를 선택한다.For example, assume that the shift frequency of the kth scan section of the first chip is A and the shift frequency of the kth scan section of the second chip is B. If the shift frequency A is smaller than the shift frequency B, the scan test apparatus selects A or less at the shift frequency of the kth scan section.

도 12의 각 단계는 스캔 패턴 집합과 복수의 칩에 대해 각 스캔 섹션별로 파악된 쉬프트 주파수 정보를 사용하여 스캔 테스트 시간 최소화 장치뿐만 아니라 별도의 장치에서 수행될 수도 있다. Each step of FIG. 12 may be performed not only in a scan test time minimizing apparatus but also in a separate apparatus using a set of scan patterns and shift frequency information obtained for each scan section for a plurality of chips.

이상에서 살펴본 방법 외에 스캔 테스트 시간 최소화 장치는 스캔 섹션별로 찾은 최적의 쉬프트 주파수를 이용하여 번인(burn-in) 테스트를 수행할 수 있다. 여기서 번인 테스트란 높은 전압과 고온을 IC 칩에 가하여 노후화(aging)를 가속시킴으로써 초기 불량 IC 칩을 발견하는 것이다. 일반적으로 100℃가 넘는 고온 환경에서 수십 시간 이상을 번인 테스트한다.In addition to the method described above, the apparatus for minimizing the scan test time can perform a burn-in test using the optimal shift frequency found for each scan section. Here, the burn-in test is to find an initially defective IC chip by accelerating aging by applying high voltage and high temperature to the IC chip. Generally, burn-in test is conducted for several hours or more in a high-temperature environment exceeding 100 ° C.

스캔 테스트 시간 최소화 장치는 번인 테스트 동안 스캔 패턴을 이용하여 스캔 테스트를 수행할 수 있다. 기능 모드보다 스캔 모드에서 보다 많은 스위칭 동작이 발생하며, 스캔 쉬프트 주파수가 높아지면 IC 칩의 전력 소모는 이에 비례하여 많아진다. 그리고 전력 소모에 비례하여 IC 칩의 발열이 높아지게 되므로, IC 칩의 노후화가 더욱 가속된다. 따라서 스캔 테스트 시간 최소화 장치는 번인 테스트 시 노후화를 가속시켜 번인 테스트 시간을 줄일 수 있도록 앞서 살핀 각 스캔 섹션에 할당가능한 최대 쉬프트 주파수를 사용할 수 있다. 또한 이러한 번인 테스트를 수행할 수 있는 테스트 장치를 번인 테스트 장치라 부른다. Minimizing the scan test time The device can perform the scan test using the scan pattern during the burn-in test. More switching operation occurs in the scan mode than in the functional mode. As the scan shift frequency increases, the power consumption of the IC chip increases proportionally. Further, since the heat generation of the IC chip is increased in proportion to the power consumption, the deterioration of the IC chip is further accelerated. Therefore, the scan test time minimization device can use the maximum shift frequency that can be assigned to each scan section to reduce the burn-in test time by accelerating aging in the burn-in test. Also, a test apparatus capable of performing such a burn-in test is called a burn-in test apparatus.

도 13은 본 발명에 따른 스캔 테스트 장치의 일 실시예의 구성을 도시한 도면이다.13 is a diagram showing the configuration of an embodiment of a scan test apparatus according to the present invention.

도 13을 참조하면, 스캔 테스트 시간 최소화 장치는 조건 설정부(1300), 패턴 분할부(1305), 패턴 입력부(1310), 패턴 비교부(1320) 및 주파수 파악부(1330)를 포함한다. 조건 설정부(1300)는 다시 주파수 증감부(1302), 공급전압 증감부(1304), 온도 증감부(1306) 등을 포함한다.13, the scan test time minimizing apparatus includes a condition setting unit 1300, a pattern dividing unit 1305, a pattern input unit 1310, a pattern comparing unit 1320, and a frequency determining unit 1330. The condition setting unit 1300 further includes a frequency adjuster 1302, a supply voltage adjuster 1304, a temperature adjuster 1306, and the like.

먼저, 조건 설정부(1300)는 스캔 섹션별 최적의 쉬프트 주파수를 찾기 위한 각종 조건을 설정한다. 구체적으로 주파수 증감부(1302)는 쉬프트 주파수를 증감하고, 공급전압 증감부(1304)는 칩에 공급되는 전압을 증감하고, 온도 증감부(1306)는 테스트 환경의 주변 온도를 증감한다. 조건 설정부(1300)는 공급 전압, 주변 온도 등의 조건을 설정하며 쉬프트 주파수를 증감시킨다.First, the condition setting unit 1300 sets various conditions for finding the optimal shift frequency for each scan section. Specifically, the frequency adjuster 1302 increases or decreases the shift frequency, the supply voltage adjuster 1304 increases or decreases the voltage supplied to the chip, and the temperature adjuster 1306 increases or decreases the ambient temperature of the test environment. The condition setting unit 1300 sets conditions such as the supply voltage and the ambient temperature and increases or decreases the shift frequency.

패턴 분할부(1305)는 하나 이상의 스캔 패턴을 복수의 스캔 섹션으로 분할한다. The pattern dividing unit 1305 divides one or more scan patterns into a plurality of scan sections.

패턴 입력부(1310)는 조건 설정부(1300)에서 설정된 조건에서 스캔 섹션을 스캔 체인에 쉬프트-인 한다. 보다 구체적으로 패턴 입력부(1310)는 최적의 스캔 쉬프트 주파수를 찾고자 하는 스캔 섹션의 앞과 뒤에 각각 위치한 스캔 패턴을 상기 스캔 섹션과 함께 순차적으로 스캔 체인에 쉬프트-인한다. The pattern input unit 1310 shifts the scan section to the scan chain under the condition set by the condition setting unit 1300. [ More specifically, the pattern input unit 1310 sequentially shifts the scan patterns, which are located before and after the scan section to which the optimum scan shift frequency is to be sought, along with the scan section to the scan chain.

패턴 비교부(1320)는 패턴 입력부(1310)에 의한 쉬프트-인과 동시에 쉬프트-아웃되는 출력 패턴이 예측 패턴과 동일한지 파악한다. 조건 설정부(1300)에 의한 쉬프트 주파수의 증감에 따라 출력 패턴과 예측 패턴이 상이해지는 지점이 존재한다. The pattern comparison unit 1320 determines whether the output pattern shifted out simultaneously with the shift-in by the pattern input unit 1310 is the same as the predicted pattern. There is a point at which the output pattern and the predicted pattern become different from each other as the shift frequency is increased or decreased by the condition setting unit 1300. [

주파수 파악부(1330)는 상기 패턴 비교부의 결과를 이용하여 상기 출력 패턴과 상기 예측 패턴이 상이해질 때의 쉬프트 주파수보다 낮은 주파수를 스캔 섹션의 가능한 쉬프트 주파수로 파악하며, 파악된 쉬프트 주파수 정보를 컴퓨터로 읽을 수 있는 기록매체에 저장할 수 있다. 이와 같이 파악된 쉬프트 주파수를 사용하여 상기 스캔 섹션의 최적의 쉬프트 주파수를 결정할 수 있다. Using the result of the pattern comparison unit 1330, the frequency determination unit 1330 determines a frequency lower than the shift frequency when the output pattern and the prediction pattern are different from each other as a possible shift frequency of the scan section, And the like. The shift frequency thus determined can be used to determine the optimal shift frequency of the scan section.

도 14는 본 발명에 따른 스캔 테스트 시간 최소화를 위한 스캔 패턴의 재배치 방법의 일 예를 도시한 도면이다.FIG. 14 is a diagram illustrating an example of a method of relocating a scan pattern for minimizing a scan test time according to the present invention.

도 14를 참조하면, 스캔 테스트를 위한 스캔 패턴 집합상의 스캔 패턴은 일정한 순서를 가진다. 그러나 이러한 스캔 패턴의 순서는 고정적인 것이 아니라 스캔 섹션별로 보다 높은 쉬프트 주파수를 할당하여 전체 스캔 테스트 시간을 줄이기 위하여 재배치될 수 있다. 예를 들어, 도 14에서와 같이 원본 스캔 패턴 집합상의 2번째 스캔 패턴과 3번째 스캔 패턴의 순서를 바꿀 수 있다. 이에 따라 예측 출력 스캔 패턴의 순서도 바뀐다. Referring to FIG. 14, scan patterns on a set of scan patterns for a scan test have a predetermined order. However, the order of these scan patterns is not fixed, but can be rearranged to allocate a higher shift frequency for each scan section to reduce the entire scan test time. For example, as shown in FIG. 14, the order of the second scan pattern and the third scan pattern on the original set of scan patterns can be changed. Accordingly, the order of the predicted output scan patterns is also changed.

스캔 체인에 쉬프트되는 스캔 패턴들의 순서를 재배치하는 경우, 스캔 쉬프팅에 의해 IC 칩 상에서 스위칭되는 부분 및 스위칭 동작 횟수가 변경될 수 있으며, 이에 따라 전력 소모가 변경되므로 스캔 패턴(또는 스캔 섹션)에 할당할 수 있는 쉬프트 주파수가 높아질 수 있다. 따라서 이러한 성질을 이용하여 스캔 패턴 재배치 후 앞서 살핀 본 발명의 실시 예를 이용하여 스캔 섹션별 최적의 쉬프트 주파수를 찾거나 결정하여 전체적인 스캔 테스트 시간을 줄일 수 있다. In the case of rearranging the order of the scan patterns shifted to the scan chain, the portion to be switched on the IC chip and the number of switching operations can be changed by the scan shifting, so that the power consumption is changed, The shift frequency that can be achieved can be increased. Accordingly, by using the above-described property, the optimal scan frequency for each scan section can be found or determined by using the embodiment of the present invention, which is described above after rearranging the scan pattern, thereby reducing the overall scan test time.

스캔 패턴들의 재배치 방법으로, 한 번 이상 원본 스캔 패턴 집합상의 스캔 패턴들을 임의 재배치하고, 각각의 재배치된 스캔 패턴 집합에 대해 앞서 살핀 실시 예에 따라 최적의 쉬프트 주파수를 파악하여 스캔 테스트 시간이 가장 적게 소요되는 것을 스캔 패턴의 배치로 결정하거나, 스캔 패턴간 비트 패턴 차이가 가장 적은 스캔 패턴을 서로 이웃하게 배치하는 등 다양한 방법이 있다. In the method of rearranging scan patterns, the scan patterns on the original set of scan patterns are arbitrarily rearranged one or more times, and the optimum shift frequency is grasped according to the preceding embodiment for each set of rearranged scan patterns, There are various methods such as determining the required amount of the scan pattern or arranging the scan patterns having the smallest bit pattern difference between the scan patterns next to each other.

스캔 패턴 재배치의 또 다른 예로서, K(1 이상 정수) 번째 스캔 패턴 다음에 순서가 결정되지 아니한 스캔 패턴들을 순차적으로 대입하여 앞서 살핀 최적의 쉬프트 주파수를 찾는 방법을 통해 가장 높은 쉬프트 주파수를 가질 수 있는 스캔 패턴을 K 번째 스캔 패턴의 다음 패턴으로 결정할 수 있다. As another example of the scan pattern rearrangement, it is possible to have the highest shift frequency by sequentially substituting the scan patterns that are not sequenced after K (one or more integer) scan patterns and sequentially searching for the optimal shift frequency It is possible to determine the scan pattern as the next pattern of the Kth scan pattern.

스캔 패턴의 순서를 재배치하는 동작의 일부 또는 전체는 IC 칩 테스트 장치에 구비된 프로세서와 같은 하드웨어와 펌웨어 또는 소프트웨어에 의해 수행되거나 또는 컴퓨터와 같은 별도의 다른 장치에서 수행될 수 있다.Some or all of the operations of rearranging the order of the scan patterns may be performed by hardware and firmware such as a processor included in the IC chip testing apparatus, software, or may be performed in another separate apparatus such as a computer.

또한 최적의 스캔 패턴 배치를 찾는데 있어서 많은 시간이 소요될 수 있는 경우, 최적의 스캔 패턴 배치를 찾기 위해 최대 시도할 수 있는 스캔 패턴 재배치 횟수 또는 소요 시간 등의 제약사항을 둘 수 있다. Also, when it takes a long time to find the optimal scan pattern arrangement, constraints such as the number of scan pattern relocation times or the time required to try to find the optimal scan pattern arrangement can be set.

본 발명 및 본 발명을 수행하여 얻은 스캔 쉬프트 주파수 정보 또는 상기 정보가 반영된 스캔 섹션 정보 또한 컴퓨터로 읽을 수 있는 기록매체에 컴퓨터가 읽을 수 있는 코드 또는 데이터로서 구현하는 것이 가능하다. 컴퓨터가 읽을 수 있는 기록매체는 컴퓨터 시스템에 의하여 읽혀질 수 있는 데이터가 저장되는 모든 종류의 기록장치를 포함한다. 컴퓨터가 읽을 수 있는 기록매체의 예로는 다양한 형태의 ROM, RAM, FLASH 메모리, CD-ROM, 자기 테이프, 플로피디스크, 하드디스크, 광데이터 저장장치 등이 있다. 또한 컴퓨터가 읽을 수 있는 기록매체는 네트워크로 연결된 컴퓨터 시스템에 분산되어 분산방식으로 컴퓨터가 읽을 수 있는 코드가 저장되고 실행될 수 있다.The scan shift frequency information obtained by carrying out the present invention and the present invention or the scan section information reflecting the information may also be embodied as computer readable codes or data on a computer readable recording medium. A computer-readable recording medium includes all kinds of recording apparatuses in which data that can be read by a computer system is stored. Examples of the computer-readable recording medium include various types of ROM, RAM, FLASH memory, CD-ROM, magnetic tape, floppy disk, hard disk, optical data storage, and the like. The computer-readable recording medium may also be distributed over a networked computer system so that computer readable code can be stored and executed in a distributed manner.

이제까지 본 발명에 대하여 그 바람직한 실시예들을 중심으로 살펴보았다. 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자는 본 발명이 본 발명의 본질적인 특성에서 벗어나지 않는 범위에서 변형된 형태로 구현될 수 있음을 이해할 수 있을 것이다. 그러므로 개시된 실시예들은 한정적인 관점이 아니라 설명적인 관점에서 고려되어야 한다. 본 발명의 범위는 전술한 설명이 아니라 특허청구범위에 나타나 있으며, 그와 동등한 범위 내에 있는 모든 차이점은 본 발명에 포함된 것으로 해석되어야 할 것이다.
The present invention has been described with reference to the preferred embodiments. It will be understood by those skilled in the art that various changes in form and details may be made therein without departing from the spirit and scope of the invention as defined by the appended claims. Therefore, the disclosed embodiments should be considered in an illustrative rather than a restrictive sense. The scope of the present invention is defined by the appended claims rather than by the foregoing description, and all differences within the scope of equivalents thereof should be construed as being included in the present invention.

Claims (27)

적어도 둘 이상의 스캔 섹션의 각각에 대하여, 스캔 체인에 스캔 섹션을 쉬프트-인 또는 쉬프트-아웃 하는 쉬프트 주파수의 증감을 통해 스캔 체인의 출력 패턴이 예측 패턴과 상이하거나 동일한 쉬프트 주파수를 파악하는 단계;를 포함하고,
상기 쉬프트 주파수를 파악하는 단계는, 스캔 섹션과 스캔 섹션의 앞에 위치한 입력 패턴을 스캔 체인에 서로 다른 쉬프트 주파수로 쉬프트-인 하여 출력 패턴과 예측 패턴을 비교하는 단계;를 포함하는 것을 특징으로 하는 스캔 테스트 시간 최소화 방법.
Determining, for each of at least two scan sections, a shift frequency that is different or identical to an output pattern of the scan chain by increasing or decreasing the shift frequency of shifting the scan section in the scan chain; Including,
Wherein the step of determining the shift frequency comprises shifting the input pattern located in front of the scan section and the scan section to a different shift frequency in the scan chain to compare the output pattern with the predicted pattern. How to minimize test time.
제 1항에 있어서, 상기 쉬프트 주파수를 파악하는 단계는,
쉬프트 주파수를 증감하는 단계;
상기 증감된 쉬프트 주파수를 이용하여 스캔 체인에 상기 스캔 섹션을 입력하는 단계;
상기 스캔 체인의 출력 패턴이 예측 패턴과 동일하면 상기 쉬프트 주파수를 증가하거나, 상기 스캔 체인의 출력 패턴이 예측 패턴과 상이하면 상기 쉬프트 주파수를 감소하는 단계; 및
상기 출력 패턴이 상기 예측 패턴과 동일하거나 상이할 때의 쉬프트 주파수를 파악하는 단계;를 포함하는 것을 특징으로 하는 스캔 테스트 시간 최소화 방법.
The method of claim 1, wherein the step of determining the shift frequency comprises:
Increasing or decreasing the shift frequency;
Inputting the scan section into a scan chain using the increased and decreased shift frequencies;
Increasing the shift frequency if the output pattern of the scan chain is the same as the predicted pattern or decreasing the shift frequency if the output pattern of the scan chain is different from the predicted pattern; And
And estimating a shift frequency when the output pattern is the same as or different from the predicted pattern.
제 1항에 있어서, 상기 쉬프트 주파수를 파악하는 단계는,
스캔 섹션의 앞에 위치한 제1 입력 패턴, 스캔 섹션, 스캔 섹션의 뒤에 위치한 제2 입력 패턴을 순차적으로 스캔 체인에 입력하고, 스캔 체인의 출력 패턴이 예측 패턴과 동일하거나 상이할 때의 쉬프트 주파수를 파악하는 단계;를 포함하는 것을 특징으로 하는 스캔 테스트 시간 최소화 방법.
The method of claim 1, wherein the step of determining the shift frequency comprises:
The first input pattern located in front of the scan section, the scan section, and the second input pattern located after the scan section are sequentially input to the scan chain, and the shift frequency when the output pattern of the scan chain is the same as or different from the predicted pattern is grasped The method comprising the steps of:
제 3항에 있어서,
상기 제1 입력 패턴에 속한 스캔 섹션의 쉬프트 주파수는 명목 쉬프트 주파수 이하 또는 상기 제1 입력 패턴에 속한 스캔 섹션에 대해 기 결정된 쉬프트 주파수 이하이고,
상기 제2 입력 패턴에 속한 스캔 섹션의 쉬프트 주파수는 명목 쉬프트 주파수 이하 또는 상기 제2 입력 패턴에 속한 스캔 섹션에 대해 기 결정된 쉬프트 주파수 이하이고,
쉬프트 주파수 결정 대상 스캔 섹션의 쉬프트 주파수는 상기 출력 패턴과 상기 예측 패턴이 상이할 때까지 증감하는 것을 특징으로 하는 스캔 테스트 시간 최소화 방법.
The method of claim 3,
Wherein the shift frequency of the scan section belonging to the first input pattern is below a nominal shift frequency or below a predetermined shift frequency for a scan section belonging to the first input pattern,
Wherein the shift frequency of the scan section belonging to the second input pattern is below a nominal shift frequency or below a predetermined shift frequency for a scan section belonging to the second input pattern,
Wherein the shift frequency of the scanning section to be shifted frequency is increased or decreased until the output pattern is different from the prediction pattern.
제 3항에 있어서,
상기 제1 입력 패턴은 실제 테스트에서 스캔 섹션의 앞에 위치하는 비트 패턴 또는 상기 비트 패턴이 스캔 체인에 로드된 상태에서 스캔 캡쳐를 수행할 경우 얻게 되는 결과에 대한 예측 패턴이고,
상기 제2 입력 패턴은 실제 테스트에서 스캔 섹션의 뒤에 위치하는 비트 패턴 또는 더미 패턴인 것을 특징으로 하는 스캔 테스트 시간 최소화 방법.
The method of claim 3,
The first input pattern is a bit pattern positioned before a scan section in an actual test or a prediction pattern for a result obtained when performing scan capturing in a state where the bit pattern is loaded in a scan chain,
Wherein the second input pattern is a bit pattern or a dummy pattern positioned after a scan section in an actual test.
제 3항에 있어서, 상기 쉬프트 주파수를 파악하는 단계는,
상기 제1 입력 패턴에 대한 스캔 체인의 출력 패턴이 제1 예측 패턴과 동일하거나 상이한 시점 또는 상기 스캔 섹션에 대한 스캔 체인의 출력 패턴이 제2 예측 패턴과 동일하거나 상이한 시점의 쉬프트 주파수를 파악하는 단계;를 포함하는 것을 특징으로 하는 스캔 테스트 시간 최소화 방법.
4. The method of claim 3, wherein the step of determining the shift frequency comprises:
Determining a shift frequency at a time point when the output pattern of the scan chain for the first input pattern is the same as or different from the first predicted pattern or when the output pattern of the scan chain for the scan section is equal to or different from the second predicted pattern The method comprising the steps of:
제 6항에 있어서,
상기 제2 예측 패턴은 상기 스캔 섹션이 상기 스캔 체인에 로드된 상태에서 수행한 스캔 캡쳐를 수행할 경우 얻게 되는 결과에 대한 예측 패턴인 것을 특징으로 하는 스캔 테스트 시간 최소화 방법.
The method according to claim 6,
Wherein the second prediction pattern is a prediction pattern for a result obtained when performing scan capturing performed while the scan section is loaded in the scan chain.
제 1항에 있어서, 상기 쉬프트 주파수를 파악하는 단계는,
쉬프트 주파수의 증감과 함께 공급 전압 또는 주변 온도의 증감을 조합하여, 각 스캔 섹션에 대해 출력 패턴과 예측 패턴이 동일하거나 상이한 시점의 쉬프트 주파수를 파악하는 단계;를 포함하는 것을 특징으로 하는 스캔 테스트 시간 최소화 방법.
The method of claim 1, wherein the step of determining the shift frequency comprises:
And combining the increase or decrease of the shift frequency and the increase or decrease of the supply voltage or the ambient temperature to determine a shift frequency at a time point when the output pattern and the predicted pattern are the same or different for each scan section How to minimize.
적어도 둘 이상의 스캔 섹션 각각에 대하여, 스캔 섹션을 스캔 체인에 쉬프트-인 또는 쉬프트-아웃 하는 서로 다른 쉬프트 주파수를 파악하거나 결정하는 단계;를 포함하고,
각 스캔 섹션에 대해 파악되거나 결정된 쉬프트 주파수는 스캔 체인의 출력 패턴이 예측 패턴과 상이해지는 쉬프트 주파수보다 작은 값이고,
상기 쉬프트 주파수를 파악하거나 결정하는 단계는, 스캔 섹션과 스캔 섹션의 앞에 위치한 입력 패턴을 스캔 체인에 서로 다른 쉬프트 주파수로 쉬프트-인 하여 출력 패턴과 예측 패턴을 비교하여 파악된 쉬프트 주파수를 이용하여 스캔 섹션의 쉬프트 주파수를 파악하거나 결정하는 것을 특징으로 하는 스캔 테스트 시간 최소화 방법.
Determining or determining, for each of the at least two scan sections, the different shift frequencies that shift-in or shift-out the scan section into the scan chain,
The shift frequency determined or determined for each scan section is a value smaller than the shift frequency at which the output pattern of the scan chain is different from the predicted pattern,
The step of determining or determining the shift frequency may include shifting the input pattern located in front of the scan section and the scan section to a different shift frequency in the scan chain to compare the output pattern and the predicted pattern, Wherein the shift frequency of the section is determined or determined.
제 9항에 있어서,
스캔 섹션의 앞에 위치한 제1 입력 패턴, 스캔 섹션, 스캔 섹션의 뒤에 위치한 제2 입력 패턴을 스캔 체인에 순차적으로 입력하는 과정을 반복 수행하되, 상기 제1 입력 패턴 및 상기 제2 입력 패턴은 기 설정된 쉬프트 주파수로 상기 스캔 체인에 입력하고, 상기 스캔 섹션은 반복 수행시마다 증감된 쉬프트 주파수로 상기 스캔 체인에 입력하는 단계;를 더 포함하고,
상기 파악하거나 결정하는 단계는, 상기 스캔 체인의 출력 패턴이 예측 패턴과 상이한 시점의 쉬프트 주파수보다 작은 쉬프트 주파수를 상기 스캔 섹션의 쉬프트 주파수로 파악하거나 결정하는 단계;를 포함하는 것을 특징으로 하는 스캔 테스트 시간 최소화 방법.
10. The method of claim 9,
A first input pattern, a scan section, and a second input pattern positioned after the scan section are sequentially input to the scan chain, wherein the first input pattern and the second input pattern are set in advance Inputting the scan signal into the scan chain at a shift frequency, and inputting the scan section to the scan chain at a shift frequency that is increased at each iteration,
And determining or determining the shift frequency as a shift frequency of the scan section, wherein the shift frequency is smaller than a shift frequency of a point at which the output pattern of the scan chain is different from the predicted pattern. How to minimize time.
제 10항에 있어서,
상기 출력 패턴은 상기 제1 입력 패턴 및 상기 스캔 섹션 중 적어도 하나가 로드된 상태에서의 스캔 캡쳐의 결과 패턴이 쉬프트-아웃되어 언로드된 것을 특징으로 하는 스캔 테스트 시간 최소화 방법.
11. The method of claim 10,
Wherein the output pattern is shifted out of a result pattern of scan capture in a state where at least one of the first input pattern and the scan section is loaded, and is unloaded.
제 2항 또는 제 10항에 있어서, 상기 스캔 체인에 입력하는 단계는,
반복 수행시마다 스캔 섹션을 쉬프트 주파수, 공급 전압, 외부 온도 중 어느 하나 이상의 증감을 반영한 상태에서 상기 스캔 체인에 입력하는 단계;를 포함하는 것을 특징으로 하는 스캔 테스트 시간 최소화 방법.
The method of claim 2 or 10, wherein the step of inputting to the scan chain comprises:
And inputting the scan section into the scan chain in a state in which the scan section reflects an increase or decrease of at least one of a shift frequency, a supply voltage, and an external temperature.
제 1항 또는 제 9항에 있어서,
복수의 칩에 대해 각 스캔 섹션별 쉬프트 주파수를 파악하거나 결정하는 단계; 및
복수의 칩에 대해 각 스캔 섹션별 결정된 복수의 쉬프트 주파수들 중 가장 작은 값 이하를 해당 스캔 섹션의 쉬프트 주파수로 파악하거나 결정하는 단계;를 더 포함하는 것을 특징으로 하는 스캔 테스트 시간 최소화 방법.
10. The method of claim 1 or 9,
Determining or determining a shift frequency for each scan section for a plurality of chips; And
And determining or determining a shift frequency of a corresponding scan section to a value less than a smallest value among a plurality of shift frequencies determined for each scan section for a plurality of chips.
제 1항 또는 제 9항에 있어서,
스캔 쉬프트 주파수 최대 변경 횟수, 스캔 쉬프트 주파수 최대 개수, 스캔 쉬프트 주파수 변경에 따른 지연시간 중 적어도 하나 이상의 제약 조건을 기초로 상기 적어도 둘 이상의 스캔 섹션을 적어도 하나 이상의 섹션 그룹으로 묶는 단계; 및
상기 섹션 그룹에 속한 각 스캔 섹션의 결정된 쉬프트 주파수들 중 가장 작은 값 이하를 상기 섹션 그룹의 쉬프트 주파수로 결정하는 단계;를 포함하는 것을 특징으로 하는 스캔 테스트 시간 최소화 방법.
10. The method of claim 1 or 9,
Grouping the at least two scan sections into at least one or more section groups on the basis of at least one constraint among a maximum number of scan shift frequency changes, a maximum number of scan shift frequencies, and a delay time corresponding to a scan shift frequency change; And
And determining a shift frequency of the section group to be less than or equal to a smallest shift frequency among the shift frequencies determined for each scan section belonging to the section group.
제 1항 또는 제 9항에 있어서,
스캔 섹션에 대해 파악된 쉬프트 주파수를 사용하여 테스트 칩의 온도를 높이면서 번인 테스트를 수행하는 단계;를 더 포함하는 것을 특징으로 하는 스캔 테스트 시간 최소화 방법.
10. The method of claim 1 or 9,
And performing a burn-in test while increasing the temperature of the test chip using the shift frequency determined for the scan section.
제 1항 또는 제 9항에 있어서,
스캔 섹션이 포함된 스캔 패턴 집합상의 패턴들의 순서를 바꾸어 재배치하는 단계;를 더 포함하는 것을 특징으로 하는 스캔 테스트 시간 최소화 방법.
10. The method of claim 1 or 9,
And rearranging the patterns of the set of patterns on the set of scan patterns including the scan section.
제 1항 또는 제 9항에 있어서,
스캔 테스트 장비에 의해 증감될 수 있는 일정 크기의 쉬프트 주파수로 나누어지는 쉬프트 주파수를 스캔 섹션의 쉬프트 주파수로 결정하는 단계;를 더 포함하는 것을 특징으로 하는 스캔 테스트 시간 최소화 방법.
10. The method of claim 1 or 9,
Determining a shift frequency of the scan section divided by a shift frequency of a predetermined size that can be increased or decreased by the scan test equipment.
스캔 섹션을 스캔 체인에 쉬프트-인 또는 쉬프트-아웃 하는 쉬프트 주파수를 증감하는 주파수 증감부;
하나 이상의 스캔 섹션을 포함하는 스캔 패턴을 스캔 체인에 입력하는 패턴 입력부; 및
상기 스캔 체인의 출력 패턴이 예측 패턴과 동일한지 파악하는 패턴 비교부;를 포함하며,
적어도 둘 이상의 스캔 섹션에 대해 각각 파악된 쉬프트 주파수들의 일부 또는 전부는 서로 상이하고,
상기 패턴 입력부는, 스캔 섹션과 스캔 섹션의 앞에 위치한 입력 패턴을 스캔 체인에 서로 다른 쉬프트 주파수로 입력하는 것을 특징으로 하는 스캔 테스트 시간 최소화 장치.
A frequency increasing / decreasing section for increasing / decreasing a shift frequency of shifting the scan section to the scan chain by shift-in or shift-out;
A pattern input unit for inputting a scan pattern including at least one scan section into a scan chain; And
And a pattern comparison unit for determining whether the output pattern of the scan chain is the same as the predicted pattern,
Some or all of the shift frequencies respectively grasped for at least two scan sections are different from each other,
Wherein the pattern input unit inputs the input pattern located in front of the scan section and the scan section at different shift frequencies in the scan chain.
제 18항에 있어서,
하나 이상의 스캔 패턴을 적어도 둘 이상의 스캔 섹션으로 분할하는 패턴 분할부;를 더 포함하는 것을 특징으로 하는 스캔 테스트 시간 최소화 장치.
19. The method of claim 18,
Further comprising a pattern division unit dividing the at least one scan pattern into at least two scan sections.
제 18항에 있어서,
상기 패턴 입력부는 스캔 섹션과 상기 스캔 섹션의 앞에 위치한 입력 패턴을 스캔 체인에 입력하는 과정을 반복 수행하되, 상기 스캔 섹션의 앞에 위치한 입력 패턴을 기 설정된 쉬프트 주파수로 상기 스캔 체인에 입력하고, 상기 스캔 섹션을 반복 수행시마다 증감된 쉬프트 주파수로 상기 스캔 체인에 입력하고,
주파수 파악부는 상기 스캔 체인의 출력 패턴이 예측 패턴과 상이한 시점의 쉬프트 주파수보다 작은 쉬프트 주파수를 상기 스캔 섹션의 가능한 쉬프트 주파수로 파악하는 것을 특징으로 하는 스캔 테스트 시간 최소화 장치.
19. The method of claim 18,
The pattern input unit repeatedly inputs a scan section and an input pattern located in front of the scan section into a scan chain, inputs an input pattern located in front of the scan section into the scan chain at a predetermined shift frequency, Each time a section is repeatedly input to the scan chain at a shifted shift frequency,
Wherein the frequency determining unit determines a shift frequency that is smaller than a shift frequency of a point of time when the output pattern of the scan chain is different from a predicted pattern as a possible shift frequency of the scan section.
제 1항 또는 제 9항에 기재된 방법을 수행하기 위한 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.A computer-readable recording medium having recorded thereon a program for performing the method according to claim 1 or 9. 제 1항 또는 제 9항에 기재된 방법을 수행하여 스캔 섹션에 대해 파악 또는 결정된 쉬프트 주파수 정보 또는 상기 쉬프트 주파수가 반영된 스캔 섹션 정보를 기록한 컴퓨터로 읽을 수 있는 기록매체.A computer-readable recording medium having recorded thereon the shift frequency information, which is determined or determined for a scan section by performing the method according to claim 1 or 9, or the scan section information in which the shift frequency is reflected. 제 1항 또는 제 9항에 있어서,
입력 패턴의 출력 패턴과 예측 패턴을 비교하는 단계; 및
스캔 섹션의 출력 패턴과 예측 패턴을 비교하는 단계;를 포함하고,
입력 패턴에 속한 스캔 패턴에 대한 출력 패턴 또는 상기 출력 패턴의 일부는 스캔 섹션의 쉬프트-인 주파수와 동일한 쉬프트 주파수로 쉬프트-아웃 되고,
스캔 섹션의 출력 패턴은 상기 쉬프트-인 주파수와 다른 쉬프트 주파수로 쉬프트-아웃 되는 것을 특징으로 하는 스캔 테스트 시간 최소화 방법.
10. The method of claim 1 or 9,
Comparing an output pattern of the input pattern with a predicted pattern; And
And comparing the output pattern of the scan section with the predicted pattern,
The output pattern for the scan pattern belonging to the input pattern or a part of the output pattern is shifted out at a shift frequency equal to the shift-in frequency of the scan section,
Wherein the output pattern of the scan section is shifted out to a shift frequency different from the shift-in frequency.
제 23항에 있어서,
입력 패턴의 출력 패턴은 스캔 패턴 또는 스캔 패턴을 스캔 체인에 로드한 후 스캔 캡쳐하여 얻은 패턴이고,
스캔 섹션의 출력 패턴은 스캔 섹션 또는 스캔 섹션을 스캔 체인에 로드한 수 스캔 캡쳐하여 얻은 패턴인 것을 특징으로 하는 스캔 테스트 시간 최소화 방법.
24. The method of claim 23,
The output pattern of the input pattern is a pattern obtained by loading a scan pattern or a scan pattern into a scan chain and then performing a scan capture,
Wherein the output pattern of the scan section is a pattern obtained by capturing a scan scan section or a scan section loaded in a scan chain.
제 18항에 있어서,
상기 패턴 비교부는, 입력 패턴의 출력 패턴과 예측 패턴을 비교하고, 스캔 섹션의 출력 패턴과 예측 패턴을 비교하고,
입력 패턴에 속한 스캔 패턴에 대한 출력 패턴 또는 상기 출력 패턴의 일부는 스캔 섹션의 쉬프트-인 주파수와 동일한 쉬프트 주파수로 쉬프트-아웃 되고,
스캔 섹션에 대한 출력 패턴은 상기 쉬프트-인 주파수와 다른 쉬프트 주파수로 쉬프트-아웃 되는 것을 특징으로 하는 스캔 테스트 시간 최소화 장치.
19. The method of claim 18,
The pattern comparison unit compares the output pattern of the input pattern with the predicted pattern, compares the output pattern of the scan section with the predicted pattern,
The output pattern for the scan pattern belonging to the input pattern or a part of the output pattern is shifted out at a shift frequency equal to the shift-in frequency of the scan section,
Wherein the output pattern for the scan section is shifted out to a shift frequency different from the shift-in frequency.
제 25항에 있어서,
입력 패턴의 출력 패턴은 스캔 패턴 또는 스캔 패턴을 스캔 체인에 로드한 후 스캔 캡쳐하여 얻은 패턴이고,
스캔 섹션의 출력 패턴은 스캔 섹션 또는 스캔 섹션을 스캔 체인에 로드한 후 스캔 캡쳐하여 얻은 패턴인 것을 특징으로 하는 스캔 테스트 시간 최소화 장치.
26. The method of claim 25,
The output pattern of the input pattern is a pattern obtained by loading a scan pattern or a scan pattern into a scan chain and then performing a scan capture,
Wherein the output pattern of the scan section is a pattern obtained by scanning the scan section or the scan section after loading the scan section into the scan chain.
제 1항 또는 제 9항에 기재된 방법을 수행하기 위해 사용되는 입력 패턴 또는 스캔 섹션을 기록한 컴퓨터로 읽을 수 있는 기록매체.
9. A computer-readable recording medium having recorded thereon an input pattern or a scan section used for carrying out the method of claim 1 or 9.
KR1020140159606A 2014-10-29 2014-11-17 Method for minimizing scan test time and apparatus therefor KR101618822B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
PCT/KR2014/011978 WO2016068385A1 (en) 2014-10-29 2014-12-08 Method and device for minimizing scan test time
PCT/KR2015/011374 WO2016068573A1 (en) 2014-10-29 2015-10-27 Chip test time minimizing method and device therefor
TW104135661A TW201625973A (en) 2014-10-29 2015-10-29 Method for minimizing chip test time and apparatus therefor

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20140148443 2014-10-29
KR1020140148443 2014-10-29

Publications (1)

Publication Number Publication Date
KR101618822B1 true KR101618822B1 (en) 2016-05-18

Family

ID=56024822

Family Applications (6)

Application Number Title Priority Date Filing Date
KR1020140159606A KR101618822B1 (en) 2014-10-29 2014-11-17 Method for minimizing scan test time and apparatus therefor
KR1020140167821A KR101649708B1 (en) 2014-10-29 2014-11-27 Method for minimizing burn-in test time and apparatus therefor
KR1020150149729A KR20160052348A (en) 2014-10-29 2015-10-27 Method for minimizing chip test time and apparatus therefor
KR1020160052368A KR20170049357A (en) 2014-10-29 2016-04-28 Method for minimizing chip test time and apparatus therefor
KR1020160053537A KR20160067815A (en) 2014-10-29 2016-04-29 Method for minimizing chip test time and apparatus therefor
KR1020180002993A KR101923142B1 (en) 2014-10-29 2018-01-09 Apparatus, method, and system for testing integrated circuit chip

Family Applications After (5)

Application Number Title Priority Date Filing Date
KR1020140167821A KR101649708B1 (en) 2014-10-29 2014-11-27 Method for minimizing burn-in test time and apparatus therefor
KR1020150149729A KR20160052348A (en) 2014-10-29 2015-10-27 Method for minimizing chip test time and apparatus therefor
KR1020160052368A KR20170049357A (en) 2014-10-29 2016-04-28 Method for minimizing chip test time and apparatus therefor
KR1020160053537A KR20160067815A (en) 2014-10-29 2016-04-29 Method for minimizing chip test time and apparatus therefor
KR1020180002993A KR101923142B1 (en) 2014-10-29 2018-01-09 Apparatus, method, and system for testing integrated circuit chip

Country Status (3)

Country Link
JP (1) JP2018185343A (en)
KR (6) KR101618822B1 (en)
CN (1) CN109061432B (en)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6209299B1 (en) * 2016-04-28 2017-10-04 イノチオ インクInnotio Inc. IC chip test apparatus, IC chip test method, and IC chip test system
US11940483B2 (en) 2019-01-31 2024-03-26 Tektronix, Inc. Systems, methods and devices for high-speed input/output margin testing
US20200249275A1 (en) 2019-01-31 2020-08-06 Tektronix, Inc. Systems, methods and devices for high-speed input/output margin testing
CN113740701B (en) * 2020-05-28 2024-03-08 第一检测有限公司 Environment control equipment and chip test system
KR102486624B1 (en) * 2020-10-15 2023-01-11 (주)큐랩스 Method and system for verifying circuit at circuit diagram designed
CN116802510A (en) * 2020-11-24 2023-09-22 特克特朗尼克公司 System, method and apparatus for high speed input/output margin testing
CN112526319B (en) * 2020-11-25 2022-11-22 海光信息技术股份有限公司 Chip testing method and device, processor chip and server
CN113075487A (en) * 2021-03-31 2021-07-06 读书郎教育科技有限公司 Method for controlling aging test duration in factory
KR102373560B1 (en) * 2021-08-18 2022-03-14 (주)이노티오 Method and apparatus for generating search-data for searching optimal shift frequency of test data for IC chip scan test
US20230184821A1 (en) * 2021-12-09 2023-06-15 Nanya Technology Corporation Appratus for performing multiple tests on a device under test
TWI813481B (en) * 2022-10-25 2023-08-21 瑞昱半導體股份有限公司 Test device for testing on-chip clock controller having debug function
CN116581043B (en) * 2023-04-20 2023-12-12 深圳市晶存科技有限公司 Chip classification method, device, electronic equipment and computer readable storage medium
CN116953490B (en) * 2023-09-19 2023-12-26 西安智多晶微电子有限公司 Method, device and system for measuring internal voltage drop of FPGA chip

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006064395A (en) * 2004-08-24 2006-03-09 Seiko Epson Corp Critical path test method, integrated circuit device, critical path test system, and method for manufacturing integrated circuit device
US20090254787A1 (en) * 2008-04-07 2009-10-08 Open-Silicon, Inc. Shift-frequency scaling
JP2014001937A (en) 2012-06-15 2014-01-09 Renesas Electronics Corp Scan test method, program and scan test circuit

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4820560B2 (en) * 2005-03-07 2011-11-24 株式会社アドバンテスト Test apparatus, test method, electronic device production method, test simulator, and test simulation method
KR20100002357A (en) * 2008-06-30 2010-01-07 삼성전자주식회사 Methode for managing multi burn-in test
CN103018661A (en) * 2009-09-01 2013-04-03 新诺普系统公司 Scanning test system
KR101170433B1 (en) 2010-09-10 2012-08-07 주식회사 윈탑 Apparatus for Test of Burn-in Board and Test Method Using the Same, and Apparatus for Mounting of Burn-in Board
KR20120102876A (en) 2011-03-09 2012-09-19 삼성전자주식회사 Semiconductor device and test system including the same
KR101309079B1 (en) 2012-02-29 2013-09-17 주식회사 유니테스트 Burn-In Tester
US9395414B2 (en) * 2012-12-28 2016-07-19 Nvidia Corporation System for reducing peak power during scan shift at the local level for scan based tests
US9347991B1 (en) * 2014-11-12 2016-05-24 Texas Instruments Incorporated Scan throughput enhancement in scan testing of a device-under-test
JP6491507B2 (en) * 2015-03-20 2019-03-27 ルネサスエレクトロニクス株式会社 Semiconductor device, electronic device and self-diagnosis method of semiconductor device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006064395A (en) * 2004-08-24 2006-03-09 Seiko Epson Corp Critical path test method, integrated circuit device, critical path test system, and method for manufacturing integrated circuit device
US20090254787A1 (en) * 2008-04-07 2009-10-08 Open-Silicon, Inc. Shift-frequency scaling
JP2014001937A (en) 2012-06-15 2014-01-09 Renesas Electronics Corp Scan test method, program and scan test circuit

Also Published As

Publication number Publication date
KR20160052348A (en) 2016-05-12
CN109061432A (en) 2018-12-21
KR20170049357A (en) 2017-05-10
KR20160051491A (en) 2016-05-11
KR20160067815A (en) 2016-06-14
KR101923142B1 (en) 2018-11-28
KR20180006480A (en) 2018-01-17
KR101649708B1 (en) 2016-08-23
JP2018185343A (en) 2018-11-22
CN109061432B (en) 2020-09-04

Similar Documents

Publication Publication Date Title
KR101618822B1 (en) Method for minimizing scan test time and apparatus therefor
US10088520B1 (en) Apparatus, method, and system for testing IC chip
JP6209299B1 (en) IC chip test apparatus, IC chip test method, and IC chip test system
US8843797B2 (en) Signature compression register instability isolation and stable signature mask generation for testing VLSI chips
Hellebrand et al. FAST-BIST: Faster-than-at-Speed BIST targeting hidden delay defects
US9075110B2 (en) Fault detection system, acquisition apparatus, fault detection method, program, and non-transitory computer-readable medium
US8799731B2 (en) Clock control for reducing timing exceptions in scan testing of an integrated circuit
US8839063B2 (en) Circuits and methods for dynamic allocation of scan test resources
KR101848480B1 (en) Apparatus, method, and system for testing integrated circuit chip
JP2018010005A (en) Ic chip test device, ic test chip method and ic chip test system
JP6654456B2 (en) Test point circuit, scan flip-flop for sequential test, semiconductor device and design device
TW201625973A (en) Method for minimizing chip test time and apparatus therefor
KR101618821B1 (en) Method for minimizing scan test time and apparatus therefor
KR102373560B1 (en) Method and apparatus for generating search-data for searching optimal shift frequency of test data for IC chip scan test
Jiang et al. A novel scan segmentation design for power controllability and reduction in at-speed test
US7380184B2 (en) Sequential scan technique providing enhanced fault coverage in an integrated circuit
US10324131B1 (en) Laser-based integrated circuit testing techniques
US20170010320A1 (en) Reducing test time and system-on-chip (soc) area reduction using simultaneous clock capture based on voltage sensor input
US8589751B2 (en) Don't-care-bit identification method and don't-care-bit identification program
Hsiao et al. Kiss the scan goodbye: A non-scan architecture for high coverage, low test data volume and low test application time
Wang et al. Switching activity reduction for scan-based BIST using weighted scan input data
Arslan et al. Delay test resource allocation and scheduling for multiple frequency domains
Murugan et al. Launch Off Shift And Capture Power Reduction In Transition Fault Test Based On Design For Testability Methods

Legal Events

Date Code Title Description
N231 Notification of change of applicant
FPAY Annual fee payment

Payment date: 20190220

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20200224

Year of fee payment: 5