KR102373560B1 - Method and apparatus for generating search-data for searching optimal shift frequency of test data for IC chip scan test - Google Patents

Method and apparatus for generating search-data for searching optimal shift frequency of test data for IC chip scan test Download PDF

Info

Publication number
KR102373560B1
KR102373560B1 KR1020210108626A KR20210108626A KR102373560B1 KR 102373560 B1 KR102373560 B1 KR 102373560B1 KR 1020210108626 A KR1020210108626 A KR 1020210108626A KR 20210108626 A KR20210108626 A KR 20210108626A KR 102373560 B1 KR102373560 B1 KR 102373560B1
Authority
KR
South Korea
Prior art keywords
scan
pattern
shift frequency
test
data
Prior art date
Application number
KR1020210108626A
Other languages
Korean (ko)
Inventor
송재훈
Original Assignee
(주)이노티오
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by (주)이노티오 filed Critical (주)이노티오
Priority to KR1020210108626A priority Critical patent/KR102373560B1/en
Priority to PCT/KR2021/011562 priority patent/WO2023022279A1/en
Priority to KR1020220026307A priority patent/KR20230026931A/en
Application granted granted Critical
Publication of KR102373560B1 publication Critical patent/KR102373560B1/en

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318544Scanning methods, algorithms and patterns
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318572Input/Output interfaces

Abstract

Disclosed are a method for generating search-data for finding a usable shift frequency of a scan section for an IC chip scan test and an apparatus thereof. The apparatus for generating search-data separates an input scan pattern into at least two segments including at least one scan section and generates a plurality of pieces of search-data corresponding to the number of segments if the number of frequency or timing identifiers that can be controlled or set by an IC chip test device is smaller than the number of scan sections of the input scan pattern.

Description

IC 칩 스캔 테스트를 위한 테스트 데이터의 사용 가능한 쉬프트 주파수를 찾기 위한 검색용 데이터를 생성하는 방법 및 그 장치{Method and apparatus for generating search-data for searching optimal shift frequency of test data for IC chip scan test}Method and apparatus for generating search-data for searching optimal shift frequency of test data for IC chip scan test

본 발명의 실시 예는 IC(Integrated Circuit) 칩 스캔 테스트를 위한 테스트 데이터의 스캔 섹션별 사용 가능한 쉬프트 주파수를 찾기 위한 검색용 데이터를 생성하는 방법 및 그 장치에 관한 것이다.An embodiment of the present invention relates to a method and apparatus for generating search data for finding a shift frequency that can be used for each scan section of test data for an integrated circuit (IC) chip scan test, and an apparatus therefor.

IC 칩을 테스트하는 가장 일반적인 방법은 IC 칩의 입력에 테스트 데이터를 인가하고 이에 대한 IC 칩의 출력 값을 사전 설정된 예측 값(expected value) 또는 예측 결과(expected result)와 비교하는 것이다 (예를 들어, 특허문헌 1 참조). 그러나 플립플롭(flip-flop)과 같은 저장소자(storage element)를 가진 순차 회로(Sequential Logic)를 포함한 IC 칩의 경우에는 외부에서 IC 칩 내의 플립플롭에 원하는 값을 인가하거나 플립플롭의 값을 외부에서 검지하기가 쉽지 않다.The most common way to test an IC chip is to apply test data to the input of the IC chip and compare the output value of the IC chip with a preset predicted value or predicted result (for example, , see Patent Document 1). However, in the case of an IC chip including a sequential circuit having a storage element such as a flip-flop, a desired value is applied to the flip-flop in the IC chip from the outside, or the value of the flip-flop is externally applied. It is not easy to detect in

스캔 설계(scan design) 방법은 회로의 제어도(controllability) 및 관측도(observability)를 높이기 위해 이용되는 테스트를 고려한 설계(DFT, design for testability) 방법 중 하나이다. 스캔 설계 방법을 이용하면, 회로의 구조적(structural) 정보를 기초로 테스트 패턴을 자동으로 생성하는 소프트웨어인 ATPG(Automatic Test Pattern Generator)를 이용하여 크기는 작으면서 높은 고장 검출율(fault coverage)을 갖는 테스트 데이터를 얻을 수 있다.The scan design method is one of design for testability (DFT) methods that consider a test used to increase controllability and observability of a circuit. When using the scan design method, it uses ATPG (Automatic Test Pattern Generator), a software that automatically generates a test pattern based on the structural information of the circuit, which has a small size and high fault coverage. Test data can be obtained.

다시 말해, 스캔 설계는 스캔 테스트 동안 순차 회로(sequential logic)를 조합 회로(combinational logic)화 하여 칩 외부에서 해당 회로를 쉽게 제어하고 관측할 수 있게 하며, ATPG를 통해 테스트 데이터의 크기를 최소화할 수 있다. 스캔 설계 및 ATPG 소프트웨어를 통해 얻은 테스트 데이터는 적어도 하나 이상의 스캔 패턴으로 구성된다. 스캔 패턴들은 스캔 테스트의 수행에서 순서를 가질 수 있다.In other words, the scan design converts sequential logic into a combinatorial circuit during the scan test so that the circuit can be easily controlled and observed from outside the chip, and the size of the test data can be minimized through ATPG. there is. The scan design and test data obtained through ATPG software consist of at least one or more scan patterns. The scan patterns may have an order in the performance of the scan test.

일반적인 스캔 테스트 과정은 다음과 같다.The general scan test process is as follows.

(1) IC 칩의 주 입력 포트에 주 입력 테스트 데이터를 인가한다.(1) Apply the main input test data to the main input port of the IC chip.

(2) 스캔 활성화 포트에 스캔 활성화 신호를 인가하여 IC 칩을 스캔 모드로 설정한다.(2) Apply a scan enable signal to the scan enable port to set the IC chip to scan mode.

(3) 스캔 입력 포트에 스캔 패턴을 쉬프트인하여 스캔 경로 상의 플립플롭에 스캔 패턴을 로드한다. 본 명세서에서는 스캔 입력 포트에의 쉬프트인 또는 스캔 출력 포트로부터의 쉬프트아웃을 단지 "쉬프트"라고 통칭하는 경우가 있다. 또한, 스캔 패턴을 쉬프트하는 시간 간격(주기)과 쉬프트 주파수는 역수의 관계이다. 스캔 경로에 로드된 스캔 패턴은 조합회로에 인가된다. 스캔 패턴이 조합회로에 인가된 후 주 출력 포트를 통해 출력되는 결과를 예측된 주 출력 값과 비교하여, 비교결과가 상이하면 IC 칩을 불량으로 판정한다.(3) Shift the scan pattern in the scan input port to load the scan pattern onto the flip-flop on the scan path. In this specification, shift-in to the scan input port or shift-out from the scan output port is sometimes referred to simply as "shift". In addition, the time interval (period) for shifting the scan pattern and the shift frequency are inversely related. The scan pattern loaded into the scan path is applied to the combinational circuit. After the scan pattern is applied to the combination circuit, the result output through the main output port is compared with the predicted main output value, and if the comparison result is different, the IC chip is determined as defective.

(4) 스캔 활성화 포트에 스캔 비활성화 신호를 인가하여 IC 칩을 스캔 모드에서 기능 모드로 전환한다. 기능 모드에서, 클락 신호가 인가되면 플립플롭은 조합회로의 출력 값을 캡쳐하며, 이러한 동작을 스캔 캡쳐라고 하고, 이때의 모드를 또한 스캔 캡쳐 모드 라고도 부른다.(4) Apply the scan disable signal to the scan enable port to change the IC chip from scan mode to function mode. In the function mode, when a clock signal is applied, the flip-flop captures the output value of the combinational circuit, and this operation is called scan capture, and this mode is also called scan capture mode.

(5) 스캔 활성화 포트에 스캔 활성화 신호를 인가하여 IC 칩을 다시 기능 모드에서 스캔 모드로 전환한다.(5) Apply the scan enable signal to the scan enable port to switch the IC chip back from the function mode to the scan mode.

(6) 그리고, 스캔 경로 상의 플립플롭에 캡쳐된 값을 스캔 출력 포트를 통해 쉬프트아웃하여 언로드한다.(6) Then, the value captured in the flip-flop on the scan path is shifted out through the scan output port and unloaded.

(7) 언로드된 출력 패턴과 미리 알고 있는 예측 패턴을 비교하여 IC 칩의 정상 동작 여부를 파악한다. 여기서, 예측 패턴은 IC 칩이 정상적인 경우 주 입력 테스트 데이터와 스캔 패턴을 인가하고 스캔 캡쳐 동작을 한 후 스캔 출력 포트를 통해 출력되는 스캔 패턴으로서 테스트 전에 미리 알고 있는 값 또는 예측되는 결과 패턴이다. 단계 (3)에서의 비교 결과가 동일하고 단계 (7)에서의 비교 결과가 동일하면, 테스트 결과가 정상(pass)이므로 IC 칩은 양품이며 그렇지 않으면 IC 칩은 불량품이다. 테스트 정상(pass)은 IC 칩에 이상이 없다고(fault-free) 판단되는 경우를 의미하며, 테스트 실패(fail)는 IC 칩에 이상이 있다고 판단되는 경우를 의미한다.(7) By comparing the unloaded output pattern with the predicted pattern known in advance, it is determined whether the IC chip is operating normally. Here, the prediction pattern is a scan pattern output through a scan output port after applying the main input test data and a scan pattern and performing a scan capture operation when the IC chip is normal, and is a value known in advance or a predicted result pattern before the test. If the comparison result in step (3) is the same and the comparison result in step (7) is the same, the test result is pass and the IC chip is good, otherwise the IC chip is defective. A test pass means a case in which it is determined that the IC chip is fault-free, and a test failure means a case in which it is determined that there is an error in the IC chip.

스캔 테스트의 종류는 크게 고착 고장(stuck-at-fault) 테스트와 지연 고장(delay fault) 테스트로 나뉜다. 여기서, 고착 고장은 IC 칩 상의 어느 신호선이 논리 0(logic 0) 또는 논리 1(logic 1) 값으로 의도하지 않게 고착된 상태를 의미하고, 지연고장은 IC 칩 상에서 어느 신호선(line) 또는 경로(path)를 통해 신호 값을 전달할 때 지연 시간으로 인해 IC 칩의 사양을 만족하지 못하는 상태를 의미한다.The scan test is largely divided into a stuck-at-fault test and a delay fault test. Here, the stuck failure refers to a state in which a signal line on the IC chip is unintentionally fixed with a logic 0 or logic 1 value, and the delayed failure refers to a certain signal line or path ( path) means a state in which the specification of the IC chip is not satisfied due to the delay time when transmitting the signal value.

지연 고장 테스트에는 천이 지연(transition delay) 테스트와 경로 지연(path delay) 테스트가 있으며, 엣-스피드(at-speed) 테스트로 불리기도 한다. 천이 지연 테스트는 IC 칩 상의 어느 특정 노드(node) 또는 신호선에 0-to-1 또는 1-to-0 신호 값 천이 지연 시간 문제가 있는지 테스트하는 것이다. 경로 지연 테스트는 IC 칩 상의 어느 특정 신호경로에 0-to-1 또는 1-to-0 신호 값 천이 지연 시간 문제가 있는지 테스트하는 것이다.The delay failure test includes a transition delay test and a path delay test, also called an at-speed test. The transition delay test is to test whether a specific node or signal line on an IC chip has a 0-to-1 or 1-to-0 signal value transition delay time problem. The path delay test tests whether a specific signal path on an IC chip has a 0-to-1 or 1-to-0 signal value transition delay time problem.

지연 고장 테스트를 위한 대표적 방법으로 론치-온-캡쳐(Launch-On-Capture) 방법과 론치-온-쉬프트(Launch-On-Shift) 방법이 있으며, 이들 방법 또한 지연 고장 테스트를 위한 스캔 패턴을 스캔 경로 상에 쉬프트인하는 로드 동작과 스캔 경로 상의 플립플롭에 캡쳐된 지연고장 테스트 결과를 쉬프트아웃하는 언로드 동작으로 구성된다.A typical method for delayed failure testing includes a launch-on-capture method and a launch-on-shift method. These methods also scan a scan pattern for delayed failure testing. It consists of a load operation that shifts in on the path and an unload operation that shifts out the delayed failure test result captured in the flip-flop on the scan path.

이와 같은 스캔 테스트의 경우, 스캔 경로 상의 플립플롭의 개수만큼 쉬프트하기 위한 클락 펄스의 개수가 필요하다. 따라서 쉬프트인과 쉬프트아웃 동작에 따른 많은 시간이 소요되는 문제점이 있다. 그러나 테스트 시간을 줄이기 위하여 스캔 패턴을 스캔 경로에 쉬프트하기 위한 클락 신호의 주파수, 즉 쉬프트 주파수를 단순히 높일 수는 없다.In such a scan test, the number of clock pulses for shifting by the number of flip-flops on the scan path is required. Accordingly, there is a problem in that a lot of time is required for the shift-in and shift-out operations. However, in order to reduce the test time, it is not possible to simply increase the frequency of the clock signal for shifting the scan pattern to the scan path, that is, the shift frequency.

예를 들어, 스캔 쉬프트 주파수를 단순히 높이게 되면 전력 소모 또는 크리티컬 경로(critical path) 지연 시간 문제 등에 의해 양품을 불량품으로 판정하는 오버킬(over kill) 문제점이 발생할 수 있다.For example, simply increasing the scan shift frequency may cause an overkill problem of determining a good product as a defective product due to power consumption or a critical path delay time problem.

또한, 딥 서브 마이크론(DSM, Deep Sub-Micron) 미세 제조 공정 및 저전력 제조 공정뿐만 아니라 저전력 설계에 의해 IC 칩은 더욱 저전력화 되어 가면서 IC 칩 동작 주파수에 대한 파워 서플라이 노이즈의 영향은 더욱 높아졌다. 특히 IC 칩은 기능 모드일 때보다 스캔 모드에서 보다 많은 스위칭 동작이 발생하므로, 스위칭 동작에 따른 파워 서플라이 노이즈로 인해 발생하는 신호선의 추가적인 지연이 지연 테스트 오버킬을 발생시킬 수 있어 단순히 쉬프트 주파수를 높이는데 한계가 있다.In addition, as the IC chip is further reduced in power due to the low-power design as well as the deep sub-micron (DSM) micro-manufacturing process and low-power manufacturing process, the influence of power supply noise on the operating frequency of the IC chip has increased. In particular, since IC chips generate more switching operations in scan mode than in functional mode, additional delay in signal lines caused by power supply noise caused by switching operations can cause delay test overkill, so simply increase the shift frequency. There is a limit to

또한, IC 칩 상의 신호선간 간섭(signal crosstalk)에 의한 신호 무결성(signal integrity) 문제는 DSM 미세 공정으로 가면서 더욱 중요해졌다. 스캔 모드에서 많이 발생하는 스위칭 동작으로 인해 신호선간 간섭이 더욱 심해질 수 있다. 따라서 지연 테스트 시에 신호선간 간섭으로 인해 신호선에 발생하는 추가적인 지연이 지연 테스트 오버킬을 발생시킬 수 있다.In addition, the problem of signal integrity due to signal crosstalk on the IC chip became more important as the DSM microprocessing progressed. Interference between signal lines may become more severe due to a switching operation that occurs frequently in the scan mode. Therefore, during the delay test, an additional delay occurring in the signal lines due to the interference between the signal lines may cause the delay test overkill.

또한, 스캔 패턴의 파워 소모 값을 토대로 쉬프트 주파수를 찾는 경우, 파워 소모 값이 IC 칩의 사양을 초과하지 않더라도 스캔 테스트 특성 상 IC 칩에 과도한 회로 스위칭 동작과 제조 공정 변이(process variation)의 영향으로 인해 IR-drop 또는 Ground-bounce로 인한 스캔 테스트 오류 문제가 발생할 수 있다.In addition, when the shift frequency is found based on the power consumption value of the scan pattern, even if the power consumption value does not exceed the specifications of the IC chip, due to the characteristics of the scan test, excessive circuit switching operation on the IC chip and the influence of manufacturing process variation This can cause scan test errors due to IR-drop or ground-bounce.

예를 들어, 스캔 패턴을 사용한 지연 테스트 시에 IR-drop, 즉 전압 강하(voltage drop)의 영향으로 인해 특정 신호선에 추가적인 지연이 생길 수 있으며 이는 지연 테스트 오버킬을 야기할 수 있다. 또한 반대로 스캔 패턴의 전력소모가 IC 칩의 스펙을 초과하는 경우라도 IC 칩의 제조 공정 및 설계 특성에 의해 IR-drop 또는 Ground-bounce 문제가 발생하지 않을 수 있다. 따라서 단순히 파워 소모 값만으로는 IC 칩에 대한 최적의 쉬프트 주파수를 찾는 데 한계가 있다. 또한, 스캔 패턴의 파워 소모 값만으로 최대 쉬프트 주파수를 찾는 경우에, 파워 소모 값이 IC 칩의 사양을 초과하지 않더라도 증가된 쉬프트 주파수에 의해 스캔 경로 상에 크리티컬 패스(critical path) 타이밍 문제가 발생할 수 있다.For example, during a delay test using a scan pattern, an additional delay may occur in a specific signal line due to the effect of IR-drop, that is, a voltage drop, which may cause delay test overkill. Conversely, even when the power consumption of the scan pattern exceeds the specification of the IC chip, the IR-drop or ground-bounce problem may not occur due to the manufacturing process and design characteristics of the IC chip. Therefore, there is a limit to finding the optimal shift frequency for the IC chip simply by using the power consumption value. In addition, when the maximum shift frequency is found only with the power consumption value of the scan pattern, even if the power consumption value does not exceed the specification of the IC chip, a critical path timing problem may occur on the scan path due to the increased shift frequency. there is.

또한, 쉬프트 주파수를 높이면 스캔 경로 상에 크리티컬 패스 타이밍 문제가 생길 수 있지만 스캔 패턴에 의한 논리적인 문제는 생기지 않는 경우가 있다. 다시 말해 스캔 경로의 크리티컬 패스 상의 비트 값의 상태에 따라 거짓(false) 크리티컬 패스의 경우가 특정 스캔 쉬프트 사이클에서 생길 수 있다.In addition, if the shift frequency is increased, a critical path timing problem may occur on the scan path, but a logical problem due to the scan pattern may not occur in some cases. In other words, a case of a false critical path may occur in a specific scan shift cycle depending on the state of a bit value on the critical path of the scan path.

일 예를 들면, 두개의 연속된 논리-0 비트 값이 스캔 경로상의 크리티컬 패스를 이루는 두 플립플롭에 쉬프트되어 저장된 후, 높은 쉬프트 주파수로 쉬프트 동작을 하면 크리티컬 패스의 시작에 있는 플립플롭에 저장되어 있는 논리-0 비트 값에 대한 신호가 다음 플립플롭에 정상적인 시간 내에 도달할 수 없는 크리티컬 패스 지연시간 문제가 발생할 수 있다. 하지만 쉬프트 동작에 의해 크리티컬 패스를 이루는 두 플립플롭에 저장되는 비트 값의 논리적인 문제는 발생하지 않는 거짓(false) 크리티컬 패스의 경우가 생길 수 있다.For example, when two consecutive logic-0 bit values are shifted and stored in two flip-flops constituting a critical path on the scan path, and then shifted with a high shift frequency, they are stored in the flip-flops at the beginning of the critical path. A critical pass latency problem can arise where a signal for a logical 0 bit value cannot reach the next flip-flop within the normal time. However, there may be a case of a false critical path in which a logical problem of bit values stored in two flip-flops constituting the critical path does not occur due to the shift operation.

또한, 다중 전압 섬(Voltage Island) 또는 전압 지역(Voltage Domain or Region) 기법을 사용하는 저전력 IC 칩의 경우 높은 속도의 성능이 필요한 설계 지역에는 높은 전압을 공급하고 그렇지 않은 지역에는 상대적으로 낮은 전압을 공급하므로, 각 전압 지역별로 허용되는 전력소모가 다르다. In addition, in the case of a low-power IC chip using a multiple voltage island or voltage domain or region technique, a high voltage is supplied to a design area that requires high speed performance, and a relatively low voltage is applied to other areas. Therefore, the power consumption allowed for each voltage region is different.

특허문헌 1: 한국특허공개공보 제10-2012-0102876호Patent Document 1: Korean Patent Publication No. 10-2012-0102876

본 발명이 이루고자 하는 기술적 과제는, 테스트 데이터의 스캔 섹션별 주파수를 최적화하여 테스트 시간을 줄이고 테스트 품질과 수율을 향상시킬 수 있도록 테스트 데이터의 스캔 섹션별 사용 가능한 쉬프트 주파수를 찾기 위한 검색용 데이터를 생성하는 방법 및 그 장치를 제공하는 데 있다.The technical problem to be achieved by the present invention is to optimize the frequency for each scan section of the test data to reduce the test time and to improve the test quality and yield. To provide a method and an apparatus for the same.

상기의 기술적 과제를 달성하기 위한, 본 발명의 실시 예에 따른 검색용 데이터 생성 방법의 일 예는, IC 칩 테스트에 사용되는 스캔 섹션의 사용 가능한 쉬프트 주파수를 찾는데 사용되는 검색용 데이터를 생성하는 방법에 있어서, IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수 또는 타이밍 식별자의 개수가 입력 스캔 패턴을 분할하는 스캔 섹션의 개수보다 작으면, 상기 입력 스캔 패턴을 적어도 하나 이상의 스캔 섹션을 포함하는 적어도 둘 이상의 세그먼트로 구분하는 단계; 및 상기 세그먼트의 개수에 해당하는 복수의 검색용 데이터를 생성하는 단계;를 포함하고, 상기 복수의 검색용 데이터 각각이 포함하는 상기 입력 스캔 패턴은 IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수 또는 타이밍 식별자의 개수 이하의 타이밍 식별자가 할당된 적어도 둘 이상의 스캔 섹션으로 구성되며, 상기 복수의 검색용 데이터 각각에서 상기 입력 스캔 패턴에 포함된 사용 가능한 주파수 검색 대상 스캔 섹션 각각에 할당된 타이밍 식별자는 서로 다르며, 상기 복수의 검색용 데이터 각각에서 상기 입력 스캔 패턴에 포함된 사용 가능한 주파수 검색 대상 스캔 섹션 외의 다른 스캔 섹션에 할당된 타이밍 식별자는 상기 대상 스캔 섹션에 할당된 타이밍 식별자와 다르며, 상기 검색용 데이터에 포함된 스캔 섹션의 쉬프트 주파수 또는 쉬프트 주파수의 주기는 스캔 섹션에 할당된 타이밍 식별자를 사용하여 설정하거나 변경 가능하다.An example of a method for generating search data according to an embodiment of the present invention for achieving the above technical problem is a method of generating search data used to find an usable shift frequency of a scan section used for IC chip testing In the following, when the number of frequency or timing identifiers that can be set or controlled by the IC chip test apparatus is smaller than the number of scan sections dividing the input scan pattern, the input scan pattern is set to at least two including at least one scan section. dividing into more than one segment; and generating a plurality of search data corresponding to the number of segments, wherein the input scan pattern included in each of the plurality of search data includes a frequency or control that an IC chip test apparatus can set or control. It consists of at least two or more scan sections to which timing identifiers less than or equal to the number of timing identifiers are assigned, and timing identifiers assigned to each of the available frequency search target scan sections included in the input scan pattern in each of the plurality of search data are mutually exclusive. different, and in each of the plurality of data for search, a timing identifier assigned to a scan section other than the available frequency search target scan section included in the input scan pattern is different from a timing identifier assigned to the target scan section, and the data for search The shift frequency or period of the shift frequency of the scan section included in ? can be set or changed using the timing identifier assigned to the scan section.

상기의 기술적 과제를 달성하기 위한, 본 발명의 실시 예에 따른 복수의 검색용 데이터를 기록한 컴퓨터로 읽을 수 있는 기록매체에 있어서, 한 개의 입력 스캔 패턴을 분할하는 복수의 스캔 섹션 각각의 사용 가능한 쉬프트 주파수를 찾기 위해 사용되는 검색용 데이터의 개수는 IC 칩 테스트 장비가 설정하거나 제어할 수 있는 주파수 또는 타이밍 식별자의 개수와 입력 스캔 패턴을 분할하는 스캔 섹션의 개수의 차이를 기초로 결정된 상기 입력 스캔 패턴의 세그먼트의 수와 동일하고, 상기 복수의 검색용 데이터의 각각은, IC 칩의 스캔 입력 포트에 순차적으로 입력되도록 구성된 복수의 스캔 섹션으로 구성된 입력 스캔 패턴을 포함하는 제1 데이터; 및 상기 복수의 스캔 섹션에 할당된 복수의 타이밍 식별자에 대한 정보를 포함하는 제2 데이터;를 포함하고, 상기 복수의 검색용 데이터 각각에서 입력 스캔 패턴에 포함된 스캔 섹션에 할당된 서로 다른 타이밍 식별자의 개수는 IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수 또는 타이밍 식별자의 개수 이하이며, 상기 검색용 데이터에서 사용 가능한 쉬프트 주파수를 찾고자 하는 대상 스캔 섹션에 할당된 타이밍 식별자와 상기 대상 스캔 섹션의 앞 또는 뒤에 위치한 스캔 섹션의 타이밍 식별자는 서로 상이하며, 상기 검색용 데이터에 포함된 스캔 섹션의 쉬프트 주파수 또는 쉬프트 주파수의 주기는 스캔 섹션에 할당된 타이밍 식별자를 사용하여 설정하거나 변경 가능하다.In a computer-readable recording medium recording a plurality of search data according to an embodiment of the present invention for achieving the above technical problem, each usable shift of a plurality of scan sections dividing one input scan pattern The number of search data used to find the frequency is the input scan pattern determined based on the difference between the number of frequency or timing identifiers that can be set or controlled by the IC chip test equipment and the number of scan sections dividing the input scan pattern first data equal to the number of segments of ; and second data including information on a plurality of timing identifiers allocated to the plurality of scan sections, wherein different timing identifiers allocated to the scan sections included in the input scan pattern in each of the plurality of search data The number of is less than or equal to the number of frequencies or timing identifiers that can be set or controlled by the IC chip test device, and the timing identifier assigned to the target scan section to find the shift frequency usable in the search data and the front of the target scan section Alternatively, the timing identifiers of the scan sections located later are different from each other, and the shift frequency or period of the shift frequency of the scan section included in the search data can be set or changed using the timing identifier assigned to the scan section.

상기의 기술적 과제를 달성하기 위한, 본 발명의 실시 예에 따른 검색용 데이터 생성장치의 일 예는, IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수 또는 타이밍 식별자의 개수가 입력 스캔 패턴을 분할하는 스캔 섹션의 개수보다 작으면, 상기 입력 스캔 패턴을 적어도 하나 이상의 스캔 섹션을 포함하는 적어도 둘 이상의 세그먼트로 구분하는 세그먼트생성부; 및 상기 세그먼트의 개수에 해당하는 복수의 검색용 데이터를 생성하는 데이터생성부;를 포함하고, 상기 복수의 검색용 데이터 각각이 포함하는 상기 입력 스캔 패턴은 IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수 또는 타이밍 식별자의 개수 이하의 타이밍 식별자가 할당된 적어도 둘 이상의 스캔 섹션으로 구성되며, 상기 복수의 검색용 데이터 각각에서 상기 입력 스캔 패턴에 포함된 사용 가능한 주파수 검색 대상 스캔 섹션 각각에 할당된 타이밍 식별자는 서로 다르며, 상기 복수의 검색용 데이터 각각에서 상기 입력 스캔 패턴에 포함된 사용 가능한 주파수 검색 대상 스캔 섹션 외의 다른 스캔 섹션에 할당된 타이밍 식별자는 상기 대상 스캔 섹션에 할당된 타이밍 식별자와 다르며, 상기 검색용 데이터에 포함된 스캔 섹션의 쉬프트 주파수 또는 쉬프트 주파수의 주기는 스캔 섹션에 할당된 타이밍 식별자를 사용하여 설정하거나 변경 가능하다.In order to achieve the above technical problem, an example of a data generating apparatus for search according to an embodiment of the present invention is that the number of frequency or timing identifiers that can be set or controlled by the IC chip test apparatus divides the input scan pattern. a segment generator that divides the input scan pattern into at least two segments including at least one scan section when the number of scan sections is less than the number of scan sections; and a data generator that generates a plurality of data for search corresponding to the number of segments, wherein the input scan pattern included in each of the plurality of data for search may be set or controlled by an IC chip test apparatus. It consists of at least two scan sections to which a frequency or timing identifier equal to or less than the number of timing identifiers is assigned, and a timing identifier assigned to each available frequency search target scan section included in the input scan pattern in each of the plurality of search data. is different from each other, and in each of the plurality of search data, a timing identifier assigned to a scan section other than the available frequency search target scan section included in the input scan pattern is different from a timing identifier assigned to the target scan section, and The shift frequency or period of the shift frequency of the scan section included in the data for use can be set or changed using the timing identifier assigned to the scan section.

본 발명의 실시 예에 따르면, IC 칩 테스트 장치의 스캔 테스트를 위한 테스트 데이터의 스캔 섹션별 사용 가능한 주파수를 찾기 위한 검색용 데이터의 개수를 줄일 수 있다. 또한, 전체 검색용 데이터의 저장을 위한 저장 매체의 저장 용량을 절약할 수 있고, 전체 검색용 데이터의 컴파일 시간을 줄일 수 있다. According to an embodiment of the present invention, it is possible to reduce the number of search data for finding usable frequencies for each scan section of test data for a scan test of an IC chip test apparatus. In addition, the storage capacity of the storage medium for storing the entire search data can be saved, and the compilation time of the entire search data can be reduced.

다른 실시 예로, IC 칩 테스트 시에 스캔 패턴, 스캔 섹션 또는 섹션 그룹별로, 전력 소모 또는 크리티컬 경로(critical path) 지연 시간만을 고려하여 쉬프트 주파수를 높이는 경우에 오버 쉬프트 주파수로 인해 양품을 불량품으로 판정하는 오버킬(over kill) 문제점을 해결하면서 스캔 테스트 시간을 줄일 수 있는 최적의 쉬프트 주파수를 제공할 수 있다.In another embodiment, when the shift frequency is increased in consideration of only power consumption or critical path delay time for each scan pattern, scan section, or section group during IC chip testing, a non-defective product is judged as a defective product due to the over-shift frequency. It is possible to provide an optimal shift frequency that can reduce the scan test time while solving the overkill problem.

또한, IC 칩 테스트 시에 파워 서플라이 노이즈의 영향, 신호선 간 간섭 영향을 고려하여 최적의 쉬프트 주파수를 제공할 수 있다.In addition, it is possible to provide an optimal shift frequency in consideration of the influence of power supply noise and interference between signal lines during IC chip testing.

또한, IC 칩 테스트 시에 스캔 테스트에 의한 과도한 회로 스위칭 동작, 제조 공정 변이(manufacturing process variation), 미세 제조 공정, 저전력 제조 공정 또는 저전력 설계의 영향으로 야기될 수 있는 IR-drop 또는 Ground-bounce의 영향을 반영하여 최적의 쉬프트 주파수를 제공할 수 있다.In addition, during IC chip testing, excessive circuit switching operation by scan test, manufacturing process variation, microfabrication process, low power manufacturing process, or low power design influence of IR-drop or ground-bounce It is possible to provide an optimal shift frequency by reflecting the influence.

또한, IC 칩 테스트 시에 쉬프트 주파수의 증가시에 발생할 수 있는 스캔 경로상의 크리티컬 패스 타이밍 영향을 고려하여 최적의 쉬프트 주파수를 제공할 수 있다.In addition, an optimal shift frequency may be provided in consideration of the critical path timing effect on the scan path that may occur when the shift frequency is increased during IC chip testing.

또한, IC 칩 테스트 시에 스캔 경로 상의 비트 값에 따라 스캔 경로의 크리티컬 패스가 거짓(false) 크리티컬 패스 상태가 될 경우에는 크리티컬 타이밍 제약을 무시하고 IC 칩이 정상적으로 동작할 수 있는 범위 내에서 스캔 쉬프트 주파수를 최대한 높여 테스트 시간을 최소화할 수 있다.Also, when the critical path of the scan path becomes false according to the bit value on the scan path during IC chip testing, the critical timing constraint is ignored and the scan shift is within the range where the IC chip can operate normally. Test time can be minimized by maximizing the frequency.

또한, IC 칩 테스트 시에 스캔 패턴 집합 상의 돈-케어(don't-care) 비트들에 의해 더욱 높은 쉬프트 주파수 사용을 가능하게 할 수 있다. 돈-케어 비트는 스캔 테스트의 결과에 영향을 주지 않는 비트를 의미한다.In addition, it is possible to enable the use of a higher shift frequency by don't-care bits on the scan pattern set during IC chip testing. A money-care bit means a bit that does not affect the result of the scan test.

또한, IC 칩 테스트 시에 다중 전압 섬(voltage island) 또는 전압 지역(voltage domain or region) 기법을 사용하는 저전력 IC 칩의 경우 각 전압 섬 또는 전압 지역별로 허용되는 전력소모를 반영하여 최적의 쉬프트 주파수를 제공할 수 있다.In addition, in the case of a low-power IC chip that uses multiple voltage island or voltage domain or region techniques during IC chip testing, the optimal shift frequency is reflected by considering the power consumption allowed for each voltage island or voltage region. can provide

또한, IC 칩 테스트 시에 스캔 패턴 또는 스캔 섹션의 최적 쉬프트 주파수를 찾는데 있어서 IC 칩의 회로 설계 정보가 필요 없으므로, 칩의 회로 설계 정보가 없어도 칩과 스캔 패턴 집합만으로 스캔 패턴별 또는 스캔 섹션별 최적의 쉬프트 주파수를 제공할 수 있다.In addition, since the circuit design information of the IC chip is not required to find the optimal shift frequency of the scan pattern or scan section during IC chip testing, only the chip and scan pattern set are optimal for each scan pattern or scan section, even without the circuit design information of the chip. It can provide a shift frequency of .

또한, IC 칩 테스트 시에 테스트 패스이어야 하는 쉬프트 주파수의 범위 내에서 비정상적인 테스트 페일이 발생하는 페일 홀(fail hole) 문제를 해결하기 위해 테스트 시간이 증가되는 것을 억제할 수 있다. 페일 홀 문제를 해결하기 위해 칩의 고장 검출율(fault coverage)이 낮아지거나 필드 이스케이프(field escape) 문제가 발생하는 것을 억제할 수 있다.In addition, it is possible to suppress an increase in test time in order to solve a fail hole problem in which an abnormal test fail occurs within the range of a shift frequency that should be a test pass during IC chip testing. In order to solve the fail hole problem, it is possible to suppress the occurrence of a chip's fault coverage or field escape problem.

또한, 칩의 노후화를 보다 가속화 시키는 스트레스 또는 번인(burn-in) 테스트에서 테스트 시간을 줄이고 테스트 품질을 높일 수 있다. 뿐만 아니라, 스트레스 또는 번인 테스트에 필요한 예측 시간의 정확도를 높이를 수 있으며, 스트레스 또는 번인 테스트의 품질을 높일 수 있다.It can also reduce test time and improve test quality in stress or burn-in tests that further accelerate chip aging. In addition, the accuracy of the prediction time required for the stress or burn-in test can be increased, and the quality of the stress or burn-in test can be improved.

또한, IC 칩 테스트를 통해 수율 향상을 위한 정보를 찾거나 수율을 향상시킬 수 있다.In addition, information for improving the yield can be found or the yield can be improved through the IC chip test.

또한 IC 칩 테스트에 사용되는 스캔 패턴 또는 스캔 섹션의 최적 쉬프트 주파수를 찾기 위한 검색용 데이터의 크기를 줄일 수 있다.In addition, it is possible to reduce the size of data for searching to find the optimal shift frequency of a scan pattern or scan section used for IC chip testing.

또한, IC 칩 테스트에 사용되는 스캔 패턴 또는 스캔 섹션의 최적 쉬프트 주파수를 찾기 위한 검색용 데이터 파일의 개수를 줄일 수 있다.In addition, it is possible to reduce the number of data files for searching for an optimal shift frequency of a scan pattern or scan section used for IC chip testing.

또한, IC 칩 테스트에 사용되는 스캔 패턴 또는 스캔 섹션의 최적 쉬프트 주파수를 찾는 시간을 줄일 수 있다.In addition, it is possible to reduce the time to find the optimal shift frequency of the scan pattern or scan section used for IC chip testing.

도 1은 스캔 설계 방법이 적용된 IC 칩의 일 예를 도시한 개념도이다.
도 2 및 도 3은 본 발명의 최소한 하나의 실시예에 따른 칩 테스트 시스템의 구성을 도시한 블록도이다.
도 4는 본 발명의 최소한 하나의 실시예에 따른 스캔 패턴의 일 예를 도시한 개념도이다.
도 5 내지 도 9는 본 발명의 최소한 하나의 실시예에 따른 테스트 데이터의 분할 방법을 도시한 개념도이다.
도 10은 본 발명의 최소한 하나의 실시예에 따른 스캔 섹션의 개수와 스캔 테스트 시간 감소율 사이의 관계를 나타낸 그래프이다.
도 11은 본 발명의 최소한 하나의 실시예에 따른 칩 테스트의 시간을 최소화하기 위하여 각 스캔 섹션별로 쉬프트 주파수를 할당한 일 예를 도시한 개념도이다.
도 12는 본 발명의 최소한 하나의 실시예에 따른 칩 테스트의 시간을 최소화하기 위하여 쉬프트 주파수를 찾는 방법의 일 예를 도시한 개념도이다.
도 13 내지 도 15는 본 발명의 최소한 하나의 실시예에 따른 쉬프트 주파수 결정을 위하여 스캔 경로에 입력되는 패턴의 일 예를 도시한 개념도이다.
도 16은 본 발명의 최소한 하나의 실시예에 따른 스캔 패턴의 사용 가능한 쉬프트 주파수를 찾는 방법의 일 예를 나타낸 그래프이다.
도 17은 본 발명의 최소한 하나의 실시예에 따른 최적 쉬프트 주파수를 찾고자 하는 스캔 패턴의 쉬프트 주파수를 증감할 때 다른 스캔 패턴의 테스트 결과가 실패인 경우를 나타낸 그래프이다.
도 18 내지 도 20은 본 발명의 최소한 하나의 실시예에 따른 최적의 쉬프트 주파수를 찾기 위해 필요한 스캔 패턴, 스캔 섹션 및 쉬프트 주파수 정보의 구성에 대한 일 예를 도시한 개념도이다.
도 21 내지 28은 본 발명의 최소한 하나의 실시예에 따른 검색용 데이터를 생성하는 방법의 다양한 예를 도시한 개념도이다.
도 29는 본 발명의 최소한 하나의 실시예에 따른 칩 테스트의 시간을 최소화하는 방법의 일 예를 도시한 흐름도이다.
도 30은 본 발명의 최소한 하나의 실시예에 따른 칩 테스트의 시간을 최소화하기 위하여 각 스캔 섹션별 최적의 쉬프트 주파수를 결정하는 방법의 다른 일 예를 도시한 흐름도이다.
도 31은 본 발명의 최소한 하나의 실시예에 따른 칩 테스트의 시간을 최소화하는 방법의 보다 구체적인 과정의 일 예를 도시한 흐름도이다.
도 32는 본 발명의 최소한 하나의 실시예에 따른 칩 테스트의 시간을 최소화하는 방법에서 테스트의 정상 여부를 파악하는 구체적인 과정의 일 예를 도시한 흐름도이다.
도 33은 본 발명의 최소한 하나의 실시예에 따른 칩 테스트의 시간을 최소화하는 방법의 다른 일 예를 도시한 흐름도이다.
도 34는 본 발명의 최소한 하나의 실시예에 따른 칩 테스트 시간 최소화 장치의 구성을 도시한 블록도이다.
도 35는 본 발명의 최소한 하나의 실시예에 따라 복수의 스캔 섹션의 최적의 쉬프트 주파수를 병렬로 찾거나 결정하는 방법의 일 예를 도시한 개념도이다.
도 36은 본 발명의 최소한 하나의 실시예에 따른 칩 테스트의 시간을 최소화하기 위하여 스캔 패턴을 재배치하는 방법의 일 예를 도시한 개념도이다.
도 37 및 도 38은 본 발명의 최소한 하나의 실시예에 따른 번인 테스트 시스템의 구성을 도시한 블록도이다.
도 39는 본 발명의 최소한 하나의 실시예에 따라 단일 스캔 쉬프트 주파수를 이용하여 번인 테스트를 수행하는 경우에 IC 칩에 미치는 온도 영향의 일 예를 도시한 개념도이다.
도 40은 본 발명의 최소한 하나의 실시예에 따라 스캔 패턴별 최적의 쉬프트 주파수를 이용하여 번인 테스트를 수행하는 경우에 IC 칩에 미치는 온도 영향의 일 예를 도시한 개념도이다.
도 41은 스캔 섹션별 쉬프트 주파수를 최적화하지 않은 경우와 최적화한 경우의 스캔 쉬프트 동작 시 IC 칩의 발열 상태를 도시한 써멀 이미지이다.
도 42는 테스트 데이터의 전력 소모가 조정되기 전에 번인 테스트 동안 발생하는 전력 소모의 일 예를 나타낸 그래프이다.
도 43은 테스트 데이터의 전력 소모가 조정된 후의 번인 테스트 동안 발생하는 전력 소모의 일 예를 나타낸 그래프이다.
도 44는 본 발명의 최소한 하나의 실시예에 따른 번인 테스트의 시간을 최소화하기 위하여 각 스캔 섹션별 최적의 쉬프트 주파수를 찾는 방법의 일 예를 도시한 흐름도이다.
도 45는 본 발명의 최소한 하나의 실시예에 따른 번인 테스트 시간 최소화 장치의 일 예를 도시한 블록도이다.
도 46은 각 스캔 패턴에 대해 IC 칩의 임계 전력 소모에 근접할 때의 쉬프트 주파수와 쉬프트 주파수 증감 방법을 통해 최적화한 쉬프트 주파수에 대한 실험 결과를 비교하여 도시한 표이다.
도 47은 IC 칩 테스트시 발생할 수 있는 테스트 페일 홀(fail hole)의 일 예를 나타낸 그래프이다.
도 48은 본 발명에 따라 테스트 페일 홀 문제를 해결하는 방법의 일 예를 나타낸 그래프이다.
도 49는 본 발명의 최소한 하나의 실시예에 따른 페일 홀 문제를 해결하는 방법의 흐름도이다.
도 50은 본 발명의 최소한 하나의 실시예에 따른 페일 홀 문제를 해결하는 방법의 다른 예를 나타낸 그래프이다.
도 51은 본 발명의 최소한 하나의 실시예에 따른 테스트 시간 감소 및 수율 개선을 위한 쉬프트 주파수를 찾는 방법을 나타낸 그래프이다.
도 52는 본 발명의 실시 예에 따른 테스트 데이터의 일 예를 도시한 도면이다.
도 53 및 도 54는 본 발명의 실시 예에 따른 검색용 데이터의 일 예를 도시한 도면이다.
도 55 및 도 56은 본 발명의 실시 예에 따른 검색용 데이터의 다른 예를 도시한 도면이다.
도 57은 본 발명의 실시 예에 따른 검색용 데이터의 생성 방법의 일 예를 도시한 흐름도이다.
도 58은 본 발명의 실시 예에 따른 검색용 데이터 파일의 일 예를 도시한 도면이다.
도 59는 본 발명의 실시 예에 따른 검색용 데이터 생성장치의 일 예를 도시한 도면이다.
도 60은 본 발명의 실시 예에 따른 스캔 섹션별 쉬프트 주파수 정보에 기초하여 IC 칩의 고장 위치 후보를 찾는 방법의 일 예를 도시한 도면이다.
도 61은 본 발명의 실시 예에 따른 스캔 섹션에 의핸 활성화되는 IC 칩의 회로 부분을 시각화하여 표시하는 방법의 일 예를 도시한 도면이다.
도 62는 본 발명의 실시 예에 따른 칩 분석 장치의 일 예의 구성을 도시한 도면이다.
1 is a conceptual diagram illustrating an example of an IC chip to which a scan design method is applied.
2 and 3 are block diagrams illustrating the configuration of a chip test system according to at least one embodiment of the present invention.
4 is a conceptual diagram illustrating an example of a scan pattern according to at least one embodiment of the present invention.
5 to 9 are conceptual diagrams illustrating a method of dividing test data according to at least one embodiment of the present invention.
10 is a graph illustrating a relationship between the number of scan sections and a scan test time reduction rate according to at least one embodiment of the present invention.
11 is a conceptual diagram illustrating an example of allocating a shift frequency to each scan section in order to minimize the time of a chip test according to at least one embodiment of the present invention.
12 is a conceptual diagram illustrating an example of a method of finding a shift frequency in order to minimize a chip test time according to at least one embodiment of the present invention.
13 to 15 are conceptual diagrams illustrating an example of a pattern input to a scan path for determining a shift frequency according to at least one embodiment of the present invention.
16 is a graph illustrating an example of a method of finding a usable shift frequency of a scan pattern according to at least one embodiment of the present invention.
17 is a graph illustrating a case in which a test result of another scan pattern fails when increasing or decreasing a shift frequency of a scan pattern to find an optimal shift frequency according to at least one embodiment of the present invention.
18 to 20 are conceptual diagrams illustrating an example of a configuration of a scan pattern, a scan section, and shift frequency information necessary to find an optimal shift frequency according to at least one embodiment of the present invention.
21 to 28 are conceptual diagrams illustrating various examples of a method for generating search data according to at least one embodiment of the present invention.
29 is a flowchart illustrating an example of a method for minimizing the time of a chip test according to at least one embodiment of the present invention.
30 is a flowchart illustrating another example of a method of determining an optimal shift frequency for each scan section in order to minimize a chip test time according to at least one embodiment of the present invention.
31 is a flowchart illustrating an example of a more detailed process of a method for minimizing the time of a chip test according to at least one embodiment of the present invention.
32 is a flowchart illustrating an example of a specific process of determining whether a test is normal in a method for minimizing a chip test time according to at least one embodiment of the present invention.
33 is a flowchart illustrating another example of a method for minimizing a time of a chip test according to at least one embodiment of the present invention.
34 is a block diagram illustrating a configuration of an apparatus for minimizing a chip test time according to at least one embodiment of the present invention.
35 is a conceptual diagram illustrating an example of a method of finding or determining optimal shift frequencies of a plurality of scan sections in parallel according to at least one embodiment of the present invention.
36 is a conceptual diagram illustrating an example of a method of rearranging a scan pattern in order to minimize a chip test time according to at least one embodiment of the present invention.
37 and 38 are block diagrams illustrating a configuration of a burn-in test system according to at least one embodiment of the present invention.
39 is a conceptual diagram illustrating an example of the effect of temperature on an IC chip when a burn-in test is performed using a single scan shift frequency according to at least one embodiment of the present invention.
40 is a conceptual diagram illustrating an example of the effect of temperature on an IC chip when a burn-in test is performed using an optimal shift frequency for each scan pattern according to at least one embodiment of the present invention.
41 is a thermal image showing the heat generation state of the IC chip during the scan shift operation when the shift frequency for each scan section is not optimized and when the shift frequency is optimized.
42 is a graph illustrating an example of power consumption occurring during a burn-in test before power consumption of test data is adjusted.
43 is a graph illustrating an example of power consumption occurring during a burn-in test after power consumption of test data is adjusted.
44 is a flowchart illustrating an example of a method of finding an optimal shift frequency for each scan section in order to minimize a burn-in test time according to at least one embodiment of the present invention.
45 is a block diagram illustrating an example of an apparatus for minimizing burn-in test time according to at least one embodiment of the present invention.
46 is a table showing the comparison of experimental results for shift frequencies optimized through the shift frequency increase/decrease method with a shift frequency when approaching the critical power consumption of the IC chip for each scan pattern.
47 is a graph illustrating an example of a test fail hole that may occur during an IC chip test.
48 is a graph illustrating an example of a method for solving a test fail hole problem according to the present invention.
49 is a flowchart of a method for resolving a fail hole problem in accordance with at least one embodiment of the present invention.
50 is a graph illustrating another example of a method for solving a fail hole problem according to at least one embodiment of the present invention.
51 is a graph illustrating a method of finding a shift frequency for reducing test time and improving yield according to at least one embodiment of the present invention.
52 is a diagram illustrating an example of test data according to an embodiment of the present invention.
53 and 54 are diagrams illustrating an example of search data according to an embodiment of the present invention.
55 and 56 are diagrams illustrating another example of search data according to an embodiment of the present invention.
57 is a flowchart illustrating an example of a method for generating search data according to an embodiment of the present invention.
58 is a diagram illustrating an example of a data file for search according to an embodiment of the present invention.
59 is a diagram illustrating an example of an apparatus for generating data for search according to an embodiment of the present invention.
60 is a diagram illustrating an example of a method for finding a failure location candidate of an IC chip based on shift frequency information for each scan section according to an embodiment of the present invention.
61 is a diagram illustrating an example of a method of visualizing and displaying a circuit part of an IC chip activated by a scan section according to an embodiment of the present invention.
62 is a diagram illustrating a configuration of an example of a chip analysis apparatus according to an embodiment of the present invention.

이하에서, 첨부된 도면들을 참조하여 본 발명에 따른 스캔 테스트 시간 최소화 방법 및 그 장치에 대해 상세히 설명한다.Hereinafter, a method and apparatus for minimizing scan test time according to the present invention will be described in detail with reference to the accompanying drawings.

도 1은 스캔 설계 방법이 적용된 IC 칩의 일 예를 도시한 도면이다.1 is a diagram illustrating an example of an IC chip to which a scan design method is applied.

도 1의 예에서, IC 칩(100)은 조합회로(110)와 순차 회로(Sequential Logic)를 포함한다. 순차 회로(Sequential Logic)는 복수 개의 플립플롭(120,130,140)으로 구성된다. 각각의 플립플롭(120,130,140)은 멀티플렉서(Multiplexer, MUX) 방식의 스캔 플립플롭을 포함한 다양한 방식으로 구현될 수 있다.In the example of FIG. 1 , the IC chip 100 includes a combination circuit 110 and a sequential circuit (Sequential Logic). The sequential circuit (Sequential Logic) is composed of a plurality of flip-flops (120, 130, 140). Each of the flip-flops 120 , 130 , and 140 may be implemented in various ways, including a multiplexer (MUX) type scan flip-flop.

IC 칩(100)은 주 입력(PI, Primary Input) 포트(150), 주 출력(PO, Primary Output) 포트(152), 스캔활성화(SE, Scan Enable) 포트(160), 스캔 입력 포트(162), 클락(Clock) 입력 포트(164), 스캔 출력 포트(166) 등을 포함한다. 스캔활성화 포트(160)와 클락입력 포트(164)는 플립플롭(120,130,140)과 연결된다. 각 플립플롭(120,130,140)은 조합회로(110)와 연결되어 각 플립플롭에 저장된 값을 조합회로로 출력하고, 조합회로로부터 출력된 값을 입력 받을 수 있다.The IC chip 100 includes a primary input (PI) port 150 , a primary output (PO, Primary Output) port 152 , a scan enable (SE, Scan Enable) port 160 , and a scan input port 162 . ), a clock input port 164 , and a scan output port 166 . The scan activation port 160 and the clock input port 164 are connected to the flip-flops 120 , 130 , and 140 . Each flip-flop 120 , 130 , 140 may be connected to the combination circuit 110 to output a value stored in each flip-flop to the combination circuit, and receive a value output from the combination circuit.

주 입력 포트(150) 및 주 출력 포트(152)는 각각 IC 칩의 정상적인 동작 과정에서 데이터를 입력하고 출력하기 위한 포트이다.The main input port 150 and the main output port 152 are ports for inputting and outputting data during the normal operation of the IC chip, respectively.

스캔활성화 포트(160)는 스캔 활성화 신호 또는 스캔 비활성화 신호를 입력하기 위한 포트로, 스캔 활성화 신호 또는 스캔 비활성화 신호에 따라 IC 칩은 정상적인 동작을 수행하는 노멀(normal) 모드 (또는 기능(functional) 모드)가 되거나 IC 칩을 테스트하는 스캔 모드가 된다.The scan enable port 160 is a port for inputting a scan enable signal or scan deactivation signal, and the IC chip performs a normal operation according to the scan enable signal or scan deactivation signal in a normal mode (or functional mode) ) or the scan mode to test the IC chip.

스캔 입력 포트(162)는 IC 칩(100)의 테스트를 위하여 스캔 패턴을 입력하기 위한 포트이고, 스캔 출력 포트(166)는 스캔 패턴에 의한 테스트 결과를 출력하기 위한 포트이다. 스캔 출력 포트를 통해 출력되는 비트 패턴을 출력 스캔 패턴, 출력 패턴 또는 스캔 테스트 결과 패턴이라고 한다.The scan input port 162 is a port for inputting a scan pattern for testing the IC chip 100 , and the scan output port 166 is a port for outputting a test result based on the scan pattern. The bit pattern output through the scan output port is called an output scan pattern, output pattern, or scan test result pattern.

클락입력 포트(164)는 스캔 입력 포트(162)를 통해 입력되는 스캔 패턴을 플립플롭(120,130,140)에 쉬프트(shift)하여 로드하거나, 조합회로(110)의 출력을 캡쳐하여 플립플롭(120,130,140)에 저장할 수 있도록 트리거링(triggering)을 위한 클락 신호를 입력하기 위한 포트이다. 예를 들어, 플립플롭(120,130,140)은 클락입력 포트(164)를 통해 입력되는 클락 신호의 상승 에지(rising edge) 또는 하강 에지(falling edge)에 의해 트리거링 된다.The clock input port 164 shifts and loads the scan pattern input through the scan input port 162 to the flip-flops 120, 130, 140, or captures the output of the combination circuit 110 to the flip-flops 120, 130, 140. It is a port for inputting a clock signal for triggering so that it can be stored. For example, the flip-flops 120 , 130 , and 140 are triggered by a rising edge or a falling edge of a clock signal input through the clock input port 164 .

스캔 입력 포트(162)로부터 복수 개의 플립플롭(120,130,140)을 거쳐 스캔 출력 포트(166)로 연결되는 경로(점선 경로)를 스캔 체인(Scan Chain) 또는 스캔 경로(Scan Path)라고 한다. 도 1에서는 단일 스캔 경로를 도시하였으나, 복수 개의 스캔 경로가 사용될 수 있다.A path (dotted line path) connected from the scan input port 162 to the scan output port 166 via the plurality of flip-flops 120 , 130 , 140 is referred to as a scan chain or a scan path. Although a single scan path is illustrated in FIG. 1 , a plurality of scan paths may be used.

기능 모드의 경우, 조합 회로(110)는 주 입력 포트(150)를 통해 데이터를 입력 받고 주 출력 포트(152)를 통해 결과를 출력하는 동작을 수행한다. 이와 더불어, 기능 모드에서 플립플롭(120,130,140)은 클락 신호에 따라 조합회로(110)의 출력 값을 입력 받으며, 스캔 테스트 시에는 이러한 동작을 스캔 캡쳐(scan capture)라고 한다.In the case of the function mode, the combination circuit 110 receives data through the main input port 150 and outputs the result through the main output port 152 . In addition, in the functional mode, the flip-flops 120, 130, and 140 receive the output value of the combination circuit 110 according to the clock signal, and during the scan test, this operation is called scan capture.

스캔 모드에서, 스캔 패턴의 각 비트들은 클락 신호에 따라 스캔 경로 상에 존재하는 플립플롭(120,130,140) 들에 순차적으로 쉬프트인(Shift-In) 되고, 또한 순차적으로 스캔 출력 포트(166)를 통해 쉬프트아웃(Shift-Out) 된다. 여기서, 플립플롭(120,130,140)에 스캔 패턴이 쉬프트인된 상태를 로드(load)라고 하며, 플립플롭(120,130,140)에 저장된 값이 스캔 출력 포트(166)를 통해 쉬프트아웃 된 상태를 언로드(unload)라고 한다.In the scan mode, each bit of the scan pattern is sequentially shifted in to the flip-flops 120 , 130 , 140 existing on the scan path according to the clock signal, and is also sequentially shifted through the scan output port 166 . Shift-Out. Here, the state in which the scan pattern is shifted in to the flip-flops 120, 130, and 140 is called load, and the state in which the values stored in the flip-flops 120, 130, and 140 are shifted out through the scan output port 166 is called unload. do.

예를 들어, IC 칩 내 스캔 경로 상의 플립플롭(120,130,140)의 수가 3개라면, 각 스캔 패턴의 길이는 스캔 경로 상의 플립플롭 수와 동일한 3 비트의 길이로 구성되고, 3 비트의 스캔 패턴은 클락 신호에 따라 순차적으로 스캔 경로 상의 플립플롭(120,130,140)에 쉬프트인된다.For example, if the number of flip-flops 120, 130, and 140 on the scan path in the IC chip is three, the length of each scan pattern consists of a length of 3 bits equal to the number of flip-flops on the scan path, and the 3-bit scan pattern is a clock According to the signal, it is sequentially shifted in to the flip-flops 120, 130, and 140 on the scan path.

즉, 클락 신호의 상승 에지에서 플립플롭에 값이 저장된다고 할 때, 첫 번째 클락 신호의 상승 에지에서 첫 번째 플립플롭(140)에 스캔 패턴의 첫 번째 비트가 입력되어 저장되고, 두 번째 클락 신호의 상승 에지에서 첫 번째 플립플롭(140)의 출력 값은 두 번째 플립플롭(130)에 저장되고, 첫 번째 플립플롭(140)에는 스캔 패턴의 두 번째 비트 값이 저장된다. 세 번째 클락 신호의 상승 에지에서 두 번째 플립플롭(130)의 출력 값은 세 번째 플립플롭(120)에 저장되고, 첫 번째 플립플롭(140)의 출력 값은 두 번째 플립플롭(130)에 저장되고, 첫 번째 플립플롭(140)에는 스캔 패턴의 세 번째 비트 값이 저장된다. 따라서 세 번의 클락 신호로 하나의 스캔 패턴이 스캔 경로 상의 플립플롭(120,130,140)에 로드된다. 마찬가지로 세 번의 클락 신호로 스캔 경로 상의 플립플롭(120,130,140)의 값이 스캔 출력 포트(166)를 통해 언로드된다.That is, when a value is stored in the flip-flop at the rising edge of the clock signal, the first bit of the scan pattern is input to and stored in the first flip-flop 140 at the rising edge of the first clock signal, and the second clock signal At the rising edge of , the output value of the first flip-flop 140 is stored in the second flip-flop 130 , and the second bit value of the scan pattern is stored in the first flip-flop 140 . At the rising edge of the third clock signal, the output value of the second flip-flop 130 is stored in the third flip-flop 120 , and the output value of the first flip-flop 140 is stored in the second flip-flop 130 . and the third bit value of the scan pattern is stored in the first flip-flop 140 . Accordingly, one scan pattern is loaded into the flip-flops 120, 130, and 140 on the scan path with three clock signals. Similarly, the values of the flip-flops 120 , 130 , and 140 on the scan path are unloaded through the scan output port 166 with three clock signals.

스캔 테스트 과정을 보다 구체적으로 살펴보면 다음과 같다.The scan test process will be described in more detail as follows.

(1) IC 칩(100)의 주 입력 포트(150)에 주 입력 테스트 데이터를 인가한다.(1) The main input test data is applied to the main input port 150 of the IC chip 100 .

(2) 스캔 활성화 포트(160)에 스캔 활성화 신호를 인가하여 IC 칩(100)을 스캔 모드로 설정한다.(2) The IC chip 100 is set to a scan mode by applying a scan activation signal to the scan activation port 160 .

(3) 스캔 입력 포트(162)에 스캔 패턴을 쉬프트인하여 스캔 경로 상의 플립플롭(120,130,140)에 스캔 패턴을 로드한다. 스캔 경로에 로드된 스캔 패턴은 조합회로(110)에 인가된다. 스캔 패턴이 조합회로에 인가된 후 주 출력 포트(152)를 통해 출력되는 결과를 예측된 주 출력 값과 비교하여, 비교결과가 상이하면 IC 칩을 불량으로 판정한다.(3) By shifting the scan pattern into the scan input port 162, the scan pattern is loaded into the flip-flops 120, 130, and 140 on the scan path. The scan pattern loaded in the scan path is applied to the combination circuit 110 . After the scan pattern is applied to the combination circuit, the result output through the main output port 152 is compared with the predicted main output value, and if the comparison result is different, the IC chip is determined as defective.

(4) 스캔 활성화 포트(160)에 스캔 비활성화 신호를 인가하여 IC 칩(100)을 스캔 모드에서 기능 모드로 전환한다. 기능 모드에서, 클락 신호가 인가되면 플립플롭(120,130,140)은 조합회로(110)의 출력 값을 캡쳐하며, 이러한 동작을 스캔 캡쳐라고 하고, 이때의 모드를 또한 스캔 캡쳐 모드 라고도 부른다.(4) The IC chip 100 is switched from the scan mode to the function mode by applying a scan deactivation signal to the scan enable port 160 . In the functional mode, when a clock signal is applied, the flip-flops 120, 130, and 140 capture the output value of the combination circuit 110, and this operation is called scan capture, and this mode is also called scan capture mode.

(5) 스캔 활성화 포트(160)에 스캔 활성화 신호를 인가하여 IC 칩을 다시 기능 모드에서 스캔 모드로 전환한다.(5) By applying a scan activation signal to the scan activation port 160, the IC chip is switched from the function mode to the scan mode again.

(6) 그리고, 스캔 경로 상의 플립플롭(120,130,140)에 캡쳐된 값을 스캔 출력 포트(166)를 통해 쉬프트아웃하여 언로드한다.(6) Then, the values captured by the flip-flops 120, 130, and 140 on the scan path are shifted out through the scan output port 166 and unloaded.

(7) 언로드된 출력 패턴과 미리 알고 있는 예측 패턴을 비교하여 IC 칩의 정상 동작 여부를 파악한다. 여기서, 예측 패턴은 IC 칩이 정상적인 경우 주 입력 테스트 데이터와 스캔 패턴을 인가하고 스캔 캡쳐 동작을 한 후 스캔 출력 포트(166)를 통해 출력되는 스캔 패턴으로서 테스트 전에 미리 알고 있는 값 또는 예측되는 결과 패턴이다. 단계 (3)에서의 비교 결과가 동일하고 단계 (7)에서의 비교 결과가 동일하면, 테스트 결과가 정상(pass)이므로 IC 칩은 양품이며 그렇지 않으면 IC 칩은 불량품이다. 테스트 정상(pass)은 IC 칩에 이상이 없다고(fault-free) 판단되는 경우를 의미하며, 테스트 실패(fail)는 IC 칩에 이상이 있다고 판단되는 경우를 의미한다.(7) By comparing the unloaded output pattern with the predicted pattern known in advance, it is determined whether the IC chip is operating normally. Here, the prediction pattern is a scan pattern output through the scan output port 166 after applying the main input test data and the scan pattern and performing a scan capture operation when the IC chip is normal. A value known in advance or a predicted result pattern before the test. am. If the comparison result in step (3) is the same and the comparison result in step (7) is the same, the test result is pass and the IC chip is good, otherwise the IC chip is defective. A test pass means a case in which it is determined that the IC chip is fault-free, and a test failure means a case in which it is determined that there is an error in the IC chip.

도 2 및 도 3은 본 발명이 적용되는 ATE(Automatic Test Equipment)라고 불리는 IC 칩 테스트 시스템의 일 실시 예의 구성을 각각 도시한 블록도이다.2 and 3 are block diagrams each showing the configuration of an embodiment of an IC chip test system called ATE (Automatic Test Equipment) to which the present invention is applied.

도 2 및 도 3을 참조하면, 칩 테스트 시스템은 호스트 컴퓨터(200,300), 테스터 본체(210,310), 테스트 헤드(220,320), 및 인터페이스 보드(230,330)를 포함한다. 테스트를 위해 인터페이스 보드에 위치하는 테스트 대상 디바이스(DUT, Device Under Test)(240,340)는 웨이퍼 상의 IC 또는 패키징된 IC 칩 등이다. DUT가 웨이퍼 상의 IC 칩인 경우 프로버(350)를 더 포함할 수 있다. 이하 IC 회로, 웨이퍼 상의 IC 칩 또는 패키징된 IC 칩을 설명의 편의상 통칭하여 IC 칩 또는 칩이라고 한다.2 and 3 , the chip test system includes host computers 200 and 300 , tester bodies 210 and 310 , test heads 220 and 320 , and interface boards 230 and 330 . For testing, the device under test (DUT) 240 and 340 positioned on the interface board may be an IC on a wafer or a packaged IC chip. When the DUT is an IC chip on a wafer, it may further include a prober 350 . Hereinafter, an IC circuit, an IC chip on a wafer, or a packaged IC chip is collectively referred to as an IC chip or chip for convenience of description.

테스터 본체(210,310)는 스캔 테스트를 전체적으로 제어한다. 예를 들어, 테스터 본체는 DUT 테스트를 위한 셋업, DUT 테스트를 위한 전기적 신호의 발생, DUT 테스트 결과 신호의 관측 및 측정 등의 전반적인 과정을 제어한다. 테스터 본체(210,310)는 중앙처리장치(CPU), 메모리, 하드 디스크, 사용자 인터페이스 등을 포함하는 컴퓨터로 구현될 수 있으며, 실시 예에 따라 DUT(240,340)에 전원을 공급하는 디바이스 파워 공급장치(Device Power Supply)를 더 포함할 수도 있다.The tester bodies 210 and 310 overall control the scan test. For example, the tester body controls overall processes such as setup for DUT testing, generation of electrical signals for DUT testing, and observation and measurement of DUT test result signals. The tester body 210 and 310 may be implemented as a computer including a central processing unit (CPU), memory, hard disk, user interface, and the like, and according to an embodiment, a device power supply for supplying power to the DUTs 240 and 340 . Power Supply) may be further included.

또한, 테스터 본체(210,310)는 각종 디지털 신호를 처리하는 신호처리 프로세서(DSP, Digital Signal Processor)(미도시)와 테스트 헤드(220,320)를 제어하고, DUT(240,340)로 신호를 인가하는 제어기 및 신호 생성기 등의 전용 하드웨어, 소프트웨어 또는 펌웨어 등을 포함할 수 있다. 테스터 본체(210,310)는 메인 프레임 또는 서버라고 불리기도 한다.In addition, the tester body 210,310 controls a signal processing processor (DSP, Digital Signal Processor) (not shown) that processes various digital signals and the test heads 220 and 320, and applies a signal to the DUTs 240 and 340. A controller and a signal It may include dedicated hardware such as a generator, software, or firmware. The tester bodies 210 and 310 are also called main frames or servers.

호스트 컴퓨터(200,300)는 퍼스널 컴퓨터, 워크스테이션 등과 같은 컴퓨터일 수 있으며, 사용자가 테스트 프로그램을 실행시키고 테스트 과정을 제어하며 테스트 결과를 분석할 수 있도록 하는 장치이다. 일반적으로 호스트 컴퓨터(200,300)는 중앙 처리장치, 메모리 또는 하드 디스크와 같은 저장장치, 사용자 인터페이스 등을 포함할 수 있으며, 테스터 본체(210,310)와 유선 또는 무선 통신으로 연결될 수 있다. 호스트 컴퓨터(200,300)는 테스트를 제어하기 위한 전용 하드웨어, 소프트웨어 또는 펌웨어 등을 포함할 수 있다. 본 실시 예는 호스트 컴퓨터와 테스터 본체를 구분하여 도시하였으나, 호스트 컴퓨터(200,300)와 테스터 본체(210,310)는 하나의 장치로 구현될 수도 있다.The host computers 200 and 300 may be computers such as personal computers and workstations, and are devices that allow a user to execute a test program, control a test process, and analyze test results. In general, the host computers 200 and 300 may include a central processing unit, a storage device such as a memory or hard disk, a user interface, and the like, and may be connected to the tester body 210 or 310 through wired or wireless communication. The host computers 200 and 300 may include dedicated hardware, software, or firmware for controlling the test. Although the present embodiment shows the host computer and the tester body separately, the host computers 200 and 300 and the tester body 210 and 310 may be implemented as a single device.

테스터 본체(210,310) 또는 호스트 컴퓨터(200,300)의 메모리의 일 예로 DRAM, SRAM, 플래쉬 메모리 등이 사용될 수 있으며, 메모리에는 DUT 테스트를 수행하기 위한 프로그램과 데이터가 저장될 수 있다.As an example of the memory of the tester body 210 , 310 or the host computer 200 , 300 , DRAM, SRAM, or flash memory may be used, and a program and data for performing a DUT test may be stored in the memory.

테스터 본체(210,310) 또는 호스트 컴퓨터(200,300)의 소프트웨어 또는 펌웨어는 스캔 테스트를 위한 디바이스 드라이버 프로그램, 운영체제(OS, Operating System) 프로그램, DUT 테스트를 수행하는 프로그램으로써, DUT 테스트를 위한 셋업, DUT 테스트를 위한 신호의 발생, DUT 테스트 결과 신호의 관측 분석 등의 수행을 위한 명령 코드(instruction code) 형태로 메모리에 저장되어 중앙 처리장치에 의해 수행될 수 있다. 따라서 스캔 패턴은 이러한 프로그램에 의해 DUT로 인가될 수 있다. 또한 DUT 테스트 및 테스트 결과에 대한 리포팅 및 분석 데이터를 프로그램을 통해 자동 수행하여 얻을 수 있다. 프로그램에 사용되는 언어는 C, C++, 자바(java) 등 다양한 언어가 사용될 수 있다. 프로그램은 하드디스크, 자기 테이프 또는 플래시 메모리 등과 같은 저장장치에 저장될 수 있다.The software or firmware of the tester body 210 or 310 or the host computer 200 or 300 is a device driver program for scan test, an operating system (OS) program, and a program for performing DUT tests, and performs setup and DUT tests for DUT tests. It may be stored in the memory in the form of an instruction code for generation of a signal for a signal, observation analysis of a DUT test result signal, and the like, and executed by the central processing unit. Therefore, the scan pattern can be applied to the DUT by such a program. In addition, reporting and analysis data for DUT tests and test results can be obtained by automatically performing through the program. As a language used for the program, various languages such as C, C++, and Java may be used. The program may be stored in a storage device such as a hard disk, magnetic tape or flash memory.

테스터 본체(210,310) 또는 호스트 컴퓨터(200,300)의 중앙 처리장치는 프로세서(processor)로서, 메모리에 저장된 소프트웨어 또는 프로그램의 코드를 실행한다. 예를 들어, 키보드나 마우스 등과 같은 사용자 인터페이스를 통해 사용자 명령을 받으면, 중앙 처리장치는 사용자의 명령을 분석하고 이를 소프트웨어 또는 프로그램을 통해 수행한 후 그 결과를 스피커, 프린터, 모니터 등의 사용자 인터페이스를 통해 사용자에게 제공한다.The tester body 210 or 310 or the central processing unit of the host computer 200 or 300 is a processor and executes software or program codes stored in a memory. For example, when a user command is received through a user interface such as a keyboard or mouse, the central processing unit analyzes the user's command, executes it through software or a program, and displays the result through a user interface such as a speaker, printer, monitor, etc. provided to users through

테스터 본체(210,310) 또는 호스트 컴퓨터(200,300)의 사용자 인터페이스는 사용자와 장치 간에 정보를 주고받고 명령을 전달할 수 있도록 해준다. 예를 들어, 키보드, 터치 스크린, 마우스 등과 같은 사용자 입력을 위한 인터페이스 장치와, 스피커, 프린터, 모니터 등과 같은 출력 인터페이스 장치 등이 있다.The user interface of the tester body 210 or 310 or the host computer 200 or 300 allows information to be exchanged between the user and the device and commands to be transmitted. For example, there are an interface device for user input such as a keyboard, a touch screen, and a mouse, and an output interface device such as a speaker, a printer, and a monitor.

테스트 헤드(220,320)는 테스터 본체(210,310)와 DUT(240,340) 사이에 전기적 신호 전송을 위한 채널 등을 포함한다. 테스트 헤드(220,320) 상부에는 인터페이스 보드(230,330)가 구비된다. 패키징된 IC 칩 테스트에 사용되는 인터페이스 보드를 일반적으로 로드 보드(load board)라고 하며, 웨이퍼 상의 IC 칩 테스트에 사용되는 인터페이스 보드를 일반적으로 프로브 카드(probe card)라고 한다.The test heads 220 and 320 include channels for transmitting electrical signals between the tester bodies 210 and 310 and the DUTs 240 and 340 . Interface boards 230 and 330 are provided on the test heads 220 and 320 . An interface board used for testing a packaged IC chip is generally called a load board, and an interface board used for testing an IC chip on a wafer is generally called a probe card.

본 발명의 최소한 하나의 실시예에서, 호스트 컴퓨터(200,300)는 IC 칩 테스트 장치를 포함한다.In at least one embodiment of the present invention, the host computer 200,300 comprises an IC chip test device.

본 발명의 최소한 하나의 실시예에 있어서, IC 칩 테스트 장치는 스캔 패턴 집합에 포함된 적어도 두 개 이상의 스캔 섹션 중 사용 가능한 쉬프트 주파수를 검색하고자 하는 대상 스캔 섹션을 스캔 경로로 쉬프트하여 스캔 테스트 결과가 정상 또는 실패인 쉬프트 주파수를 검색하는 쉬프트 주파수 검색부를 구비한다.In at least one embodiment of the present invention, the IC chip test apparatus shifts the target scan section to search for usable shift frequencies among at least two or more scan sections included in the scan pattern set to the scan path so that the scan test result is and a shift frequency search unit that searches for a normal or failed shift frequency.

쉬프트 주파수 검색부는, 대상 스캔 섹션에 대한 쉬프트 주파수 검색 시에 대상 스캔 섹션의 쉬프트 주파수를 스캔 경로로 쉬프트하는 다른 스캔 섹션 중 최소한 하나의 스캔 섹션과는 다르게 증가 또는 감소시키거나 다른 쉬프트 주파수로 설정하여 스캔 테스트 결과가 정상 또는 실패인 쉬프트 주파수를 검색한다.The shift frequency search unit increases or decreases or sets a shift frequency different from at least one scan section among other scan sections that shift the shift frequency of the target scan section to the scan path when the shift frequency is searched for the target scan section. Search for the shift frequency for which the scan test result is normal or failed.

쉬프트 주파수 검색부는, 대상 스캔 섹션에 대해 사용 가능한 쉬프트 주파수 검색 시에, 대상 스캔 섹션의 쉬프트 주파수를 증가 또는 감소시키면서 스캔 테스트 결과가 정상에서 실패로 바뀌거나 실패에서 정상으로 바뀌는 영역의 쉬프트 주파수를 검색한다.The shift frequency search unit searches for a shift frequency in an area in which a scan test result changes from normal to failure or from failing to normal while increasing or decreasing the shift frequency of the target scan section when searching for available shift frequencies for the target scan section. do.

쉬프트 주파수 검색부는, 대상 스캔 섹션에 대해 사용 가능한 쉬프트 주파수 검색 시에, 대상 스캔 섹션에 대해 제1 쉬프트 주파수를 사용해서 얻어진 제1 스캔 테스트 결과와 대상 스캔 섹션 이전의 어느 하나의 스캔 섹션에 대해 제1 쉬프트 주파수와 다른 제2 쉬프트 주파수를 사용해서 얻어진 제2 스캔 테스트 결과가 모두 정상인 경우에 제1 쉬프트 주파수를 대상 스캔 섹션에 대해 사용 가능한 쉬프트 주파수로 결정한다.The shift frequency search unit, when searching for available shift frequencies for the target scan section, includes a first scan test result obtained by using the first shift frequency for the target scan section and a second scan section before the target scan section. When the results of the second scan test obtained by using the second shift frequency different from the first shift frequency are all normal, the first shift frequency is determined as a shift frequency usable for the target scan section.

본 발명의 최소한 하나의 실시예에 있어서, IC 칩 테스트 장치는 제1 스캔 섹션을 포함하는 제1 스캔 패턴을 스캔 경로에 쉬프트 해서 테스트를 수행하는 제1 테스트 단계 및 제1 스캔 섹션 이후의 제2 스캔 섹션을 포함하는 제2 스캔 패턴을 스캔 경로에 쉬프트 해서 테스트를 수행하는 제2 테스트 단계를 수행하여 제2 스캔 섹션에 대해 사용 가능한 쉬프트 주파수를 검색하는 쉬프트 주파수 검색부를 구비한다.In at least one embodiment of the present invention, the IC chip test apparatus performs a test by shifting a first scan pattern including a first scan section to a scan path to perform a test and a second test step after the first scan section and a shift frequency search unit configured to search for a usable shift frequency for the second scan section by performing a second test step of performing a test by shifting the second scan pattern including the scan section to the scan path.

쉬프트 주파수 검색부는, 제1 테스트 단계에서 제1 스캔 섹션을 제1 쉬프트 주파수로 스캔 경로에 쉬프트 하고, 제2 테스트 단계에서 제2 스캔 섹션을 제1 쉬프트 주파수와 상이한 제2 쉬프트 주파수로 스캔 경로에 쉬프트 하며, 제2 스캔 섹션에 대해 사용 가능한 쉬프트 주파수 검색 시에, 제1 테스트 단계의 제1 스캔 테스트 결과와 제2 테스트 단계의 제2 스캔 테스트 결과가 모두 정상인 경우에 제2 쉬프트 주파수를 제2 스캔 섹션에 대해 사용 가능한 쉬프트 주파수로 결정한다.The shift frequency search unit shifts the first scan section to the scan path with a first shift frequency in the first test step, and shifts the second scan section to the scan path with a second shift frequency different from the first shift frequency in the second test step. When the shift frequency available for the second scan section is searched, when the first scan test result of the first test step and the second scan test result of the second test step are both normal, the second shift frequency is set to the second Determines the available shift frequency for the scan section.

본 발명의 최소한 하나의 실시예에서, 제1 스캔 섹션은 제1 스캔 패턴이거나 제1 스캔 패턴의 일부이고, 제2 스캔 섹션은 제2 스캔 패턴이거나 제2 스캔 패턴의 일부이다.In at least one embodiment of the present invention, the first scan section is or is part of a first scan pattern and the second scan section is or is part of a second scan pattern.

쉬프트 주파수 검색부는, 제2 스캔 섹션에 대해 사용 가능한 쉬프트 주파수 검색 시에, 제2 쉬프트 주파수를 스캔 경로로 쉬프트 하는 다른 스캔 섹션 중 최소한 하나의 스캔 섹션과는 다르게 증가 또는 감소시키거나 다른 주파수로 설정하여 제2 스캔 섹션에 대한 쉬프트 주파수를 검색한다.The shift frequency search unit, when searching for available shift frequencies for the second scan section, increases or decreases differently from at least one scan section among other scan sections that shift the second shift frequency to the scan path, or sets it to a different frequency to search the shift frequency for the second scan section.

도 2 및 도 3에서는 IC 칩 테스트 장치가 호스트 컴퓨터(200,300)에 포함되는 것으로 설명하고 있으나, 이는 단지 하나의 예일 뿐, 프로세서를 가진 별도의 컴퓨터에 포함되어 호스트 컴퓨터(200,300) 또는 테스터 본체(210,310)에 연결되어 기능을 수행할 수도 있다.2 and 3, the IC chip test apparatus is described as being included in the host computers 200 and 300, but this is only an example, and is included in a separate computer with a processor to include the host computers 200 and 300 or the tester bodies 210 and 310 ) to perform a function.

도 2 및 도 3의 테스트 시스템은 본 발명의 이해를 돕기 위한 하나의 예에 지나지 아니하며 각각의 구성을 통합하여 일체형으로 구현하거나, 하나의 구성을 다수의 구성으로 분리하여 구현할 수 있는 등 실시 예에 따라 다양하게 설계 변형 가능하다.The test system of FIGS. 2 and 3 is only one example for helping the understanding of the present invention, and each configuration can be integrated into an integrated form, or one configuration can be implemented by separating it into a plurality of configurations. Various design modifications are possible according to it.

스캔 패턴은 스캔 테스트를 하기 위해 스캔 경로에 입력되는 비트 패턴을 의미하거나, 스캔 경로로부터 출력되는 비트 패턴을 의미한다.The scan pattern means a bit pattern input to a scan path for a scan test or a bit pattern output from the scan path.

또한 스캔 패턴의 비트 길이는 한 번의 스캔 테스트 동작을 위해 필요한 비트 패턴의 길이이다. 예를 들어, 스캔 패턴의 비트 길이는 스캔 캡쳐 동작을 수행하기 전까지 스캔 경로에 쉬프트되는 비트 패턴의 비트 길이와 같을 수 있다. 또 다른 예로, 스캔 패턴의 비트 길이는 스캔 경로 상의 플립플롭 등과 같은 비트 저장소자(storage element)의 개수와 같을 수 있다. 스캔 패턴의 비트 길이는 이상의 설명에 한정되는 것은 아니며 스캔 테스트 회로에 따라 다양하게 설정될 수 있다.In addition, the bit length of the scan pattern is the length of the bit pattern required for one scan test operation. For example, the bit length of the scan pattern may be the same as the bit length of the bit pattern shifted in the scan path before the scan capture operation is performed. As another example, the bit length of the scan pattern may be equal to the number of bit storage elements, such as flip-flops, on the scan path. The bit length of the scan pattern is not limited to the above description and may be variously set according to the scan test circuit.

본 발명의 실시 예들은 도 1의 IC 칩뿐만 아니라 스캔 경로에 비트 패턴을 쉬프트인하고 스캔 경로로부터 출력 패턴을 쉬프트아웃하는 다양한 종류의 칩에 모두 적용될 수 있다.Embodiments of the present invention may be applied to not only the IC chip of FIG. 1 but also various types of chips that shift a bit pattern in a scan path and shift an output pattern out of a scan path.

예를 들어, 본 발명의 실시 예들은 스캔 패턴을 스캔 경로에 쉬프트인하는 동작, 스캔 캡쳐 동작, 그리고 캡쳐된 비트 패턴을 쉬프트아웃하는 동작을 수행할 수 있는 회로를 포함하는 다양한 종류의 칩에 모두 적용될 수 있다.For example, embodiments of the present invention are applied to various types of chips including circuits capable of performing an operation of shifting a scan pattern into a scan path, a scan capture operation, and an operation of shifting out a captured bit pattern. can be applied.

도 4는 본 발명의 최소한 하나의 실시 예에 따른 칩 테스트에 적용될 수 있는 스캔 패턴의 일 예를 도시한 개념도이다.4 is a conceptual diagram illustrating an example of a scan pattern applicable to a chip test according to at least one embodiment of the present invention.

도 4를 참조하면, 스캔 모드에서 쉬프트인 동작과 쉬프트아웃 동작을 각각 수행하는 경우에 소요되는 시간을 줄이기 위하여 쉬프트인과 쉬프트아웃 동작이 동시에 수행된다. 즉 로드와 언로드 동작이 동시에 수행된다.Referring to FIG. 4 , the shift-in and shift-out operations are simultaneously performed in order to reduce the time required to respectively perform the shift-in operation and the shift-out operation in the scan mode. That is, the load and unload operations are performed simultaneously.

예를 들어, 입력 패턴 K(430)가 스캔 입력 포트를 통해 스캔 경로에 쉬프트인되어 로드될 때, 입력 패턴 K-1(400)에 의한 테스트 결과가 스캔 출력 포트를 통해 동시에 쉬프트아웃 되어 언로드된다. 이때 언로드된 출력 패턴은 입력 패턴 K-1(400)에 대한 예측 패턴 K-1(440)과 비교된다. 일반적으로 입력 패턴 K-1(400)에 대한 예측 패턴 K-1(440)과 입력 패턴 K(430)는 테스트 데이터 또는 파일에서 쌍으로 관리될 수 있다.For example, when the input pattern K 430 is shifted in to the scan path through the scan input port and loaded, the test result by the input pattern K-1 400 is simultaneously shifted out through the scan output port and unloaded. . At this time, the unloaded output pattern is compared with the prediction pattern K-1 (440) for the input pattern K-1 (400). In general, the prediction pattern K-1 440 and the input pattern K 430 for the input pattern K-1 400 may be managed as a pair in test data or a file.

본 발명의 최소한 하나의 실시예에서, 쉬프트인과 쉬프트아웃 동작을 중첩(overlapping)시켜 스캔 테스트를 하기 위하여, 스캔 입력 포트를 통해 쉬프트인되는 입력 패턴 K(430)와 입력 패턴 K-1(400)에 대한 예측 패턴 K-1(440)을 쌍으로 관리한다. 이와 같이, 스캔 패턴들은 서로 순서를 가질 수 있다. 실시 예에 따라, 스캔 패턴들은 순서 없이 다양한 방법으로 재배치될 수 있다.In at least one embodiment of the present invention, in order to perform a scan test by overlapping shift-in and shift-out operations, an input pattern K 430 and an input pattern K-1 400 that are shifted in through a scan input port ), the prediction pattern K-1 (440) is managed in pairs. In this way, the scan patterns may have an order with each other. According to an embodiment, the scan patterns may be rearranged in various ways without an order.

본 발명의 최소한 하나의 실시예에서, 첫 번째 스캔 패턴을 스캔 경로에 쉬프트인 할 때 동시에 쉬프트아웃 되는 출력 패턴은 돈케어(Don't-care) 패턴이거나 테스트 대상 칩의 리셋에 의한 스캔 경로 상태 값일 수 있다.In at least one embodiment of the present invention, when the first scan pattern is shifted in to the scan path, the output pattern shifted out at the same time is a Don't-care pattern or the scan path state by resetting the chip under test can be a value.

스캔 테스트 시간을 최소화하기 위한 또 다른 방법으로 스캔 테스트를 위한 전체 스캔 패턴의 개수를 줄이는 방법과 스캔 쉬프트 속도를 높이는 방법이 있다.Another method for minimizing the scan test time includes a method of reducing the total number of scan patterns for the scan test and a method of increasing the scan shift speed.

여기서, 스캔 쉬프트 속도를 높이는 것은 스캔 패턴의 쉬프트인 또는 쉬프트아웃의 쉬프트 주파수를 높이거나 쉬프트 주파수의 주기를 감소하는 것을 의미한다. 스캔 쉬프트 속도를 낮추는 것은 쉬프트 주파수를 낮추거나 쉬프트 주파수의 주기를 증가하는 것을 의미한다. 또한 스캔 쉬프트 속도를 최적화하는 것은 쉬프트 주파수를 최적화하거나 쉬프트 주파수의 주기를 최적화하는 것을 의미한다.Here, increasing the scan shift speed means increasing the shift frequency of the shift-in or shift-out of the scan pattern, or decreasing the period of the shift frequency. Reducing the scan shift speed means lowering the shift frequency or increasing the period of the shift frequency. Also, optimizing the scan shift speed means optimizing the shift frequency or optimizing the period of the shift frequency.

쉬프트 주파수의 증가나 감소 각각은 쉬프트 주파수의 주기의 감소나 증가와 실질적으로 동일하므로, 이하에서는 설명의 편의를 위해 주로 쉬프트 주파수의 증감 관점에서 스캔 테스트 시간을 최소화하는 방법에 대해 설명한다. 따라서 이하에서 명시적인 기재가 없다고 하여도, 주파수의 증가나 감소는 주파수의 주기의 감소나 증가로 해석될 수 있고, 또한 주파수의 주기의 감소나 증가는 주파수의 증가나 감소로 해석될 수 있다. 또한 주파수의 주기는 단순히 주기로 불릴 수도 있으며 입력되는 클락의 클락 주기라고 불릴 수도 있다.Since the increase or decrease of the shift frequency is substantially the same as the decrease or increase of the period of the shift frequency, a method of minimizing the scan test time will be mainly described in terms of the increase or decrease of the shift frequency for convenience of description. Therefore, even if there is no explicit description hereinafter, an increase or decrease in the frequency may be interpreted as a decrease or increase in the period of the frequency, and the decrease or increase in the period of the frequency may be interpreted as an increase or decrease in the frequency. Also, the period of the frequency may be simply referred to as a period or may be referred to as a clock period of an input clock.

도 5 내지 도 9는 본 발명의 최소한 하나의 실시예에 따른 칩 테스트의 시간을 최소화하기 위하여 테스트 데이터를 적어도 하나 이상의 스캔 섹션으로 분할하는 방법의 다양한 예를 도시한 개념도이다.5 to 9 are conceptual views illustrating various examples of a method of dividing test data into at least one scan section in order to minimize the time of a chip test according to at least one embodiment of the present invention.

도 5를 참조하면, IC 칩의 테스트를 위하여 스캔 경로에 쉬프트되는 테스트 데이터(500)의 비트 패턴을 복수의 스캔 섹션(510,512,514,516,518)으로 분할하고, 각 스캔 섹션(510,512,514,516,518)별 최적의 쉬프트 주파수를 찾아 스캔 테스트 시에 적용하여 스캔 테스트 시간을 절약할 수 있다.Referring to FIG. 5, the bit pattern of the test data 500 shifted in the scan path for testing the IC chip is divided into a plurality of scan sections 510,512,514,516,518, and the optimal shift frequency for each scan section 510,512,514,516,518 is found It can be applied at the time of scan test to save scan test time.

본 발명의 최소한 하나의 실시예에서, 테스트 데이터의 비트 패턴(500)은 도 6과 같은 복수 개의 스캔 패턴으로 구성될 수 있다.In at least one embodiment of the present invention, the bit pattern 500 of the test data may include a plurality of scan patterns as shown in FIG. 6 .

도 6을 참조하면, IC 칩의 테스트를 위하여 복수 개의 스캔 패턴이 사용될 수 있다. 스캔 섹션은 적어도 하나 이상의 스캔 패턴으로 구성되거나 스캔 패턴의 일부로 구성될 수 있으며, 스캔 섹션별로 최적의 쉬프트 주파수를 찾아 스캔 테스트 시에 적용함으로써 스캔 테스트 시간을 보다 더 절약할 수 있다.Referring to FIG. 6 , a plurality of scan patterns may be used for testing an IC chip. The scan section may be composed of at least one scan pattern or a part of the scan pattern, and the scan test time can be further saved by finding an optimal shift frequency for each scan section and applying it during the scan test.

제1 실시 예로, 스캔 섹션(600)은 하나의 스캔 패턴으로 구성되며 스캔 패턴과 일대일 대응될 수 있다. 즉 스캔 패턴이 곧 스캔 섹션이 될 수 있다.In the first embodiment, the scan section 600 is configured with one scan pattern and may correspond to the scan pattern one-to-one. That is, a scan pattern may become a scan section.

제2 실시 예로, 스캔 섹션(610)은 두 개의 스캔 패턴을 포함할 수 있다. 스캔 섹션에 포함되는 스캔 패턴의 개수는 실시 예에 따라 다양하게 변경 가능하다.In a second embodiment, the scan section 610 may include two scan patterns. The number of scan patterns included in the scan section may be variously changed according to an embodiment.

제3 실시 예로, 스캔 섹션(620)은 제1 스캔 패턴의 일부와 제2 스캔 패턴의 일부로 구성될 수 있다.As a third embodiment, the scan section 620 may be configured as a part of the first scan pattern and a part of the second scan pattern.

제4 실시 예로, 스캔 섹션(630)은 하나의 스캔 패턴의 일부로 구성될 수 있다.As a fourth embodiment, the scan section 630 may be configured as a part of one scan pattern.

제5 실시 예로, 하나의 스캔 패턴이 두 개의 스캔 섹션(640,650)으로 분할될 수 있다. 하나의 스캔 패턴에 포함되는 스캔 섹션의 개수는 실시 예에 따라 다양하게 변경 가능하다.As a fifth embodiment, one scan pattern may be divided into two scan sections 640 and 650 . The number of scan sections included in one scan pattern may be variously changed according to an embodiment.

테스트 데이터는 앞서 살핀 여러 가지 실시 예(600,610,620,630,640,650) 중 어느 하나의 방법으로 분할될 수 있을 뿐만 아니라, 이들 실시 예를 두 가지 이상 적용하여 분할될 수 있다. 예를 들어, 도 6의 N개의 스캔 패턴으로 구성된 테스트 데이터는 하나의 스캔 패턴을 포함하는 제1 스캔 섹션(600), 두 개의 스캔 패턴을 포함하는 제2 스캔 섹션(610), 하나의 스캔 패턴의 일부를 포함하는 제3,4 스캔 섹션(640,650)으로 분할될 수 있다.The test data may be divided by any one of the above salpin various embodiments (600, 610, 620, 630, 640, 650) as well as divided by applying two or more of these embodiments. For example, the test data composed of N scan patterns of FIG. 6 includes a first scan section 600 including one scan pattern, a second scan section 610 including two scan patterns, and one scan pattern. It may be divided into third and fourth scan sections 640 and 650 including a portion of .

도 7을 참조하면, 테스트 데이터(700)의 비트 패턴에서 동일하고 연속된 비트 값을 갖는 구간이 스캔 섹션(702,704,706,708,710)으로 분할될 수 있다. 동일한 비트 값이 연속하여 스캔 경로에 쉬프트되면 스캔 경로의 비트 값 스위칭 활동(switching activities)이 줄어들어 전력 소모가 작아지므로, 연속된 비트 값을 갖는 스캔 섹션에 높은 쉬프트 주파수가 할당될 수 있다.Referring to FIG. 7 , a section having the same and continuous bit values in the bit pattern of the test data 700 may be divided into scan sections 702 , 704 , 706 , 708 and 710 . When the same bit values are successively shifted in the scan path, bit value switching activities of the scan path are reduced and power consumption is reduced, so that a high shift frequency can be allocated to a scan section having consecutive bit values.

예를 들어, 테스트 데이터(700)의 비트 패턴에서 비트 값이 0에서 1, 또는 1에서 0으로 바뀌는 경계를 기준으로, 테스트 데이터(700)는 적어도 하나 이상의 스캔 섹션(702,704,706,708,710)으로 분할될 수 있다. 또는 0 또는 1의 비트 값이 연속되는 비트 패턴의 구간(710) 내에서 M(M은 정수) 개의 비트를 묶어 스캔 섹션(720,722)으로 분할할 수 있다.For example, based on a boundary at which a bit value in the bit pattern of the test data 700 changes from 0 to 1, or from 1 to 0, the test data 700 can be divided into at least one or more scan sections 702,704,706,708,710. . Alternatively, M (M is an integer) bits may be bundled and divided into scan sections 720 and 722 within the section 710 of the bit pattern in which bit values of 0 or 1 are continuous.

또 다른 예로, 테스트 데이터의 비트 패턴에서 동일하고 연속된 비트 값을 갖는 구간의 길이가 일정 길이보다 짧으면, 이 구간을 스캔 섹션으로 분할하지 않고 적어도 두 개 이상의 구간(702,704)을 묶어 하나의 스캔 섹션(703)으로 분할할 수 있다.As another example, if the length of a section having the same and continuous bit values in the bit pattern of the test data is shorter than a certain length, the section is not divided into scan sections, but at least two sections 702 and 704 are bundled together to form one scan section (703).

도 8을 참조하면, 스캔 섹션(810)은 다시 복수 개의 서브 스캔 섹션(sub scan section)(812,814)으로 분할될 수 있다. 예를 들어, 스캔 섹션(810,820)별로 찾은 최적 쉬프트 주파수들 중 상대적으로 낮은 최적 쉬프트 주파수를 갖는 스캔 섹션(810)을 다시 복수의 서브 스캔 섹션(812,814)으로 나누고, 나누어진 서브 스캔 섹션(812,814)에 대해 다시 최적 쉬프트 주파수를 찾을 수 있다.Referring to FIG. 8 , the scan section 810 may be further divided into a plurality of sub scan sections 812 and 814 . For example, the scan section 810 having a relatively low optimal shift frequency among the optimal shift frequencies found for each scan section 810 and 820 is again divided into a plurality of sub-scan sections 812 and 814, and the divided sub-scan sections 812 and 814 are divided into sub-scan sections 812 and 814. The optimal shift frequency can be found again for .

도 9를 참조하면, 테스트 데이터(900,910)의 각 스캔 섹션에 적용할 최적 쉬프트 주파수를 찾는데 소요되는 예상시간(이하, '예상소요시간'이라 함)을 고려하여 테스트 데이터를 분할할 스캔 섹션의 개수를 결정할 수 있다. 스캔 섹션의 개수가 많아질수록 전체 스캔 섹션의 최적 쉬프트 주파수를 찾는데 소요되는 예상소요시간이 늘어난다. 예상소요시간은 스캔 섹션의 개수와 예상소요시간의 관계를 나타내는 기 설정된 공식에 의해 산출될 수 있다.Referring to FIG. 9 , the number of scan sections into which the test data is to be divided in consideration of the expected time (hereinafter referred to as 'expected time required') required to find the optimal shift frequency to be applied to each scan section of the test data 900 and 910 can be decided As the number of scan sections increases, the estimated time required to find the optimal shift frequency of the entire scan section increases. The expected required time may be calculated by a preset formula representing the relationship between the number of scan sections and the expected required time.

도 9의 예에서, 최적 쉬프트 주파수를 찾는데 사용할 수 있는 A 시간의 제약 조건이 있다면, 예상소요시간이 A 시간 이하가 될 수 있도록 테스트 데이터(900)를 분할할 스캔 섹션의 개수 N이 결정된다. 최적 쉬프트 주파수를 찾는데 사용할 수 있는 B 시간(A>B)의 제약 조건이 있다면, 예상소요시간이 B 시간 이하가 될 수 있도록 테스트 데이터(910)를 분할할 스캔 섹션의 개수 M(N>M)이 결정된다.In the example of FIG. 9 , if there is a constraint of time A that can be used to find the optimal shift frequency, the number N of scan sections into which the test data 900 is divided is determined so that the expected required time is less than or equal to A time. If there is a constraint of time B (A > B) that can be used to find the optimal shift frequency, the number of scan sections into which the test data 910 is divided so that the estimated time required is less than or equal to B time M (N > M) this is decided

테스트 데이터(900)를 분할할 개수가 N개로 결정되면, 테스트 데이터(900)는 결정된 N 개수의 스캔 섹션으로 분할된다. 예를 들어, 테스트 데이터(900)를 균등한 비트 길이를 가진 N 개의 스캔 섹션으로 분할하는 방법, 도 7과 같이 동일하고 연속된 비트 값을 갖는 구간을 스캔 섹션으로 분할하되, 구간의 개수가 N 개일 때까지만 분할하는 방법 등 다양한 방법이 적용될 수 있다.When it is determined that the number of divisions of the test data 900 is N, the test data 900 is divided into the determined N number of scan sections. For example, a method of dividing the test data 900 into N scan sections having an equal bit length, as shown in FIG. 7 , a section having the same and continuous bit values is divided into scan sections, but the number of sections is N Various methods can be applied, such as a method of dividing only up to a number.

예상소요시간을 산출하기 위하여 다음의 정보가 사용될 수 있다.The following information can be used to calculate the estimated required time.

- 최적 쉬프트 주파수를 찾기 위한 시작 주파수- Start frequency to find the optimal shift frequency

- 최적 쉬프트 주파수를 찾기 위한 종료 주파수- End frequency to find the optimal shift frequency

- 최적 쉬프트 주파수를 찾기 위한 주파수의 증감 단위- Increase/decrease unit of frequency to find the optimal shift frequency

- 최적 쉬프트 주파수를 찾기 위한 주파수의 증감 방법(연속적으로 주파수를 증감 또는 이진 검색 방법으로 증감 등)- Frequency increase/decrease method to find the optimal shift frequency (continuous increase/decrease frequency or increase/decrease by binary search method)

- 테스트 데이터에 포함된 스캔 패턴의 개수(SPN)- Number of scan patterns included in test data (SPN)

- 스캔 패턴의 비트 길이(SBL)- bit length of scan pattern (SBL)

- 테스트 데이터를 스캔 섹션으로 분할하는 방법이나 기준(일정 비트 길이 단위로 분할, 일정 개수로 분할, 또는 비트 값이 바뀌는 경계를 기준으로 분할 등)- Method or criteria for dividing the test data into scan sections (segmentation by a certain bit length unit, partitioning into a certain number, or partitioning based on a boundary at which bit values change, etc.)

- 스캔 섹션의 개수(SSN)- Number of scan sections (SSN)

- 최적 쉬프트 주파수를 찾는 방법이 구현된 장치의 성능(예를 들어, 프로세서 성능(CPU 속도 등), 메모리나 하드 디스크의 용량과 속도 등)- The performance of the device in which the method of finding the optimal shift frequency is implemented (for example, processor performance (CPU speed, etc.), memory or hard disk capacity and speed, etc.)

- 최적 쉬프트 주파수를 찾는 방법이 구현된 장치의 데이터 입출력 시간 등을 고려한 기타 마진 시간- Other margin time considering the data input/output time of the device in which the method of finding the optimal shift frequency is implemented

본 발명의 최소한 하나의 실시예에서, 최적 쉬프트 주파수를 찾을 때 시작 주파수부터 종료 주파수까지 일정한 간격으로 순차적으로 증가하는 방법을 사용한다고 가정하면, 예상소요시간을 산출하기 위한 공식의 일 예는 다음 수학식과 같다.In at least one embodiment of the present invention, assuming that a method of sequentially increasing at regular intervals from the start frequency to the end frequency is used to find the optimal shift frequency, an example of a formula for calculating the expected required time is the following math same as expression

Figure 112021094937032-pat00001
Figure 112021094937032-pat00001

여기서, SSN은 스캔 섹션의 개수, SPN은 스캔 패턴의 개수, SBL은 스캔 패턴의 비트 길이, SFP는 쉬프트 주파수의 주기, FN은 스캔 섹션당 최적 쉬프트 주파수를 찾기 위한 쉬프트 주파수의 증가 횟수를 나타낸다.Here, SSN is the number of scan sections, SPN is the number of scan patterns, SBL is the bit length of the scan pattern, SFP is the period of the shift frequency, and FN is the number of times the shift frequency is increased to find the optimal shift frequency per scan section.

수학식 1에서, 예상소요시간이 주어지면 이를 만족하여 스캔 섹션의 개수를 결정할 수 있다.In Equation 1, given the expected required time, it is possible to determine the number of scan sections by satisfying this requirement.

도 10은 본 발명의 최소한 하나의 실시예에 따른 스캔 섹션의 개수와 스캔 테스트 시간 감소율 사이의 관계를 나타낸 그래프이다.10 is a graph illustrating a relationship between the number of scan sections and a scan test time reduction rate according to at least one embodiment of the present invention.

도 10을 참조하면, 스캔 섹션의 개수나 스캔 섹션의 분할 방법에 따른 스캔 테스트 시간 감소율의 추이 정보를 사용하여 테스트 데이터의 스캔 섹션 분할 개수가 결정될 수 있다. 쉬프트 주파수가 최적화된 스캔 섹션의 개수가 증가할수록, 테스트 데이터를 이용한 스캔 테스트의 시간 감소율이 높아질 수 있다.Referring to FIG. 10 , the number of divided scan sections of the test data may be determined using transition information of the scan test time reduction rate according to the number of scan sections or a method of dividing the scan sections. As the number of scan sections for which the shift frequency is optimized increases, a time reduction rate of a scan test using test data may increase.

도 10에서, 세로축은 테스트 데이터 전체에 단일 쉬프트 주파수(constant shift frequency)를 사용할 때 소요되는 스캔 테스트 시간 대비 스캔 섹션별 최적 쉬프트 주파수를 사용할 때 소요되는 스캔 테스트 시간의 감소율이다. 가로축은 쉬프트 주파수가 최적화된 스캔 섹션의 개수를 의미한다.In FIG. 10 , the vertical axis represents the reduction rate of the scan test time required when using the optimal shift frequency for each scan section compared to the scan test time required when a single constant shift frequency is used for the entire test data. The horizontal axis means the number of scan sections for which the shift frequency is optimized.

테스트 데이터를 분할하는 스캔 섹션의 개수가 증가할수록 스캔 섹션들의 평균 비트 길이는 짧아진다. 그리고 스캔 섹션의 비트 길이가 짧아질수록 최적 쉬프트 주파수는 더욱 높아져 스캔 테스트 시간을 단축할 수 있다.As the number of scan sections dividing the test data increases, the average bit length of the scan sections becomes shorter. In addition, as the bit length of the scan section becomes shorter, the optimal shift frequency becomes higher, so that the scan test time can be shortened.

이상에서 살핀 스캔 섹션의 다양한 분할 방법은 본 발명의 이해를 돕기 위한 다양한 실시 예일 뿐 본 발명이 도 5 내지 도 10의 각 방법으로 한정되는 것은 아니다. 도 5 내지 도 10에 도시된 방법 이외에도, 테스트 데이터를 분할하는 다양한 방법이 적용될 수 있다.The various division methods of the salpin scan section in the above are only various embodiments to help the understanding of the present invention, but the present invention is not limited to each method of FIGS. 5 to 10 . In addition to the method shown in FIGS. 5 to 10 , various methods of dividing test data may be applied.

도 11은 본 발명의 최소한 하나의 실시예에 따른 스캔 테스트 시간 최소화를 위하여 각 스캔 섹션별로 쉬프트 주파수를 할당한 일 예를 도시한 개념도이다.11 is a conceptual diagram illustrating an example of allocating a shift frequency to each scan section in order to minimize a scan test time according to at least one embodiment of the present invention.

도 11을 참조하면, 복수의 쉬프트 주파수들이 각 스캔 섹션에 할당된다. 종래 스캔 테스트의 경우에, 테스트 데이터의 모든 스캔 패턴들을 IC 칩의 스캔 경로에 정상적으로 쉬프트 할 수 있는 단일 쉬프트 주파수가 이용되는데, 이러한 단일 쉬프트 주파수를 명목(nominal) 쉬프트 주파수 라고도 한다.Referring to FIG. 11 , a plurality of shift frequencies are allocated to each scan section. In the case of the conventional scan test, a single shift frequency capable of normally shifting all scan patterns of the test data to the scan path of the IC chip is used, and this single shift frequency is also referred to as a nominal shift frequency.

일반적으로 명목 쉬프트 주파수는 ATPG 소프트웨어로 스캔 패턴을 만들 때 사용되는 쉬프트 주파수이거나 이를 기준으로 약간 조정된 쉬프트 주파수일 수 있으며, IC 칩을 테스트하기 위한 모든 스캔 패턴들을 IC 칩의 스캔 경로에 정상적으로 쉬프트 할 수 있는 단일 주파수로서 상당히 낮은 (예를 들어, 약 5 MHz) 주파수이다.In general, the nominal shift frequency may be the shift frequency used when creating a scan pattern with ATPG software, or it may be a slightly adjusted shift frequency based on this. It is a fairly low (eg, about 5 MHz) frequency as a single frequency that can be

따라서 명목 쉬프트 주파수를 테스트 데이터를 구성하는 수천 내지 수 만개 이상의 스캔 패턴들에 그대로 사용할 경우 스캔 테스트 시간이 상당히 소요되며, 특히 IC 칩의 양산 테스트 시 IC 칩의 원가와 시장진입 시간(time-to-market)에 상당한 영향을 줄 수 있다. 예를 들어, 1개의 IC 칩을 테스트 하는데 2초가 소요된다고 가정하면, 1천 만개의 칩을 순차적으로 테스트하면 약 5,556시간, 즉 약 231일이 소요된다. 고가의 장비를 사용하여 수 개의 칩을 동시에 테스트 하여도 적지 않은 테스트 시간이 소요된다. 통상 IC 칩 테스트 서비스 회사는 사용하는 테스트 장비 수와 테스트 시간에 비례하여 비용을 청구하므로 칩 테스트 소요시간은 칩 원가에 많은 영향을 미칠 수 있다.Therefore, when the nominal shift frequency is used as it is for thousands to tens of thousands of scan patterns constituting the test data, it takes a considerable amount of time for the scan test. market) can have a significant impact. For example, assuming that it takes 2 seconds to test one IC chip, testing 10 million chips sequentially takes about 5,556 hours, or about 231 days. Even if several chips are tested simultaneously using expensive equipment, a considerable amount of test time is required. Typically, IC chip test service companies charge a fee in proportion to the number of test equipment used and test time, so the time required for chip test can have a significant impact on chip cost.

그렇다고 명목 쉬프트 주파수를 높게 할 경우, 스캔 패턴을 쉬프트인 또는 쉬프트아웃할 때 발생하는 전력 소모가 IC 칩이 허용하는 전력 소모 범위를 벗어나게 되므로 정상적인 스캔 테스트를 수행할 수 없게 된다. 또한, 오버 쉬프트 주파수로 인해 크리티컬 경로(critical path) 지연 시간 문제, 파워 서플라이 노이즈 영향 심화, 신호선 간 간섭 영향 심화 등으로 인한 양품을 불량품으로 판정하는 오버킬(over kill) 문제가 발생할 수 있다. 이는 IC 칩 양산에 있어서 매우 중요한 수율과 원가에 영향을 미칠 수 있다.However, if the nominal shift frequency is increased, the power consumption generated when the scan pattern is shifted in or out is out of the range of power consumption allowed by the IC chip, so that a normal scan test cannot be performed. In addition, due to the over-shift frequency, an over-kill problem of determining a good product as a defective product may occur due to a critical path delay time problem, a deepening effect of power supply noise, and a deepening of interference between signal lines. This can affect the yield and cost, which are very important in mass production of IC chips.

따라서 본 실시 예는 전체 스캔 패턴에 대해 명목 쉬프트 주파수와 같은 단일의 쉬프트 주파수를 적용하는 것이 아니라 스캔 섹션 별로 스캔 경로에 정상적으로 쉬프트 될 수 있는 최적의 쉬프트 주파수를 할당한다. 스캔 섹션 별 최적의 쉬프트 주파수를 찾는 과정은 도 12 이하를 참조하여 보다 상세하게 설명한다. 최적 쉬프트 주파수는 스캔 섹션에 대해 사용 가능한 최대 쉬프트 주파수이거나 이보다 작은 쉬프트 주파수를 의미한다.Therefore, in the present embodiment, instead of applying a single shift frequency such as a nominal shift frequency to the entire scan pattern, an optimal shift frequency that can be normally shifted is allocated to the scan path for each scan section. The process of finding the optimal shift frequency for each scan section will be described in more detail with reference to FIG. 12 or less. The optimal shift frequency means the maximum shift frequency that can be used for the scan section or a shift frequency smaller than this.

도 11의 예에서, 스캔 섹션 1은 쉬프트 주파수 A를 할당 받고, 스캔 섹션 2는 쉬프트 주파수 B를 할당 받는다. 그리고 스캔 섹션 3은 스캔 섹션 1과 동일한 쉬프트 주파수 A를 할당 받는다. 이와 같이, 각 스캔 섹션은 동일한 쉬프트 주파수를 할당 받거나 서로 다른 쉬프트 주파수를 할당 받을 수 있다.In the example of FIG. 11 , scan section 1 is assigned a shift frequency A, and scan section 2 is assigned a shift frequency B. And the scan section 3 is allocated the same shift frequency A as the scan section 1. In this way, each scan section may be allocated the same shift frequency or may be allocated different shift frequencies.

예를 들어, 하나의 스캔 패턴이 복수의 스캔 섹션으로 분할된 경우에, 하나의 스캔 패턴에 복수의 쉬프트 주파수가 할당될 수 있다. 도 6을 참조하면, 하나의 스캔 패턴에 속한 두 개의 스캔 섹션(640,650)은 서로 다른 쉬프트 주파수를 할당 받을 수 있다. 즉, 하나의 스캔 패턴에 두 개의 쉬프트 주파수가 할당된다.For example, when one scan pattern is divided into a plurality of scan sections, a plurality of shift frequencies may be allocated to one scan pattern. Referring to FIG. 6 , two scan sections 640 and 650 belonging to one scan pattern may be assigned different shift frequencies. That is, two shift frequencies are allocated to one scan pattern.

쉬프트 주파수를 할당 받은 각 스캔 섹션은 실시 예에 따라 섹션 그룹으로 통합될 수도 있다. 예를 들어, 두 번째 스캔 섹션과 세 번째 스캔 섹션을 섹션 그룹으로 묶고, 각 스캔 섹션의 쉬프트 주파수 A, B 중 더 작은 쉬프트 주파수 또는 그 이하를 해당 섹션 그룹에 할당할 수 있다.Each scan section to which a shift frequency is assigned may be integrated into a section group according to an embodiment. For example, the second scan section and the third scan section may be grouped into a section group, and a smaller shift frequency or less among shift frequencies A and B of each scan section may be assigned to the corresponding section group.

스캔 테스트 과정에서 주 입력 포트에 주 입력 테스트 데이터 인가 및 스캔 경로에 스캔 패턴 입력 후 주 출력에서의 테스트 결과 관찰은 이하의 실시 예의 칩 테스트 과정에 적용되거나 적용되지 않을 수 있다.In the scan test process, the application of the main input test data to the main input port and the observation of the test result at the main output after the scan pattern is input to the scan path may or may not be applied to the chip test process of the following embodiments.

도 12는 본 발명의 최소한 하나의 실시 예에 따른 스캔 테스트 시간 최소화를 위한 쉬프트 주파수를 찾는 방법의 일 예를 도시한 개념도이다.12 is a conceptual diagram illustrating an example of a method of finding a shift frequency for minimizing a scan test time according to at least one embodiment of the present invention.

먼저, 입력 패턴, 스캔 섹션, 스캔 패턴, 출력 패턴의 관계를 설명한다.First, the relationship between the input pattern, the scan section, the scan pattern, and the output pattern will be described.

입력 패턴(1202,1204,1206)은 스캔 경로(1210)에 입력되는 비트 패턴이다. 도 12에서 현재 쉬프트 주파수 결정 대상인 스캔 섹션 K는 입력 패턴 K(1204)와 일대일 대응된다. 최적의 쉬프트 주파수를 찾거나 결정하고자 하는 스캔 섹션 K(이하, 대상 스캔 섹션 K)를 포함하는 입력 패턴 K(1204)의 앞 또는 뒤에 위치하는 비트 패턴은 대상 스캔 섹션에 대한 보조 스캔 섹션 또는 보조 비트 패턴이라고 불릴 수 있다.The input patterns 1202 , 1204 , and 1206 are bit patterns input to the scan path 1210 . In FIG. 12 , the scan section K, which is the current shift frequency determination target, corresponds one-to-one with the input pattern K 1204 . The bit pattern located before or after the input pattern K 1204 containing the scan section K (hereinafter, target scan section K) for which you want to find or determine the optimal shift frequency is the sub-scan section or sub-bit for the target scan section. It can be called a pattern.

(스캔 섹션과 스캔 패턴이 일대일 대응되는 경우의 입력 패턴)(Input pattern when scan section and scan pattern are one-to-one correspondence)

대상 스캔 섹션 K(1204)가 스캔 패턴 M과 일대일 대응되는 경우에, 입력 패턴 K-1(1202), 입력 패턴 K(1204) 및 입력 패턴 K+1(1206)은 각각 스캔 패턴 M-1, 스캔 패턴 M 및 스캔 패턴 M+1과 일대일 대응될 수 있다.When the target scan section K 1204 corresponds one-to-one with the scan pattern M, the input pattern K-1 1202, the input pattern K 1204, and the input pattern K+1 1206 each correspond to the scan pattern M-1, The scan pattern M and the scan pattern M+1 may correspond one-to-one.

(스캔 섹션과 스캔 패턴이 일대일 대응되는 경우의 출력 패턴 K)(Output pattern K when scan section and scan pattern are one-to-one correspondence)

대상 스캔 섹션 K(1204)가 스캔 패턴 M과 일대일 대응되는 경우에, 대상 스캔 섹션 K(1204)에 대한 스캔 경로(1210)의 출력 패턴은 스캔 패턴 M에 대한 스캔 경로(1210)의 출력 패턴 K(1224)에 해당한다. 출력 패턴 K(1224)는 대상 스캔 섹션 K(1204)에 대한 스캔 캡쳐 결과 패턴이거나 스캔 패턴 M이 스캔 경로로부터 그대로 출력된 패턴일 수 있다.In the case where the target scan section K 1204 has a one-to-one correspondence with the scan pattern M, the output pattern of the scan path 1210 for the target scan section K 1204 is the output pattern K of the scan path 1210 for the scan pattern M (1224). The output pattern K 1224 may be a scan capture result pattern for the target scan section K 1204 or a pattern in which the scan pattern M is directly output from the scan path.

(스캔 섹션과 스캔 패턴이 일대일 대응되는 경우의 출력 패턴 K-1)(Output pattern K-1 when scan section and scan pattern match one-to-one)

대상 스캔 섹션 K(1204)가 스캔 패턴 M과 일대일 대응되는 경우에, 입력 패턴 K-1(1202)에 대한 스캔 경로의 출력 패턴은 스캔 패턴 M-1에 대한 스캔 경로의 출력 패턴 K-1(1222)에 해당한다. 출력 패턴 K-1(1222)은 스캔 패턴 M-1에 대한 스캔 캡쳐 결과이거나 스캔 패턴 M-1이 스캔 경로로부터 그대로 출력된 패턴일 수 있다.When the target scan section K 1204 has a one-to-one correspondence with the scan pattern M, the output pattern of the scan path for the input pattern K-1 1202 is the output pattern K-1 ( 1222). The output pattern K-1 1222 may be a scan capture result for the scan pattern M-1 or a pattern in which the scan pattern M-1 is directly output from the scan path.

(스캔 섹션과 스캔 패턴이 일대일 대응되는 경우의 출력 패턴 K+1)(Output pattern K+1 when scan section and scan pattern match one-to-one)

대상 스캔 섹션 K(1204)가 스캔 패턴 M과 일대일 대응되는 경우에, 입력 패턴 K+1(1206)에 대한 스캔 경로의 출력 패턴은 스캔 패턴 M+1에 대한 스캔 경로의 출력 패턴 K+1이다. 출력 패턴 K+1은 스캔 패턴 M+1에 대한 스캔 캡쳐 결과 패턴이거나 스캔 패턴 M+1이 스캔 경로로부터 그대로 출력된 패턴일 수 있다.When the target scan section K 1204 has a one-to-one correspondence with the scan pattern M, the output pattern of the scan path for the input pattern K+1 (1206) is the output pattern K+1 of the scan path for the scan pattern M+1 . The output pattern K+1 may be a scan capture result pattern for the scan pattern M+1 or a pattern in which the scan pattern M+1 is directly output from the scan path.

(스캔 섹션이 스캔 패턴의 일부인 경우의 입력패턴 K-1, K+1)(Input patterns K-1, K+1 when the scan section is part of the scan pattern)

예를 들어, 도 14를 참조하면, 대상 스캔 섹션 K(1204)가 스캔 패턴 M의 일부분인 경우에, 입력 패턴 K-1(1202)은 스캔 패턴 M-1 및 스캔 패턴 M에서 스캔 섹션 K(1204) 이외의 일부를 포함할 수 있다. 입력 패턴 K+1(1206)은 스캔 패턴 M+1 및 스캔 패턴 M에서 스캔 섹션 K(1204) 이외의 일부를 포함할 수 있다.For example, referring to FIG. 14 , when the target scan section K 1204 is a part of a scan pattern M, the input pattern K-1 1202 is a scan pattern M-1 and a scan section K ( 1204) and other parts. The input pattern K+1 (1206) may include a portion other than the scan section K (1204) in the scan pattern M+1 and the scan pattern M.

(스캔 섹션이 스캔 패턴의 일부인 경우의 출력패턴 K)(Output pattern K when the scan section is part of the scan pattern)

대상 스캔 섹션 K(1204)가 도 14와 같이 스캔 패턴 M의 일부분인 경우에, 대상 스캔 섹션 K(1204)에 대한 스캔 경로의 출력 패턴 K(1224)는 대상 스캔 섹션(1204)에 대한 스캔 캡쳐 결과 패턴이거나 스캔 섹션 K가 포함된 스캔 패턴 M에 대한 스캔 캡쳐 결과 패턴일 수 있다. 또는 출력 패턴 K(1224)는 스캔 섹션 K(1204)가 스캔 경로로부터 그대로 출력된 패턴이거나 스캔 섹션 K(1204)가 포함된 스캔 패턴 M이 스캔 경로로부터 그대로 출력된 패턴일 수 있다.If the target scan section K 1204 is part of a scan pattern M as in FIG. 14 , the output pattern K 1224 of the scan path for the target scan section K 1204 is the scan capture for the target scan section 1204 . It may be a result pattern or a scan capture result pattern for scan pattern M containing scan section K. Alternatively, the output pattern K 1224 may be a pattern in which the scan section K 1204 is directly output from the scan path, or the scan pattern M including the scan section K 1204 is output directly from the scan path.

(스캔 섹션이 스캔 패턴의 일부인 경우의 출력패턴 K-1, K+1)(Output patterns K-1, K+1 when the scan section is part of the scan pattern)

대상 스캔 섹션 K(1204)가 도 14와 같이 스캔 패턴 M의 일부분인 경우에, 입력 패턴 K-1(1202)에 대한 스캔 경로의 출력 패턴 K-1(1222)은 스캔 패턴 M-1에 대한 출력 패턴이거나, 스캔 패턴 M-1 및 스캔 패턴 M의 일부분에 대한 출력 패턴일 수 있다. 또한 입력 패턴 K+1(1206)에 대한 스캔 경로의 출력 패턴 K+1은 스캔 패턴 M+1에 대한 출력 패턴이거나, 스캔 패턴 M+1 및 스캔 패턴 M의 일부분에 대한 출력 패턴일 수 있다. 또 다른 예로, 입력 패턴 K-1(1202) 또는 입력 패턴 K+1(1206)에 포함된 스캔 패턴 M의 일부분에 대한 스캔 경로의 출력 패턴은 대상 스캔 섹션 K(1204)이 포함된 스캔 패턴 M에 대한 스캔 경로의 출력 패턴에 반영될 수 있다. 또 다른 예로, 입력 패턴 K-1(1202) 또는 입력 패턴 K+1(1206)에 대한 출력 패턴은 입력 패턴 K-1(1202) 또는 입력 패턴 K+1(1206)이 스캔 경로로부터 그대로 출력된 패턴일 수 있다.In the case where the target scan section K 1204 is a part of the scan pattern M as shown in FIG. 14 , the output pattern K-1 1222 of the scan path for the input pattern K-1 1202 is for the scan pattern M-1. It may be an output pattern or an output pattern for the scan pattern M-1 and a part of the scan pattern M. Also, the output pattern K+1 of the scan path for the input pattern K+1 (1206) may be an output pattern for the scan pattern M+1 or an output pattern for the scan pattern M+1 and a portion of the scan pattern M. As another example, the output pattern of the scan path for the portion of the scan pattern M included in the input pattern K-1 (1202) or the input pattern K+1 (1206) is the scan pattern M including the target scan section K (1204). It can be reflected in the output pattern of the scan path for . As another example, the output pattern for the input pattern K-1 (1202) or the input pattern K+1 (1206) is the output pattern of the input pattern K-1 (1202) or the input pattern K+1 (1206) as it is output from the scan path. It can be a pattern.

(스캔 섹션이 복수의 스캔 패턴에 걸쳐 있는 경우)(If the scan section spans multiple scan patterns)

예를 들어, 도 15를 참조하면, 대상 스캔 섹션 K(1204)가 복수의 스캔 패턴에 걸쳐 있을 수 있다. 이 경우, 입력 패턴 K-1(1202)은 스캔 패턴 M-1에서 대상 스캔 섹션 K(1204)의 부분을 제외한 부분을 포함할 수 있고, 입력 패턴 K+1(1206)은 스캔 패턴 M+1에서 대상 스캔 섹션 K(1204)의 부분을 제외한 부분을 포함할 수 있다. 이 경우에, 각 스캔 패턴에 걸쳐 있는 대상 스캔 섹션 K(1204)의 각 부분에 대해 최적의 쉬프트 주파수를 각각 별도로 파악하고, 대상 스캔 섹션 K(1204)에 대해 할당 가능한 최적 쉬프트 주파수를 결정할 수 있다.For example, referring to FIG. 15 , the target scan section K 1204 may span multiple scan patterns. In this case, the input pattern K-1 (1202) may include a portion of the scan pattern M-1 excluding the portion of the target scan section K (1204), and the input pattern K+1 (1206) is the scan pattern M+1 may include a portion excluding the portion of the target scan section K 1204 in . In this case, the optimal shift frequency for each portion of the target scan section K 1204 that spans each scan pattern can be separately identified, and the optimal shift frequency assignable to the target scan section K 1204 can be determined. .

이상은 본 발명의 이해를 돕기 위한 예에 해당하며, 본 발명은 이 예에 한정되지 아니한다. 또한 스캔 패턴은 도 5 내지 도 10에서 설명한 바와 같이 다양한 형태의 스캔 섹션으로 분할될 수 있으며, 스캔 섹션의 분할 형태에 따라 입력 패턴 K와 그 앞뒤에 위치한 입력 패턴 K-1 또는 입력 패턴 K+1의 형태 또한 다양할 수 있다. 즉, 입력 패턴 K-1(1202) 또는 입력 패턴 K+1(1206)은 적어도 하나 이상의 스캔 섹션으로 구성될 수 있다.The above corresponds to an example for helping understanding of the present invention, and the present invention is not limited to this example. In addition, the scan pattern may be divided into various types of scan sections as described with reference to FIGS. 5 to 10 , and depending on the division shape of the scan section, the input pattern K and the input pattern K-1 or input pattern K+1 located before and after the input pattern K may also vary in shape. That is, the input pattern K-1 1202 or the input pattern K+1 1206 may include at least one scan section.

도 12는 도 4에서 설명한 쉬프트인과 쉬프트아웃이 중첩하여 수행되는 경우에 스캔 테스트 시간을 최소화하기 위한 방법의 일 예를 설명한다. 도 12는 본 발명에 따른 하나의 예를 설명하고자 함이며, 본 발명은 도 4에서 설명한 쉬프트인과 쉬프트아웃이 동시에 수행되는 경우로 한정되지 아니한다.12 illustrates an example of a method for minimizing a scan test time when shift-in and shift-out described in FIG. 4 are overlapped. 12 is for explaining an example according to the present invention, and the present invention is not limited to the case in which the shift-in and the shift-out described in FIG. 4 are simultaneously performed.

IC 칩의 스캔 테스트는 입력 패턴(1200)에 대한 테스트 결과 패턴(1220)을 예측 패턴(1230)과 비교하여 테스트 정상 여부를 판단한다. 즉, 입력 패턴(1200)을 스캔 경로(1210)에 로드 한 후 캡쳐 동작을 수행하여 얻은 결과 패턴(1220)을 언로드하거나 입력 패턴을 로드 후 캡쳐 동작 없이 언로드하고, 예측 패턴(1230)과 언로드된 결과 패턴(1220)을 비교하여 테스트 정상 여부를 판단한다.In the scan test of the IC chip, the test result pattern 1220 for the input pattern 1200 is compared with the prediction pattern 1230 to determine whether the test is normal. That is, after the input pattern 1200 is loaded into the scan path 1210 and the result pattern 1220 obtained by performing the capture operation is unloaded or the input pattern is loaded and then unloaded without a capture operation, the prediction pattern 1230 and the unloaded The result pattern 1220 is compared to determine whether the test is normal.

본 발명의 최소한 하나의 실시예에서, 스캔 패턴 또는 스캔 섹션에 대한 쉬프트 주파수 최적화를 위해서는 대상 스캔 패턴 또는 대상 스캔 섹션이 스캔 경로에 쉬프트인 될 때 동시에(또는 순차적으로) 쉬프트아웃 되는 출력 패턴 또한 정상인지 확인한다. 예를 들어, 대상 스캔 패턴 또는 대상 스캔 섹션이 증가된 쉬프트 주파수로 스캔 경로에 정상적으로 쉬프트인되더라도, 증가된 쉬프트 주파수로 쉬프트아웃 되는 이전 입력 패턴에 대한 테스트 결과 패턴에 오류가 생길 수도 있기 때문이다.In at least one embodiment of the present invention, in order to optimize the shift frequency for the scan pattern or scan section, the output pattern shifted out simultaneously (or sequentially) when the target scan pattern or the target scan section is shifted in the scan path is also normal make sure it is For example, even if the target scan pattern or target scan section is normally shifted in the scan path with the increased shift frequency, an error may occur in the test result pattern for the previous input pattern shifted out with the increased shift frequency.

도 12의 예에서, 현재 쉬프트 주파수 결정 대상인 스캔 섹션 K(1204)가 특정 쉬프트 주파수로 스캔 경로에 정상적으로 쉬프트인되는지 확인하기 위하여, 입력 패턴 K-1(1202)과 입력 패턴 K+1(1206)을 함께 이용할 수 있다. 즉, 대상 스캔 섹션 K(1204)를 반복적으로 스캔 경로(1210)에 입력하기 전마다, 스캔 경로를 일정한 비트 패턴으로 초기화할 수 있는 입력 패턴 K-1(1202)을 사용할 수 있다. 또한 k번째 스캔 섹션(1204)에 대한 스캔 경로의 출력 패턴이 반복적으로 쉬프트아웃 될 때마다 일정한 비트 패턴으로 스캔 경로에 쉬프트인되는 입력 패턴 K+1(1206)을 사용할 수 있다.In the example of FIG. 12 , in order to check whether the scan section K 1204 , which is the current shift frequency determination target, is normally shifted into the scan path with a specific shift frequency, input pattern K-1 1202 and input pattern K+1 1206 can be used together. That is, each time the target scan section K 1204 is repeatedly input to the scan path 1210 , the input pattern K-1 1202 can be used to initialize the scan path to a constant bit pattern. Also, whenever the output pattern of the scan path for the k-th scan section 1204 is repeatedly shifted out, an input pattern K+1 ( 1206 ) that is shifted in to the scan path with a constant bit pattern may be used.

대상 스캔 섹션 K(1204)가 스캔 패턴 M과 일대일 대응되는 경우에, 입력 패턴 K-1(1202)은, 대상 스캔 섹션 K(1204)의 앞에 위치한 실제 스캔 테스트에 사용되는 스캔 패턴 M-1이거나, 스캔 패턴 M-1을 스캔 경로에 로드 한 후 스캔 캡쳐하여 얻는 결과 패턴에 대한 예측 패턴일 수 있다.When the target scan section K 1204 corresponds to the scan pattern M one-to-one, the input pattern K-1 1202 is the scan pattern M-1 used for the actual scan test located in front of the target scan section K 1204, or , it may be a predictive pattern for the result pattern obtained by scan-capture after loading the scan pattern M-1 into the scan path.

또 다른 예로, 대상 스캔 섹션 K(1204)가 도 14와 같이 스캔 패턴 M의 일부인 경우에, 입력 패턴 K-1(1202)은 대상 스캔 섹션 K(1204)의 앞에 위치한 실제 테스트에 사용되는 스캔 패턴 M-1 또는 스캔 패턴 M-1을 스캔 경로에 로드 한 후 스캔 캡쳐하여 얻는 결과 패턴에 대한 예측 패턴을 포함할 수 있다. 또한 입력 패턴 K-1(1202)은 스캔 패턴 M에서 대상 스캔 섹션 K(1204)를 제외한 부분을 포함할 수 있다. 여기서, 스캔 패턴 M에서 대상 스캔 섹션 K를 제외한 부분은 실제 스캔 테스트에 사용되는 비트 패턴의 일부일 수 있다.As another example, when the target scan section K 1204 is a part of the scan pattern M as shown in FIG. 14 , the input pattern K-1 1202 is a scan pattern used for an actual test located in front of the target scan section K 1204 . After loading M-1 or scan pattern M-1 into the scan path, it may include a prediction pattern for the result pattern obtained by scan capture. Also, the input pattern K-1 (1202) may include a portion of the scan pattern M except for the target scan section K (1204). Here, a portion of the scan pattern M except for the target scan section K may be a part of a bit pattern used for an actual scan test.

또 다른 예로, 입력 패턴 K-1(1202)은 스캔 경로의 스위칭 동작을 줄이기 위하여 비트 '0' 또는 '1' 위주로 구성되거나 연속된 비트 '0' 또는 '1' 위주로 구성되는 등 기 설정된 임의의 패턴일 수도 있다.As another example, the input pattern K-1 1202 may be configured based on bit '0' or '1' in order to reduce the switching operation of the scan path, or may be configured based on consecutive bits '0' or '1', etc. It could be a pattern.

또 다른 예로, 입력 패턴 K-1(1202)은 도 13과 같이 적어도 하나 이상의 스캔 섹션으로 구성될 수 있다.As another example, the input pattern K-1 1202 may include at least one scan section as shown in FIG. 13 .

대상 스캔 섹션 K(1204)가 스캔 패턴 M과 일대일 대응되는 경우에, k+1번째 입력 패턴(1206)은 스캔 섹션 K(1204)의 뒤에 위치한 실제 스캔 테스트에 사용되는 스캔 패턴 M+1이거나 스캔 패턴 M+1을 스캔 경로에 로드 한 후 스캔 캡쳐하여 얻는 결과 패턴에 대한 예측 패턴일 수 있다.In the case where the target scan section K 1204 has a one-to-one correspondence with the scan pattern M, the k+1th input pattern 1206 is the scan pattern M+1 used for the actual scan test located after the scan section K 1204 or the scan After loading the pattern M+1 into the scan path, it may be a predictive pattern for the result pattern obtained by scan-capture.

또 다른 예로, 대상 스캔 섹션 K(1204)가 도 14와 같이 스캔 패턴 M의 일부분인 경우에, 입력 패턴 K+1(1206)은 대상 스캔 섹션 K(1204)의 뒤에 위치한 실제 스캔 테스트에 사용되는 스캔 패턴 M+1 등을 포함할 수 있다. 또한 입력 패턴 K+1(1206)은 스캔 패턴 M에서 대상 스캔 섹션 K(1204)를 제외한 부분을 포함할 수 있다. 여기서, 대상 스캔 섹션 K(1204)를 제외한 부분은 실제 스캔 테스트에 사용되는 비트 패턴의 일부일 수 있다.As another example, when the target scan section K 1204 is a part of the scan pattern M as shown in FIG. 14 , the input pattern K+1 1206 is used for the actual scan test located behind the target scan section K 1204 . It may include a scan pattern M+1, and the like. Also, the input pattern K+1 (1206) may include a portion of the scan pattern M except for the target scan section K (1204). Here, a portion other than the target scan section K 1204 may be a part of a bit pattern used for an actual scan test.

또 다른 예로, 입력 패턴 K+1(1206)은 스캔 경로상의 스위칭 동작을 줄이기 위하여 비트 '0' 또는 '1' 위주로 구성되거나 연속된 비트 '0' 또는 '1' 위주로 구성되는 등 기 설정된 임의의 패턴일 수 있다.As another example, the input pattern K+1 (1206) is composed of bit '0' or '1' mainly in order to reduce the switching operation on the scan path, or is composed mainly of consecutive bits '0' or '1', etc. It can be a pattern.

또 다른 예로, 입력 패턴 K+1(1206)은 도 13과 같이 적어도 하나 이상의 스캔 섹션으로 구성될 수 있다.As another example, the input pattern K+1 (1206) may include at least one scan section as shown in FIG. 13 .

그리고 스캔 테스트에 있어서 첫 번째 스캔 섹션의 앞과 마지막 스캔 섹션의 뒤에 각각 위치하는 입력 패턴은, 스캔 경로의 스위칭 동작을 줄이기 위하여 비트 '0' 또는 '1' 위주로 구성되거나 연속된 비트 '0' 또는 '1' 위주로 구성되는 등 기 설정된 임의의 패턴일 수 있다. 또한 첫 스캔 섹션의 앞에 위치하는 입력 패턴은 테스트 대상 칩이 리셋 상태일 때의 스캔 경로 상의 값일 수도 있다.And, in the scan test, the input patterns positioned before the first scan section and after the last scan section, respectively, are mainly composed of bit '0' or '1' in order to reduce the switching operation of the scan path, or consecutive bit '0' or It may be a preset arbitrary pattern, such as mainly composed of '1'. Also, the input pattern positioned in front of the first scan section may be a value on the scan path when the chip under test is in the reset state.

본 발명의 최소한 하나의 실시예에서, 입력 패턴 K-1(1202) 또는 입력 패턴 K+1(1206)은 각각 하나 이상의 스캔 섹션으로 구성될 수 있으며, 이들 섹션의 쉬프트 주파수는 현재 쉬프트 주파수 결정 대상인 스캔 섹션 K(1204)의 최대 쉬프트 주파수를 찾는데 제약을 주지 않도록 할 수 있다.In at least one embodiment of the present invention, the input pattern K-1 1202 or the input pattern K+1 1206 may each consist of one or more scan sections, the shift frequencies of these sections being the current shift frequency determination target. There may be no restrictions on finding the maximum shift frequency of the scan section K 1204 .

예를 들어, 입력 패턴 K-1(1202)은 최대 30MHz까지 스캔 경로에 정상적으로 쉬프트인 가능하고, 대상 스캔 섹션 K(1204)는 최대 50MHz까지 스캔 경로에 정상적으로 쉬프트인 가능하다고 하자. 쉬프트 주파수를 증가하면서 입력 패턴 K-1(1202)과 대상 스캔 섹션 K(1204)를 동일한 쉬프트 주파수로 스캔 경로에 순차적으로 쉬프트인하면, 대상 스캔 섹션 K(1204)에 대해 찾을 수 있는 최대 쉬프트 주파수는 30MHz로 제약을 받는다. 즉 쉬프트 주파수가 30MHz를 초과하는 경우에 입력 패턴 K-1(1202)에 대한 출력 패턴과 예측 패턴이 상이해질 수 있기 때문이다. 또한, 입력 패턴 K+1(1206)이 최대 30MHz까지 스캔 경로에 정상적으로 쉬프트인 가능할 경우에도, 대상 스캔 섹션 K(1204)에 대해 찾을 수 있는 최대 쉬프트 주파수는 30MHz로 제약을 받을 수 있다.For example, it is assumed that the input pattern K-1 1202 can be normally shifted in the scan path up to 30 MHz, and the target scan section K 1204 can be normally shifted in the scan path up to 50 MHz. If the input pattern K-1 (1202) and the target scan section K (1204) are sequentially shifted into the scan path with the same shift frequency while increasing the shift frequency, the maximum shift frequency that can be found for the target scan section K (1204) is limited to 30 MHz. That is, when the shift frequency exceeds 30 MHz, the output pattern and the prediction pattern for the input pattern K-1 1202 may be different. Also, even if the input pattern K+1 (1206) is normally shiftable in the scan path up to 30 MHz, the maximum shift frequency that can be found for the target scan section K (1204) may be limited to 30 MHz.

따라서 이러한 제약 상황을 피하기 위하여, 본 발명의 최소한 하나의 실시예에서, 입력 패턴 K-1(1202) 또는 입력 패턴 K+1(1206)의 쉬프트 주파수는 기 설정된 쉬프트 주파수(위 예의 경우, 30MHz)를 초과하지 않도록 할 수 있다.Therefore, in order to avoid such a constraint, in at least one embodiment of the present invention, the shift frequency of the input pattern K-1 (1202) or the input pattern K+1 (1206) is a preset shift frequency (30 MHz in the above example) may not be exceeded.

예를 들어, 입력 패턴 K-1(1202) 또는 입력 패턴 K+1(1206)의 쉬프트 주파수를 기 설정된 쉬프트 주파수(위 예의 경우, 30MHz 이하)로 고정하고, 대상 스캔 섹션 K(1204)의 쉬프트 주파수만을 증감하여, 대상 스캔 섹션 K(1204)에 사용 가능한 최대 쉬프트 주파수를 찾을 수 있다.For example, the shift frequency of the input pattern K-1 (1202) or the input pattern K+1 (1206) is fixed to a preset shift frequency (30 MHz or less in the above example), and the shift of the target scan section K (1204) By increasing or decreasing only the frequency, it is possible to find the maximum shift frequency usable for the target scan section K 1204 .

또 다른 예로, 입력 패턴 K-1(1202), 대상 스캔 섹션 K(1204), 입력 패턴 K+1(1206)에 대해 기 설정된 쉬프트 주파수(위 예의 경우, 30MHz 이하)까지 모두 함께 쉬프트 주파수의 증감을 적용하고, 기 설정된 쉬프트 주파수를 벗어나는 경우에는 대상 스캔 섹션 K(1204)의 쉬프트 주파수만을 증감할 수 있다.As another example, up to the preset shift frequency (in the above example, 30 MHz or less) for the input pattern K-1 (1202), the target scan section K (1204), and the input pattern K+1 (1206), the shift frequency increases or decreases together , and when out of the preset shift frequency, only the shift frequency of the target scan section K 1204 may be increased or decreased.

다시 말해, 대상 스캔 섹션 K(1204)의 쉬프트 주파수와 나머지 입력 패턴(1202,1206)의 쉬프트 주파수를 서로 다르게 제어할 수 있다. 물론 입력 패턴 K-1(1202) 또는 입력 패턴 K+1(1206)의 최대 허용 쉬프트 주파수가 대상 스캔 섹션 K(1204)의 최대 쉬프트 주파수보다 크다면 대상 스캔 섹션 K(1204)와 나머지 입력 패턴(1202,1206)의 쉬프트 주파수를 동일하게 증감할 수 있다. 여기서, 기 설정된 쉬프트 주파수는 명목 쉬프트 주파수이거나 명목 쉬프트 주파수를 조정한 쉬프트 주파수 또는 프로그램에 의해 테스트 장치에 기 설정된 값 또는 사용자가 기 설정한 값이 될 수 있는 등 실시 예에 따라 다양하게 변경 가능하며 위 예에 반드시 한정되는 것은 아니다.In other words, the shift frequency of the target scan section K 1204 and the shift frequency of the remaining input patterns 1202 and 1206 can be controlled differently. Of course, if the maximum allowable shift frequency of the input pattern K-1 (1202) or the input pattern K+1 (1206) is greater than the maximum shift frequency of the target scan section K (1204), then the target scan section K (1204) and the remaining input pattern ( 1202 and 1206) may be increased or decreased in the same manner. Here, the preset shift frequency may be a nominal shift frequency, a shift frequency adjusted with the nominal shift frequency, a value preset in the test device by a program, or a value preset by a user, etc. It can be variously changed according to an embodiment. It is not necessarily limited to the above example.

본 발명의 최소한 하나의 실시예에서, 입력 패턴 K-1(1202) 또는 입력 패턴 K+1(1206)에 대해 본 발명의 실시 예에 따른 방법을 통해 최적의 쉬프트 주파수가 이미 결정된 경우에는 최적 쉬프트 주파수 이하를 적용하여 입력 패턴 K-1(1202) 또는 입력 패턴 K+1(1206)을 스캔 경로에 쉬프트인 할 수도 있다.In at least one embodiment of the present invention, when the optimal shift frequency has already been determined for the input pattern K-1 ( 1202 ) or the input pattern K+1 ( 1206 ) through the method according to the embodiment of the present invention, the optimal shift The input pattern K-1 (1202) or the input pattern K+1 (1206) may be shifted in to the scan path by applying the frequency or less.

예를 들어, 본 발명에 따른 방법을 스캔 패턴들에 대해 순차적으로 적용하는 경우, 대상 스캔 섹션 K(1204)의 쉬프트 주파수 결정 과정 이전에 입력 패턴 K-1을 구성하는 적어도 하나 이상의 스캔 섹션에 대한 최적의 쉬프트 주파수가 미리 결정될 수 있다. 따라서 스캔 테스트 시간 최소화 장치는 입력 패턴 K-1(1202)의 각 스캔 섹션별 최적의 쉬프트 주파수를 이용하고, 입력 패턴 K+1(1206)에 대해서는 명목 쉬프트 주파수 또는 명목 쉬프트 주파수를 조정한 쉬프트 주파수를 적용할 수 있다.For example, when the method according to the present invention is sequentially applied to scan patterns, at least one scan section constituting the input pattern K-1 is performed before the shift frequency determination process of the target scan section K 1204. An optimal shift frequency may be predetermined. Therefore, the scan test time minimization device uses the optimal shift frequency for each scan section of the input pattern K-1 (1202), and for the input pattern K+1 (1206), the nominal shift frequency or the shift frequency adjusted by the nominal shift frequency can be applied.

그리고 대상 스캔 패턴 K의 쉬프트 주파수를 증감하면서, 입력 패턴들(1202,1204,1206)을 스캔 경로(1210)에 순차적으로 입력하여 실제 출력 패턴(1220)이 예측 패턴(1230)과 동일한지를 파악한다. 이때 필요시, 입력 패턴들(1202,1204,1206) 중 적어도 하나 이상의 입력 패턴에 대한 스캔 캡쳐 동작이 수행될 수 있다.And while increasing or decreasing the shift frequency of the target scan pattern K, input patterns 1202, 1204, and 1206 are sequentially input to the scan path 1210 to determine whether the actual output pattern 1220 is the same as the predicted pattern 1230 . In this case, if necessary, a scan capture operation may be performed on at least one of the input patterns 1202 , 1204 , and 1206 .

예를 들어, 스캔 테스트 시간 최소화 장치는 초기 쉬프트 주파수로서 명목 쉬프트 주파수를 사용하고, 스캔 테스트 시간 최소화 장치에 기 설정된 쉬프트 주파수의 변동 단위로 쉬프트 주파수를 증가한다. 즉 입력 패턴 K-1(1202)을 스캔 경로에 명목 주파수와 같은 기 설정된 쉬프트 주파수로 쉬프트인하여 로드 한 후, 대상 스캔 섹션 K(1204)를 "초기 쉬프트 주파수 + 증가 단위"의 쉬프트 주파수로 스캔 경로에 쉬프트인하고, 동시에 입력 패턴 K-1(1202)에 의한 테스트 결과(즉, 출력패턴 K-1)(1222)를 쉬프트아웃하여 미리 알고 있는 예측 패턴 K-1(1232)과 동일한지 비교한다.For example, the scan test time minimization apparatus uses a nominal shift frequency as the initial shift frequency, and increases the shift frequency in a unit of change of a shift frequency preset in the scan test time minimization apparatus. That is, after loading the input pattern K-1 (1202) by shifting it into the scan path with a preset shift frequency such as the nominal frequency, the target scan section K (1204) is set to the shift frequency of “initial shift frequency + increment” in the scan path , and at the same time, the test result (ie, output pattern K-1) 1222 by the input pattern K-1 (1202) is shifted out to compare whether it is the same as the known prediction pattern K-1 (1232). .

이때 입력 패턴 K-1(1202) 또는 입력 패턴 K-1(1202)에 포함된 적어도 하나 이상의 스캔 섹션에 대해 기 설정된 쉬프트 주파수는 대상 스캔 섹션 K(1204)의 초기 쉬프트 주파수와 서로 다를 수 있다. 그리고 다시 입력 패턴 K+1(1206)의 쉬프트인과 동시에, 대상 스캔 섹션 K(1204)에 대한 테스트 결과를 쉬프트아웃하여 얻은 출력 패턴 K(1224)를 미리 알고 있는 예측 패턴 K(1234)와 동일한지 비교한다. 이때 대상 스캔 섹션 K(1204)가 도 14와 같이 스캔 패턴의 일부분인 경우에, 입력 패턴 K-1(1202), 대상 스캔 섹션 K(1204), 입력 패턴 K+1(1206)과 이들 각각에 대한 출력 패턴은 앞서 살펴 본 바와 같다.In this case, a shift frequency preset for at least one scan section included in the input pattern K-1 1202 or the input pattern K-1 1202 may be different from an initial shift frequency of the target scan section K 1204 . And again, at the same time as the shift of the input pattern K+1 (1206), the output pattern K (1224) obtained by shifting out the test result for the target scan section K (1204) is the same as the prediction pattern K (1234) known in advance compare it At this time, when the target scan section K 1204 is a part of the scan pattern as shown in FIG. 14 , the input pattern K-1 1202, the target scan section K 1204, the input pattern K+1 1206, and each of these The output pattern for this is as described above.

본 발명의 최소한 하나의 실시예에서, 위에서 언급한 기 설정된 쉬프트 주파수는 대상 스캔 섹션 K(1204)의 최적 쉬프트 주파수를 찾는데 제약을 주지 않도록 할 수 있다. 본 발명의 최소한 하나의 실시예에서, 입력 패턴 K-1(1202) 또는 입력 패턴 K+1(1206)의 쉬프트 주파수는 대상 스캔 섹션 K(1204)의 쉬프트 주파수와 함께 증감되지 않도록 하거나 대상 스캔 섹션 K(1204)과 상이한 주파수를 사용할 수 있으며, 이때 입력 패턴 K-1(1202) 또는 입력 패턴 K+1(1206)의 스캔 섹션을 스캔 경로에 정상적으로 입력할 수 있는 쉬프트 주파수를 사용한다.In at least one embodiment of the present invention, the above-mentioned preset shift frequency may not limit the search for the optimal shift frequency of the target scan section K 1204 . In at least one embodiment of the present invention, the shift frequency of input pattern K-1 1202 or input pattern K+1 1206 does not increase or decrease with the shift frequency of target scan section K 1204 or A different frequency than K 1204 can be used, in which case a shift frequency that can normally input the scan section of input pattern K-1 1202 or input pattern K+1 1206 into the scan path is used.

본 발명의 최소한 하나의 실시예에서, 기 설정된 쉬프트 주파수는 명목 쉬프트 주파수 외에 명목 쉬프트 주파수를 조정한 값 또는 프로그램에 의해 장치에 설정된 값 또는 사용자가 설정한 값이 될 수 있는 등 실시 예에 따라 다양하게 변경 가능하며 위 예에 반드시 한정되는 것은 아니다.In at least one embodiment of the present invention, the preset shift frequency may be a value obtained by adjusting the nominal shift frequency in addition to the nominal shift frequency, a value set in the device by a program, or a value set by a user, etc. It can be changed in any way and is not necessarily limited to the above example.

출력패턴 K-1(1222)과 예측패턴 K-1(1232)이 동일하고, 출력패턴 K(1224)와 예측패턴 K(1234)가 동일하면, 현 쉬프트 주파수가 대상 스캔 섹션 K(1204)에 대해 사용 가능한 쉬프트 주파수이다. 스캔 테스트 시간 최소화 장치는 대상 스캔 섹션 K(1204)에 대한 쉬프트 주파수를 다시 일정 크기만큼 증가하며, 상기와 같이 다시 입력 패턴 K-1(1202)부터 스캔 경로에 입력하는 과정을 수행하면서 출력 패턴(1220)과 예측 패턴(1230)의 비교과정을 다시 수행한다.If the output pattern K-1 (1222) and the prediction pattern K-1 (1232) are the same, and the output pattern K (1224) and the prediction pattern K (1234) are the same, the current shift frequency is in the target scan section K (1204). It is the available shift frequency for The scan test time minimization device increases the shift frequency for the target scan section K (1204) by a certain amount again, and as described above, while performing the process of inputting the input pattern K-1 (1202) into the scan path again, the output pattern ( 1220) and the prediction pattern 1230 are compared again.

이와 같이, 대상 스캔 섹션 K(1204)에 대한 쉬프트 주파수를 계속하여 증가하여 출력 패턴(1220)과 예측 패턴(1230)이 달라지는 지점까지 수행하고, 그 지점 이전의 쉬프트 주파수 이하를 대상 스캔 섹션 K(1204)의 최적 쉬프트 주파수로 결정할 수 있다.In this way, the shift frequency for the target scan section K 1204 is continuously increased to the point where the output pattern 1220 and the prediction pattern 1230 are different, and the shift frequency or less before that point is set to the target scan section K ( 1204) can be determined as the optimal shift frequency.

앞의 실시 예는 쉬프트 주파수를 증가하여 최적 쉬프트 주파수를 찾는 방법을 주로 설명하고 있으나, 다른 실시 예로, 쉬프트 주파수를 대상 스캔 섹션 K(1204)의 출력 패턴(1220)과 예측 패턴(1230)이 상이한 높은 주파수로부터 반복 감소하여 출력 패턴(1220)과 예측 패턴(1230)이 동일해지는 지점을 찾을 수 있다. 그리고 출력 패턴(1220)과 예측 패턴(1230)이 동일해지는 지점의 쉬프트 주파수 이하를 대상 스캔 섹션 K(1204)의 최적 쉬프트 주파수로 결정할 수도 있다.Although the previous embodiment mainly describes a method of finding the optimal shift frequency by increasing the shift frequency, in another embodiment, the output pattern 1220 of the target scan section K 1204 and the prediction pattern 1230 are different for the shift frequency. It is possible to find a point at which the output pattern 1220 and the prediction pattern 1230 become the same by repeatedly decreasing from a high frequency. In addition, a shift frequency less than or equal to a point at which the output pattern 1220 and the prediction pattern 1230 become the same may be determined as the optimal shift frequency of the target scan section K 1204 .

또한 쉬프트 주파수를 증감하면서 스캔 섹션 또는 스캔 패턴에 대한 출력 패턴을 예측 패턴과 반복적으로 비교 수행할 때 쉬프트 주파수의 증감 범위의 일 실시 예로서, 스캔 테스트 시간 최소화 장치에 설정된 범위 내에서 증감하거나 또는 출력 패턴(1220)과 예측 패턴(1230)이 동일하다가 상이해 지거나 상이하다가 동일해 지는 지점을 찾으면 쉬프트 주파수의 증감을 멈출 수 있다. 이 경우, 스캔 섹션별 사용 가능한 최대 쉬프트 주파수를 찾는데 소요되는 시간을 줄일 수 있다.In addition, as an embodiment of the increase/decrease range of the shift frequency when the output pattern for the scan section or scan pattern is repeatedly compared with the predicted pattern while increasing or decreasing the shift frequency, increase or decrease within the range set in the scan test time minimization device or output When the pattern 1220 and the prediction pattern 1230 are the same and then different, or when a point at which the pattern 1220 and the prediction pattern 1230 become the same is found, the increase or decrease of the shift frequency can be stopped. In this case, the time required to find the maximum usable shift frequency for each scan section can be reduced.

실시 예에 따라, 대상 스캔 섹션 K(1204)에 대한 최적의 쉬프트 주파수를 찾기 위한 초기 쉬프트 주파수는 명목 주파수 외에 다양한 값이 설정될 수 있으며, 또한 낮은 쉬프트 주파수에서 증가시키는 것이 아니라 출력 패턴과 예측 패턴이 상이한 높은 쉬프트 주파수에서 시작하여 쉬프트 주파수를 낮춰가면서 출력 패턴과 예측 패턴이 동일해지는 지점의 쉬프트 주파수를 찾을 수도 있다. 또한, 대상 스캔 섹션 K(1204)의 쉬프트 주파수의 변화를 순차적으로 증가 또는 감소시키는 것이 아니라 여러 알고리즘을 통해 다양한 방법으로 변경시켜 보다 빠른 시간에 최적의 쉬프트 주파수를 찾을 수도 있다.According to an embodiment, the initial shift frequency for finding the optimal shift frequency for the target scan section K 1204 may be set to various values other than the nominal frequency, and the output pattern and the prediction pattern are not increased at a low shift frequency. It is also possible to find the shift frequency at the point where the output pattern and the prediction pattern become the same as the shift frequency is decreased starting from this different high shift frequency. In addition, instead of sequentially increasing or decreasing the change in the shift frequency of the target scan section K 1204, it is possible to find the optimal shift frequency in a faster time by changing it in various ways through various algorithms.

본 발명의 최소한 하나의 실시예에서, 이진 검색(binary search) 알고리즘을 사용할 수 있다. 예를 들면, 쉬프트 주파수가 10MHz에서 테스트 정상이고 20MHz에서 테스트 실패이면 다음 쉬프트 주파수는 그 사이인 15MHz를 시도해 본다. 그리고 만약 테스트 정상이면 15MHz와 20MHz 사이를 시도해 보며, 만약 실패하면 10MHz와 15MHz 사이를 시도해 보는 방법이다. 테스트 정상이라 함은 테스트 대상 칩이 양품으로 판정됨을 의미하고, 테스트 실패라 함은 테스트 대상 칩이 불량품으로 판정됨을 의미한다.In at least one embodiment of the present invention, a binary search algorithm may be used. For example, if the shift frequency is test ok at 10 MHz and the test fails at 20 MHz, try the next shift frequency of 15 MHz in between. And if the test is normal, try between 15MHz and 20MHz, and if it fails, try between 10MHz and 15MHz. The test normal means that the test target chip is determined as a good product, and the test failure means that the test target chip is judged as a defective product.

이진 검색을 하면 선형 검색(linear search)을 하는 경우보다 테스트 정상과 실패의 경계가 되는 주파수 또는 테스트 정상인 사용 가능한 주파수 범위를 찾는데 소요되는 시간을 줄일 수 있는 효과가 있다. 예를 들어, 선형 검색을 사용하여 N 번의 주파수 증감 횟수로 테스트 정상인 최대 주파수를 찾았다면, 이진 검색을 사용하면 약 log2(N)의 횟수로 테스트 정상인 최대 주파수를 찾을 수 있다. 이진 검색을 사용하여 테스트 정상인 최대 주파수의 검색 시간 절감 효과는 전체 스캔 섹션의 개수 및 테스트 장비에 의해 증감되는 주파수의 단위 값이 작을수록 선형 검색 방법에 비해 효과적이다.Binary search has the effect of reducing the time it takes to find a frequency that is the boundary between test success and failure or an usable frequency range where test is normal, compared to when performing a linear search. For example, if you use a linear search to find the maximum frequency at which the test is normal with N frequency increases and decreases, then you can use the binary search to find the maximum frequency at which the test is normal with about log 2 (N) times. The effect of reducing the search time of the maximum frequency that is tested using binary search is more effective than the linear search method as the number of whole scan sections and the unit value of the frequency increased or decreased by the test equipment are small.

다른 실시 예로, 테스트 대상 칩에 공급되는 전압(supply voltage)의 변화(variation) 마진을 고려하여 최적의 주파수나 주기를 찾거나 결정할 수 있다. 예를 들어, 다음의 단계를 이용하여 테스트 대상 칩에 공급되는 전압의 범위 내에서 최적의 주파수 또는 주기를 빠르게 찾을 수 있다.As another embodiment, an optimal frequency or period may be found or determined in consideration of a variation margin of a supply voltage supplied to a test target chip. For example, you can quickly find the optimal frequency or period within the range of voltages supplied to the chip under test by using the following steps.

단계 1Step 1

스캔 테스트 시간 최소화 장치는 테스트 대상 칩에 공급되는 전압을 일정 단위로 변경해 가면서 각 전압별로 테스트 데이터의 테스트 결과가 정상인 최대 쉬프트 주파수 또는 쉬프트 주파수 범위를 찾는다. 즉, 테스트 데이터를 분할한 각 스캔 섹션별로 쉬프트 주파수를 찾는 것이 아니라 테스트 데이터 전체에 사용 가능한 최대 쉬프트 주파수 또는 쉬프트 주파수 범위를 찾는다.The scan test time minimization device finds the maximum shift frequency or shift frequency range in which the test result of the test data is normal for each voltage while changing the voltage supplied to the test target chip in a certain unit. That is, instead of finding the shift frequency for each scan section in which the test data is divided, the maximum shift frequency or shift frequency range that can be used for the entire test data is found.

단계 2Step 2

단계 1의 결과로부터, 스캔 테스트 시간 최소화 장치는 테스트 대상 칩에 공급할 특정 전압을 선택한다. 여기서, 테스트 대상 칩에 공급할 특정 전압은 단계 1에서 찾은 각 전압별 최대 쉬프트 주파수 중 가장 낮은 최대 쉬프트 주파수를 나타내는 전압 또는 그 전압의 인접한 전압이다. 이 외에, 테스트 셋업, 제조 공정(manufacturing process) 또는 테스트 공정(test process) 등을 고려하여 테스트 대상 칩에 공급한 전압을 선택할 수 있다.From the result of step 1, the scan test time minimization device selects a specific voltage to be supplied to the chip under test. Here, the specific voltage to be supplied to the test target chip is a voltage representing the lowest maximum shift frequency among the maximum shift frequencies for each voltage found in step 1 or a voltage adjacent to the voltage. In addition, the voltage supplied to the test target chip may be selected in consideration of a test setup, a manufacturing process, or a test process.

단계 3Step 3

스캔 테스트 시간 최소화 장치는 단계 2에서 선택한 특정 전압을 테스트 대상 칩에 공급한다. 그리고 스캔 테스트 시간 최소화 장치는 특정 전압을 공급한 상태에서, 각 스캔 섹션별로 쉬프트 주파수를 증가 또는 감소하면서 각 스캔 섹션의 쉬프트 주파수별 테스트 정상 또는 실패를 파악한다.The scan test time minimization device supplies the specific voltage selected in step 2 to the chip under test. In addition, the scan test time minimization device detects normal or failed tests for each shift frequency of each scan section while increasing or decreasing the shift frequency for each scan section while a specific voltage is supplied.

단계 4Step 4

스캔 테스트 시간 최소화 장치는 단계 3에서 찾은 각 스캔 섹션별 테스트 정상 또는 실패의 결과가 맵핑 된 쉬프트 주파수 정보를 사용하여 각 스캔 섹션별 최적 쉬프트 주파수를 찾거나 결정한다.The scan test time minimization apparatus finds or determines the optimal shift frequency for each scan section by using the shift frequency information to which the results of test normal or failure for each scan section found in step 3 are mapped.

단계 5Step 5

스캔 테스트 시간 최소화 장치는 테스트 대상 칩에 공급되는 전압(supply voltage)을 변경하면서, 단계 4에서 찾거나 결정한 각 스캔 섹션별 최적 쉬프트 주파수를 이용하여 테스트 결과가 정상인지 확인한다.The scan test time minimization device checks whether the test result is normal by using the optimal shift frequency for each scan section found or determined in step 4 while changing the supply voltage to the chip under test.

본 발명의 최소한 하나의 실시예에서, 단계 5에서 전압의 변경 범위는 단계 1에서의 전압 변경 범위와 동일할 수 있다. 또는 단계 5에서의 전압의 변경 범위는 테스트 셋업, 제조 공정(manufacturing process) 또는 테스트 공정(test process) 등을 고려하여 단계 1에서의 변경 범위를 조정한 범위일 수 있다. 전압의 변경 범위 내에서 전압을 변경해 가면서, 단계 4에서 찾거나 결정된 각 스캔 섹션의 최적 쉬프트 주파수를 사용한 스캔 테스트 결과가 정상인지 확인한다. 각 스캔 섹션이 전압의 변경 범위 내에서 모두 테스트 정상이면 정상적으로 쉬프트 주파수가 최적화된 것이다. 이 외에, 테스트 셋업, 제조 공정(manufacturing process) 또는 테스트 공정(test process) 등을 고려하여 스캔 섹션별 쉬프트 주파수가 정상적으로 최적화된 것으로 보는 다양한 기준이 있을 수 있다. 예를 들면 특정 전압의 경우 테스트 실패를 허용할 수도 있다.In at least one embodiment of the present invention, the range of change of voltage in step 5 may be the same as the range of change of voltage in step 1. Alternatively, the change range of the voltage in step 5 may be a range in which the change range in step 1 is adjusted in consideration of a test setup, a manufacturing process, or a test process. While changing the voltage within the range of voltage change, check whether the scan test result using the optimal shift frequency of each scan section found or determined in step 4 is normal. If each scan section is all tested within the voltage change range, the shift frequency is normally optimized. In addition, there may be various criteria for determining that the shift frequency for each scan section is normally optimized in consideration of a test setup, a manufacturing process, a test process, and the like. For example, certain voltages may allow the test to fail.

테스트 대상 칩에 공급되는 전압(supply voltage)의 변화(variation) 마진을 고려하여 최적의 주파수를 찾아야 하는 경우, 모든 스캔 섹션에 대해 전압과 주파수를 각각 변화시키면서 찾는 방법보다 앞서 든 단계와 같은 방법을 사용하면 최적 쉬프트 주파수 또는 쉬프트 주파수의 주기를 빠르게 찾거나 결정할 수 있는 효과가 있다.If it is necessary to find the optimal frequency in consideration of the variation margin of the supply voltage to the chip under test, use the same method as in the previous step to find the method while changing the voltage and frequency for all scan sections, respectively. When used, the optimum shift frequency or period of the shift frequency can be quickly found or determined.

예를 들어, SN(스캔 섹션의 개수) = 1,000, VN(전압 변경 횟수) = 10, FN(주파수 변경 횟수) = 10 라고 가정한다.For example, it is assumed that SN (number of scan sections) = 1,000, VN (number of voltage changes) = 10, and FN (number of frequency changes) = 10.

경우 1case 1

모든 스캔 섹션에 대해 전압과 주파수를 각각 변화시키면서 테스트 정상 또는 실패를 찾기 위해 필요한 검색 횟수 = SN x VN x FN = 100,000Number of searches required to find a test good or failed, varying voltage and frequency respectively for every scan section = SN x VN x FN = 100,000

경우 2case 2

앞서 든 단계 1 내지 단계 5를 사용하여 테스트 정상 또는 실패를 찾기 위해 필요한 검색 횟수 = (단계1) VN x FN + (단계3) SN x FN + (단계5) VN = (VN + SN) x FN + VN = 10,110Number of searches required to find a test normal or failed using steps 1-5 above = (step 1) VN x FN + (step 3) SN x FN + (step 5) VN = (VN + SN) x FN + VN = 10,110

경우 2가 경우 1보다 약 10%로 횟수가 줄어든 것을 알 수 있다.It can be seen that the number of cases in case 2 is reduced by about 10% compared to case 1.

최적의 쉬프트 주파수를 찾기 위한 스캔 섹션 K(1204)는 도 14와 같이 스캔 패턴 M의 일부로 구성될 수도 있다. 즉 대상 스캔 섹션 K(1204)의 길이가 스캔 경로의 길이보다 짧을 수 있다. 이와 같은 경우 대상 스캔 섹션 K(1204)을 포함하는 스캔 패턴 M에서, 대상 스캔 섹션(1204)을 제외한 부분의 쉬프트 주파수는 대상 스캔 섹션 K(1204)의 최적 쉬프트 주파수를 찾는데 제약을 주지 않도록 한다.The scan section K 1204 for finding the optimal shift frequency may be configured as a part of the scan pattern M as shown in FIG. 14 . That is, the length of the target scan section K 1204 may be shorter than the length of the scan path. In this case, in the scan pattern M including the target scan section K 1204 , the shift frequency of a portion excluding the target scan section 1204 does not limit the search for the optimal shift frequency of the target scan section K 1204 .

예를 들어, 스캔 패턴 M에서 대상 스캔 섹션 K(1204)를 제외한 부분의 쉬프트 주파수는 대상 스캔 섹션 K(1204)의 쉬프트 주파수와 함께 증감되지 않도록 하거나, 대상 스캔 섹션 K(1204)과 상이한 쉬프트 주파수를 사용할 수 있다. 본 발명의 최소한 하나의 실시예에서, 스캔 패턴 M에서 대상 스캔 섹션 K(1204)을 제외한 부분의 쉬프트 주파수는 대상 스캔 섹션 K(1204)을 제외한 부분을 스캔 경로에 정상적으로 입력할 수 있는 쉬프트 주파수를 사용할 수 있다.For example, the shift frequency of a portion of the scan pattern M except for the target scan section K 1204 does not increase or decrease with the shift frequency of the target scan section K 1204, or a shift frequency different from the target scan section K 1204 can be used In at least one embodiment of the present invention, the shift frequency of the portion excluding the target scan section K 1204 in the scan pattern M is a shift frequency that can normally input the portion except the target scan section K 1204 into the scan path. can be used

다른 실시 예로, 스캔 패턴 M에서 대상 스캔 섹션 K(1204)을 제외한 부분에 적용되는 쉬프트 주파수는 명목 쉬프트 주파수 이하가 되거나, 대상 스캔 섹션 K(1204)를 제외한 부분에 대해 본 발명의 실시 예에 따른 방법을 통해 최적의 쉬프트 주파수가 이미 결정된 경우에는 해당 최적의 쉬프트 주파수 이하와 같이 기 설정된 쉬프트 주파수가 사용될 수 있다. 그리고 대상 스캔 섹션 K(1204)에 대해서는 이상에서 설명한 바와 같이 쉬프트 주파수 증감을 통해 최적의 주파수를 찾는다. 기 설정된 쉬프트 주파수는 명목 쉬프트 주파수를 조정한 값이거나 프로그램에 의해 장치에 설정된 값 또는 사용자가 설정한 값이 될 수 있는 등 실시 예에 따라 다양하게 변경 가능하며 위 예에 반드시 한정되는 것은 아니다.In another embodiment, the shift frequency applied to a portion other than the target scan section K 1204 in the scan pattern M is less than or equal to the nominal shift frequency, or for a portion other than the target scan section K 1204 according to an embodiment of the present invention When the optimal shift frequency has already been determined through the method, a preset shift frequency such as less than or equal to the optimal shift frequency may be used. And, as described above, for the target scan section K 1204, an optimal frequency is found by increasing or decreasing the shift frequency. The preset shift frequency may be variously changed according to embodiments, such as a value obtained by adjusting the nominal shift frequency, a value set in the device by a program, or a value set by a user, and is not necessarily limited to the above example.

도 12는 입력 패턴 K-1(1202)을 함께 사용하여 대상 스캔 섹션 K(1204)의 최적 쉬프트 주파수를 찾는 방법을 도시하고 있으나, 반드시 이에 한정되는 것은 아니다. 실시 예에 따라, 대상 스캔 섹션 K(1204) 또는 대상 스캔 섹션 K(1204)를 포함하는 스캔 패턴에 대한 스캔 경로의 출력 패턴만을 예측 패턴과 비교하여 최적의 쉬프트 주파수를 찾거나 결정할 수도 있다.12 illustrates a method of finding the optimal shift frequency of the target scan section K 1204 using the input pattern K-1 1202 together, but is not limited thereto. According to an embodiment, the optimal shift frequency may be found or determined by comparing only the target scan section K 1204 or the output pattern of the scan path for the scan pattern including the target scan section K 1204 with the prediction pattern.

(앞 입력 패턴에 대한 출력패턴의 비교 고려)(Considering the comparison of the output pattern with the previous input pattern)

본 발명의 최소한 하나의 실시예에서, 대상 스캔 섹션 K(1204)의 최적 쉬프트 주파수를 찾거나 결정하고자 할 때, 대상 스캔 섹션 K(1204) 바로 앞에 위치하는 입력 패턴 K-1(1202)에 대한 출력 패턴 또는 대상 스캔 섹션 K(1204)가 포함된 스캔 패턴 바로 앞에 위치하는 스캔 패턴에 대한 출력 패턴 또한 예측 패턴과 비교할 수 있다.In at least one embodiment of the present invention, when trying to find or determine the optimal shift frequency of the target scan section K ( 1204 ), the input pattern K-1 ( 1202 ) located immediately before the target scan section K ( 1204 ) is The output pattern or the output pattern for the scan pattern immediately preceding the scan pattern containing the target scan section K 1204 can also be compared with the predicted pattern.

예를 들어, 대상 스캔 섹션 K(1204)에 대한 스캔 경로의 출력 패턴이 예측 패턴과 동일할 뿐만 아니라 입력 패턴 K-1(1202)에 대한 출력 패턴 또한 예측 패턴과 동일한 경우에, 대상 스캔 섹션 K(1204)를 스캔 경로에 쉬프트 할 때 사용한 쉬프트 주파수는 대상 스캔 섹션 K(1204)의 사용 가능한 쉬프트 주파수로 파악될 수 있다.For example, if the output pattern of the scan path for the target scan section K 1204 is the same as the prediction pattern, but also the output pattern for the input pattern K-1 1202 is the same as the prediction pattern, then the target scan section K The shift frequency used when shifting 1204 to the scan path may be identified as an usable shift frequency of the target scan section K 1204 .

다른 예로, 대상 스캔 섹션 K(1204)가 도 14와 같이 스캔 패턴의 일부인 경우에, 대상 스캔 섹션 K(1204)를 포함하는 스캔 패턴 M에 대한 스캔 경로의 출력 패턴 K(1224)가 예측 패턴 K(1234)와 동일하고, 스캔 패턴 M의 앞에 위치하는 스캔 패턴 M-1에 대한 스캔 경로의 출력 패턴 K-1(1222)이 예측 패턴 K-1(1232)과 동일한 경우에, 대상 스캔 섹션 K(1204)를 스캔 경로에 쉬프트 할 때 사용된 쉬프트 주파수는 대상 스캔 섹션 K(1204)의 사용 가능한 쉬프트 주파수로 파악될 수 있다.As another example, when the target scan section K 1204 is part of the scan pattern as shown in FIG. 14 , the output pattern K 1224 of the scan path for the scan pattern M including the target scan section K 1204 is the predicted pattern K Same as (1234) and when the output pattern K-1 (1222) of the scan path for the scan pattern M-1 located in front of the scan pattern M is the same as the prediction pattern K-1 (1232), the target scan section K The shift frequency used when shifting 1204 to the scan path may be identified as an usable shift frequency of the target scan section K 1204 .

이와 같이, 대상 스캔 섹션 K(1204)뿐만 아니라 그 앞에 위치한 입력 패턴(1202)에 대한 출력 패턴(1222)과 예측패턴(1232)을 비교하는 이유는 대상 스캔 섹션 K(1204) 앞에 위치하는 입력 패턴(또는 입력 패턴의 일부)에 대한 스캔 경로의 출력 패턴이 대상 스캔 섹션 K(1204)의 쉬프트인 주파수에 의해 영향을 받을 수 있기 때문이다. 여기서, 입력 패턴에 대한 쉬프트아웃 출력 패턴은 대상 스캔 섹션 K(1204) 앞에 위치하는 입력 패턴(또는 입력 패턴의 일부)이 스캔 경로에 입력된 후 스캔 캡쳐 동작을 수행하여 얻게 되는 패턴이거나 스캔 캡쳐 동작 없이 스캔 경로로부터 출력되는 패턴일 수 있다.As such, the reason for comparing the output pattern 1222 and the prediction pattern 1232 for the input pattern 1202 positioned before the target scan section K 1204 as well as the target scan section K 1204 is the input pattern positioned before the target scan section K 1204 . This is because the output pattern of the scan path for (or part of the input pattern) may be affected by the shift-in frequency of the target scan section K 1204 . Here, the shift-out output pattern for the input pattern is a pattern obtained by performing a scan capture operation after an input pattern (or a part of an input pattern) located in front of the target scan section K 1204 is input to a scan path, or a scan capture operation It may be a pattern output from the scan path without

도 16은 본 발명 의 최소한 하나의 실시예 에 따라 스캔 패턴의 사용 가능한 쉬프트 주파수를 찾는 방법의 일 예를 나타낸 그래프이고, 도 17은 본 발명 의 최소한 하나의 실시예 에 따라 최적 쉬프트 주파수를 찾고자 하는 스캔 패턴의 쉬프트 주파수를 증감할 때 다른 스캔 패턴의 테스트 결과가 실패인 경우를 나타낸 그래프이다.16 is a graph showing an example of a method of finding a usable shift frequency of a scan pattern according to at least one embodiment of the present invention, and FIG. 17 is a method for finding an optimal shift frequency according to at least one embodiment of the present invention. This is a graph showing a case in which the test result of another scan pattern fails when the shift frequency of the scan pattern is increased or decreased.

도 16을 참조하면, 제2 스캔 패턴의 최적 쉬프트 주파수를 찾기 위하여, 제1 스캔 패턴, 제2 스캔 패턴, 제3 스캔 패턴이 순차적으로 스캔 경로에 입력된다. 본 발명의 최소한 하나의 실시예에서, 제1 스캔 패턴의 쉬프트인에는 제1 스캔 패턴을 스캔 경로에 정상적으로 입력할 수 있는 쉬프트 주파수(예를 들어, 5MHz)가 사용된다. 다시 말해, 제1 스캔 패턴에 의한 스캔 테스트 결과가 정상이 될 수 있는 쉬프트 주파수가 제1 스캔 패턴의 쉬프팅에 사용된다.Referring to FIG. 16 , in order to find the optimal shift frequency of the second scan pattern, the first scan pattern, the second scan pattern, and the third scan pattern are sequentially input to the scan path. In at least one embodiment of the present invention, a shift frequency (eg, 5 MHz) capable of normally inputting the first scan pattern into a scan path is used for shift-in of the first scan pattern. In other words, a shift frequency at which a scan test result based on the first scan pattern can become normal is used for shifting the first scan pattern.

제2 스캔 패턴의 쉬프트 주파수를 5MHz부터 순차적으로 25MHz까지 증가한 경우에, 제1 스캔 패턴 및 제2 스캔 패턴의 테스트 결과는 모두 정상이다. 이 경우, 25MHz 이하의 모든 쉬프트 주파수는 제2 스캔 패턴에 사용 가능한 쉬프트 주파수이다.When the shift frequency of the second scan pattern is sequentially increased from 5 MHz to 25 MHz, both the test results of the first scan pattern and the second scan pattern are normal. In this case, all shift frequencies of 25 MHz or less are shift frequencies usable for the second scan pattern.

도 17을 참조하면, 제2 스캔 패턴의 쉬프트 주파수를 30MHz로 증가하면, 제2 스캔 패턴의 테스트 결과는 정상이지만 제1 스캔 패턴의 테스트 결과는 실패이다. 쉬프트아웃 되는 제1 스캔 패턴의 테스트 결과가 제2 스캔 패턴의 쉬프트 주파수에 의해 영향을 받기 때문이다. 따라서 본 발명의 최소한 하나의 실시예에서, 최적 쉬프트 주파수를 찾고자 하는 제2 스캔 패턴뿐만 아니라 제2 스캔 패턴 앞의 입력 패턴인 제1 스캔 패턴의 테스트 결과 또한 정상일 때의 쉬프트 주파수가 제2 스캔 패턴의 사용 가능한 주파수이다.Referring to FIG. 17 , when the shift frequency of the second scan pattern is increased to 30 MHz, the test result of the second scan pattern is normal, but the test result of the first scan pattern is a failure. This is because the test result of the shifted-out first scan pattern is affected by the shift frequency of the second scan pattern. Accordingly, in at least one embodiment of the present invention, the shift frequency when the test result of the first scan pattern that is the input pattern before the second scan pattern as well as the second scan pattern to find the optimal shift frequency is normal is the second scan pattern is the available frequency of

최적의 쉬프트 주파수를 찾고자 하는 스캔 섹션이 스캔 패턴의 일부일 수 있다. 이때 전술한 바와 마찬가지로, 최적 쉬프트 주파수를 찾고자 하는 대상 스캔 섹션이 포함된 제2 스캔 패턴뿐만 아니라 제1 스캔 패턴의 테스트 결과가 모두 정상일 때의 쉬프트 주파수가 대상 스캔 섹션의 사용 가능한 주파수이다. 대상 스캔 섹션을 제외한 비트 패턴에는 비트 패턴을 스캔 경로에 정상적으로 입력할 수 있는 쉬프트 주파수가 사용된다.A scan section for which an optimal shift frequency is to be found may be part of a scan pattern. At this time, as described above, the shift frequency when the test results of the first scan pattern as well as the second scan pattern including the target scan section for which the optimum shift frequency is to be found are all normal is the usable frequency of the target scan section. For bit patterns except for the target scan section, a shift frequency that can normally input the bit pattern into the scan path is used.

제3 스캔 패턴에는 정상적으로 스캔 경로에 쉬프트인되면서, 동시에 제2 스캔 패턴에 대한 테스트 결과를 정상적으로 쉬프트아웃할 수 있는 쉬프트 주파수가 사용된다.For the third scan pattern, a shift frequency that is normally shifted in the scan path and capable of normally shifting the test result for the second scan pattern out at the same time is used.

스캔 섹션의 최적 쉬프트 주파수를 찾거나 칩의 양산 테스트 시간을 줄이기 위해 서로 이웃하는 제1 스캔 섹션과 제2 스캔 섹션을 순차적으로 칩의 스캔 경로에 입력할 때, 제1 스캔 섹션의 쉬프트 주파수와 제2 스캔 섹션의 쉬프트 주파수를 서로 다르게 하여 스캔 테스트를 수행할 수 있다. 예를 들어, 두 개의 스캔 섹션에 사용된 서로 다른 각 쉬프트 주파수는 두 개의 스캔 섹션을 사용한 스캔 테스트가 정상이 되는 쉬프트 주파수 값 이하일 수 있다.In order to find the optimal shift frequency of the scan section or to reduce the mass-production test time of the chip, when the first and second scan sections adjacent to each other are sequentially input into the scan path of the chip, the shift frequency of the first scan section and the second 2 The scan test can be performed by differentiating the shift frequencies of the scan sections. For example, each different shift frequency used in the two scan sections may be less than or equal to a shift frequency value at which a scan test using the two scan sections becomes normal.

서로 이웃하는 제1 스캔 섹션과 제2 스캔 섹션에 대해, 제1 스캔 섹션의 쉬프트 주파수보다 제2 스캔 섹션의 쉬프트 주파수를 크게 하거나 작게 하여 스캔 테스트를 수행할 수 있다. 이때 고장이 없는(fault-free) 칩에 대한 테스트 결과가 정상일 때의 이웃한 두 스캔 섹션의 각 쉬프트 주파수 이하를 칩의 양산 테스트 시간을 줄이기 위해 사용한다. 즉 서로 이웃한 스캔 섹션이 스캔 테스트 시에 서로에게 미치는 영향이 고려되어야 한다.The scan test may be performed with respect to the first scan section and the second scan section adjacent to each other by making the shift frequency of the second scan section larger or smaller than the shift frequency of the first scan section. At this time, when the test result for a fault-free chip is normal, each shift frequency or less of two adjacent scan sections is used to reduce the mass-production test time of the chip. That is, the influence of neighboring scan sections on each other during the scan test should be considered.

예를 들어, 제1 스캔 섹션과 제2 스캔 섹션이 서로 이웃한 스캔 패턴인 경우, 제1 스캔 섹션에 의한 스캔 캡쳐 결과가 쉬프트아웃 될 때 이어서 입력되는 제2 스캔 섹션의 쉬프트 주파수의 영향이 고려되어야 한다. 예를 들어, 스캔 캡쳐 결과 패턴이 쉬프트아웃 될 때 쉬프트 주파수에 따라 결과 패턴의 비트 값이 바뀔 수 있기 때문이다.For example, when the first scan section and the second scan section have adjacent scan patterns, the effect of the shift frequency of the subsequently input second scan section is considered when the scan capture result by the first scan section is shifted out should be For example, when the scan capture result pattern is shifted out, the bit value of the result pattern may change according to the shift frequency.

다른 예로, 서로 이웃하는 제1 스캔 섹션과 제2 스캔 섹션이 한 스캔 패턴에 포함된 경우, 제1 스캔 섹션이 쉬프트인 될 때 이어서 쉬프트인되는 제2 스캔 섹션의 쉬프트 주파수의 영향이 고려되어야 한다. 예를 들어, 제2 스캔 섹션의 쉬프트 주파수에 의해 스캔 경로에서 쉬프트되는 제1 스캔 섹션의 비트 값이 바뀔 수 있기 때문이다.As another example, when neighboring first and second scan sections are included in one scan pattern, when the first scan section is shifted in, the effect of the shift frequency of the next shifted second scan section should be considered. . This is because, for example, the bit value of the shifted first scan section in the scan path may be changed by the shift frequency of the second scan section.

또 다른 예로, 제1 스캔 섹션과 제2 스캔 섹션을 포함하는 제2 스캔 패턴 앞에서 쉬프트인된 제1 스캔 패턴의 스캔 캡쳐 결과가 쉬프트아웃 될 때, 제2 스캔 패턴에 속한 제1 스캔 섹션 및 제2 스캔 섹션의 영향이 고려되어야 한다. 이러한 영향이 고려되지 않으면, 양산 테스트 시에 고장이 없는 칩에 대한 스캔 테스트 결과가 테스트 실패가 될 수 있기 때문이다.As another example, when the scan capture result of the first scan pattern shifted in before the second scan pattern including the first scan section and the second scan section is shifted out, the first scan section and the second scan section belonging to the second scan pattern are shifted out. 2 The effect of the scan section should be considered. This is because, if these effects are not taken into account, the scan test result for a chip without a failure may result in test failure during mass production testing.

(최적 쉬프트 주파수를 찾고자 하는 대상 스캔 섹션의 앞 또는 뒤 입력 패턴에 대한 출력 결과 고려)(Consider the output result for the input pattern before or after the target scan section for which you want to find the optimal shift frequency)

대상 스캔 섹션의 최적 쉬프트 주파수를 찾거나 결정하고자 할 때, 대상 스캔 섹션뿐만 아니라 그 앞 또는 뒤에 위치한 입력 패턴 또는 대상 스캔 섹션(1204)을 포함하는 스캔 패턴 앞 또는 뒤에 위치한 스캔 패턴에 대한 스캔 경로의 출력 패턴을 예측 패턴과 비교하여 실제로 정상적인 테스트 대상 IC 칩이 정상적인 것으로 테스트 될 수 있는지 파악한다.When trying to find or determine the optimal shift frequency of the target scan section, the scan path for the target scan section as well as the input pattern located before or after it or the scan pattern located before or after the scan pattern including the target scan section 1204 is It compares the output pattern with the predicted pattern to figure out whether an IC chip that is actually normal under test can be tested as normal.

본 발명의 최소한 하나의 실시예에서, 이러한 과정을 쉬프트 주파수를 증감하면서 반복하여 대상 스캔 섹션의 최적 쉬프트 주파수를 찾거나 결정할 수 있다. 이때 테스트 결과가 정상인 쉬프트 주파수는 대상 스캔 섹션의 사용 가능한 쉬프트 주파수이다. 대상 스캔 섹션에 대한 스캔 경로의 출력 패턴은 대상 스캔 섹션을 스캔 경로에 로드 한 후 캡쳐 동작을 수행하여 얻는 패턴이거나 대상 스캔 섹션 또는 대상 스캔 섹션이 포함된 스캔 패턴을 스캔 캡쳐 동작 없이 스캔 경로로부터 출력한 패턴일 수 있다.In at least one embodiment of the present invention, it is possible to find or determine the optimal shift frequency of the target scan section by repeating this process while increasing or decreasing the shift frequency. In this case, the shift frequency at which the test result is normal is the usable shift frequency of the target scan section. The output pattern of the scan path for the target scan section is a pattern obtained by performing a capture operation after loading the target scan section into the scan path, or the target scan section or a scan pattern including the target scan section is output from the scan path without a scan capture operation It can be one pattern.

(최적 쉬프트 주파수를 찾고자 하는 대상 스캔 섹션의 뒤에 입력되는 입력 패턴에 대한 출력 결과 고려)(Considering the output result for the input pattern input after the target scan section to find the optimal shift frequency)

최적 쉬프트 주파수를 찾거나 결정하기 위하여, 대상 스캔 섹션 뒤에 위치하는 입력패턴 또는 대상 스캔 섹션(1204)을 포함하는 스캔 패턴의 뒤에 위치하는 스캔 패턴에 대한 스캔 경로의 출력 패턴 또한 예측 패턴과 비교하는 단계를 포함할 수 있다.comparing also the output pattern of the scan path for the input pattern located after the target scan section or the scan pattern located after the scan pattern including the target scan section 1204 with the predicted pattern to find or determine the optimal shift frequency; may include

예를 들어, 대상 스캔 섹션의 최적의 쉬프트 주파수를 찾거나 결정하기 위해, 스캔 경로로부터 쉬프트아웃 되는 대상 스캔 섹션에 대한 출력 패턴은 대상 스캔 섹션 바로 뒤에 위치하여 쉬프트인되는 입력 패턴의 비트 값에 영향을 줄 수 있다. 또 다른 예로, 대상 스캔 섹션의 최적의 쉬프트 주파수를 찾거나 결정하기 위해, 스캔 경로로부터 쉬프트아웃 되는 대상 스캔 섹션을 포함한 스캔 패턴에 대한 출력 패턴은 대상 스캔 섹션을 포함하는 스캔 패턴 바로 뒤에 위치하여 쉬프트인되는 스캔 패턴의 비트 값에 영향을 줄 수 있다.For example, to find or determine the optimal shift frequency of the target scan section, the output pattern for the target scan section that is shifted out of the scan path is positioned immediately after the target scan section to influence the bit value of the shifted input pattern. can give As another example, in order to find or determine the optimal shift frequency of the target scan section, the output pattern for the scan pattern including the target scan section that is shifted out from the scan path is positioned immediately after the scan pattern comprising the target scan section to be shifted It may affect the bit value of the scanned scan pattern.

(뒤 입력 패턴이 최적 쉬프트 주파수를 찾고자 하는 대상 스캔 섹션에 영향을 줄 수 있는 경우)(If the back input pattern can influence the target scan section to find the optimal shift frequency)

대상 스캔 섹션 또는 대상 스캔 섹션을 포함한 스캔 패턴에 대한 스캔 경로의 출력 패턴이 쉬프트아웃 될 때, 뒤에 위치하는 쉬프트인되는 입력 패턴이 대상 스캔 섹션의 출력 패턴의 비트 값에 영향을 줄 수 있다.When the output pattern of the scan path with respect to the target scan section or the scan pattern including the target scan section is shifted out, the shifted-in input pattern located behind may affect the bit value of the output pattern of the target scan section.

(뒤 입력 패턴의 쉬프트 주파수 고려)(Considering the shift frequency of the rear input pattern)

입력 패턴(또는 스캔 패턴)에 의한 영향을 줄이거나 없애기 위해 대상 스캔 섹션 또는 대상 스캔 섹션을 포함한 스캔 패턴에 대한 스캔 경로의 출력 패턴이 쉬프트아웃 될 때 바로 뒤에 위치하여 쉬프트인되는 입력 패턴(또는 스캔 패턴)의 쉬프트 주파수는 대상 스캔 섹션 뒤에 위치하여 쉬프트인되는 입력 패턴(또는 스캔 패턴)을 스캔 경로에 정상적으로 쉬프트 할 수 있는 쉬프트 주파수가 사용될 수 있다.In order to reduce or eliminate the influence of the input pattern (or scan pattern), the input pattern (or scan pattern) that is positioned immediately after and shifted in when the output pattern of the scan path for the target scan section or the scan pattern including the target scan section is shifted out. As the shift frequency of the pattern), a shift frequency capable of normally shifting the shifted input pattern (or scan pattern) in the scan path by being located behind the target scan section may be used.

(앞 또는 뒤 입력 패턴의 쉬프트 주파수 고려)(Considering the shift frequency of the front or rear input pattern)

대상 스캔 섹션의 최적 쉬프트 주파수를 찾거나 결정하기 위해, 대상 스캔 섹션의 앞 또는 뒤에 위치한 입력 패턴(또는 입력 패턴의 일부)의 쉬프트 주파수는 대상 스캔 섹션과 동일하거나 상이한 쉬프트 주파수가 사용될 수 있다. 이때, 본 발명의 최소한 하나의 실시예에서, 대상 스캔 섹션의 앞 또는 뒤에 위치한 입력 패턴이 스캔 경로에 정상적으로 쉬프트 될 수 있는 쉬프트 주파수가 사용된다.In order to find or determine the optimal shift frequency of the target scan section, the shift frequency of the input pattern (or part of the input pattern) positioned before or after the target scan section may be the same as or different from the shift frequency of the target scan section. At this time, in at least one embodiment of the present invention, a shift frequency at which an input pattern located before or after the target scan section can be normally shifted in the scan path is used.

이는 현재 사용 가능한 최대 쉬프트 주파수를 찾고자 하는 대상 스캔 섹션의 앞 또는 뒤에 위치한 입력 패턴이 전술한 바와 같이 대상 스캔 섹션의 사용 가능한 최대 쉬프트 주파수에 제약을 줄 수 있기 때문이다. 예를 들어 대상 스캔 섹션의 앞 또는 뒤에 위치한 입력 패턴의 사용 가능한 최대 쉬프트 주파수가 대상 스캔 섹션의 사용 가능한 최대 쉬프트 주파수보다 낮을 수 있다.This is because, as described above, an input pattern located before or after the target scan section for which the currently available maximum shift frequency is to be found may limit the usable maximum shift frequency of the target scan section. For example, the maximum usable shift frequency of the input pattern located before or after the target scan section may be lower than the maximum usable shift frequency of the target scan section.

도 18 내지 도 20은 스캔 섹션의 사용 가능한 쉬프트 주파수를 찾기 위해 필요한 스캔 패턴, 스캔 섹션 및 쉬프트 주파수 정보의 구성에 대한 일 예를 도시한 개념도이다.18 to 20 are conceptual diagrams illustrating an example of a configuration of a scan pattern, a scan section, and shift frequency information necessary to find a usable shift frequency of a scan section.

도 18을 참조하면, 칩을 정상적으로 테스트할 수 있는 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾고자 하는 스캔 섹션이 스캔 패턴인 경우이다. 테스트 데이터(1800)의 각 스캔 패턴 N+1, 스캔 패턴 N+2 및 스캔 패턴 N+3은 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾고자 하는 스캔 섹션이다. 도 18은 스캔 패턴 N+1, 스캔 패턴 N+2 및 스캔 패턴 N+3 각각의 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾거나 결정하기 위해 필요한 스캔 패턴, 스캔 섹션 및 쉬프트 주파수 정보의 구성을 보여준다.Referring to FIG. 18 , a scan pattern is a scan section in which a usable shift frequency or an optimal shift frequency at which a chip can be normally tested is a scan pattern. Each scan pattern N+1, scan pattern N+2, and scan pattern N+3 of the test data 1800 is a scan section in which an usable shift frequency or an optimal shift frequency is to be found. 18 shows the configuration of scan pattern, scan section and shift frequency information necessary to find or determine an usable shift frequency or optimal shift frequency of scan pattern N+1, scan pattern N+2, and scan pattern N+3, respectively; .

본 발명의 최소한 하나의 실시예에서, 도 18에서, T1, T2, T3 및 Target_T는 스캔 쉬프트 주파수 또는 스캔 쉬프트 주파수의 주기와 관련된 정보를 나타내며 설명의 편의상 타이밍 식별자(Timing Identifier), 타이밍 셋 또는 타이밍 정보로 불릴 수 있다.In at least one embodiment of the present invention, in FIG. 18 , T1, T2, T3, and Target_T indicate information related to a scan shift frequency or period of a scan shift frequency, and for convenience of description, a timing identifier, a timing set, or a timing It can be called information.

본 발명의 최소한 하나의 실시예에서, 타이밍 정보는 쉬프트 주파수 또는 쉬프트 주파수의 주기와 관련된 정보로서 쉬프트 주파수 또는 쉬프트 주파수의 주기를 포함하거나 나타낼 수 있다. 타이밍 정보는 스캔 패턴 또는 스캔 섹션을 식별하거나 제어하는데 사용될 수 있다. 예를 들어, 테스트 장치가 타이밍 정보에 의해 식별되는 스캔 패턴 또는 스캔 섹션의 쉬프트 주파수 또는 쉬프트 주파수의 주기를 증감할 수 있다.In at least one embodiment of the present invention, the timing information may include or indicate the shift frequency or the period of the shift frequency as information related to the shift frequency or the period of the shift frequency. The timing information may be used to identify or control a scan pattern or scan section. For example, the test apparatus may increase or decrease the shift frequency or period of the shift frequency of the scan pattern or scan section identified by the timing information.

테스트 장치가 제어할 수 있는 주파수의 개수에는 제약이 있을 수 있으며, 따라서 테스트 장치가 주파수를 제어할 수 있는 타이밍 식별자의 개수의 제약이 있을 수 있다. 이하 설명의 편의상 테스트 장치가 제공하는 타이밍 식별자의 개수는 테스트 장치가 제어하거나 설정할 수 있는 주파수의 개수 또는 테스트 장치가 주파수를 제어하거나 설정할 수 있는 타이밍 식별자의 개수를 의미한다. There may be restrictions on the number of frequencies that the test device can control, and accordingly, there may be restrictions on the number of timing identifiers over which the test device can control frequencies. For convenience of description below, the number of timing identifiers provided by the test apparatus means the number of frequencies that the test apparatus can control or set or the number of timing identifiers that the test apparatus can control or set frequencies with.

도 18에서 T1은 스캔 패턴 N에 대한 쉬프트 주파수 또는 쉬프트 주파수의 주기와 관련된 정보를 나타내며, 스캔 패턴 N의 타이밍 정보로 불릴 수 있다. 도 18에서 스캔 패턴 N+1에 대한 Target_T는 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾고자 하는 스캔 섹션인 스캔 패턴 N+1에 대한 쉬프트 주파수 또는 스캔 쉬프트 주파수의 주기와 관련된 정보를 나타내며, 스캔 패턴 N+1의 타이밍 정보로 불릴 수도 있다. 즉, 도 18에서 T1, T2 및 T3는 최적의 쉬프트 주파수를 찾고자 하는 스캔 섹션 앞에 위치한 스캔 패턴의 타이밍 정보이고 Target_T는 쉬프트 주파수 최적화 대상인 스캔 섹션의 타이밍 정보이다.In FIG. 18 , T1 indicates a shift frequency for the scan pattern N or information related to the period of the shift frequency, and may be referred to as timing information of the scan pattern N. In FIG. 18 , Target_T for scan pattern N+1 indicates information related to a shift frequency or period of scan shift frequency for scan pattern N+1, which is a scan section for which an usable shift frequency or optimal shift frequency is to be found, and scan pattern N It may also be referred to as +1 timing information. That is, in FIG. 18 , T1, T2, and T3 are timing information of a scan pattern located in front of a scan section to find an optimal shift frequency, and Target_T is timing information of a scan section that is a shift frequency optimization target.

도 18에서 Target_T, T1, T2 및 T3 중 적어도 둘 이상은 서로 같거나 다른 쉬프트 주파수 또는 쉬프트 주파수의 주기가 사용될 수 있다.In FIG. 18 , at least two or more of Target_T, T1, T2, and T3 may have the same or different shift frequency or period of shift frequency.

도 18에서 T1, T2 또는 T3의 쉬프트 주파수 또는 쉬프트 주파수의 주기 정보는 T1, T2 또는 T3에 해당하는 스캔 패턴 또는 스캔 섹션이 스캔 경로에 정상적으로 입력될 수 있도록 하는 쉬프트 주파수 또는 쉬프트 주파수의 주기가 사용된다. 이때 Target_T에 해당하는 쉬프트 주파수 또는 쉬프트 주파수의 주기는 최적의 값을 찾기 위해 증감될 수 있다. 또한 도 18의 예에 한정되지 아니하고 하나의 스캔 패턴에는 하나 이상의 쉬프트 주파수, 쉬프트 주파수의 주기 또는 타이밍 정보가 다양하게 할당되거나 사용될 수도 있다.In FIG. 18 , the shift frequency of T1, T2, or T3 or period information of the shift frequency is a shift frequency or period of shift frequency that allows the scan pattern or scan section corresponding to T1, T2 or T3 to be normally input to the scan path. do. In this case, the shift frequency corresponding to Target_T or the period of the shift frequency may be increased or decreased to find an optimal value. In addition, it is not limited to the example of FIG. 18 , and one or more shift frequencies, periods or timing information of shift frequencies may be variously allocated or used in one scan pattern.

본 발명의 최소한 하나의 실시예에서 어떤 스캔 섹션의 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾기 위해 사용되는 검색용 데이터(1810,1820,1830)는 도 18과 같이 적어도 두 개 이상의 스캔 패턴을 포함하여 구성될 수 있다.In at least one embodiment of the present invention, the search data 1810, 1820, 1830 used to find a usable shift frequency or an optimal shift frequency of a certain scan section includes at least two or more scan patterns as shown in FIG. can be configured.

스캔 패턴 N+1의 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾기 위한 검색용 데이터(1810)는 적어도 스캔 패턴 N+1과 그 앞에 위치한 스캔 패턴 N을 포함한다. 예를 들어, 검색용 데이터(1810,1820,1830)에 포함된 스캔 섹션 또는 스캔 패턴은 특정 스캔 섹션의 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾기 위해 스캔 경로에 반복 입력될 수 있다.The search data 1810 for finding the usable shift frequency or the optimal shift frequency of the scan pattern N+1 includes at least the scan pattern N+1 and the scan pattern N positioned before it. For example, a scan section or scan pattern included in the search data 1810 , 1820 , and 1830 may be repeatedly input into a scan path to find an usable shift frequency or an optimal shift frequency of a specific scan section.

이때 검색용 데이터(1810,1820,1830)에 포함된 적어도 두 개 이상의 각 스캔 패턴을 사용한 칩의 스캔 테스트 출력 패턴을 기초로 각 스캔 패턴에 대한 테스트 정상 또는 실패 여부를 결정한다. 예를 들어, 출력 패턴은 예측 패턴과 비교될 수 있으며, 예측 패턴은 검색용 데이터(1810,1820,1830)에 포함되어 관리될 수 있다. 다시 말해, 검색용 데이터(1810,1820,1830)는 각각의 스캔 패턴 N+1과 그 앞에 위치한 스캔 패턴 N에 대한 각 출력 패턴에 대응되는 각 예측 패턴을 함께 포함할 수 있다. 그리고 테스트 성공 또는 실패 정보를 기초로 스캔 섹션의 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾을 수 있다. 예를 들어, Target_T에 해당하는 스캔 패턴 N+1의 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾을 수 있다.At this time, it is determined whether the test for each scan pattern is normal or failed based on the scan test output pattern of the chip using at least two or more respective scan patterns included in the search data 1810 , 1820 , and 1830 . For example, the output pattern may be compared with the prediction pattern, and the prediction pattern may be included in the search data 1810 , 1820 , and 1830 and managed. In other words, the search data 1810 , 1820 , and 1830 may include each of the scan patterns N+1 and each prediction pattern corresponding to each output pattern of the scan pattern N positioned in front of it. And based on the test success or failure information, it is possible to find an usable shift frequency or an optimal shift frequency of the scan section. For example, an usable shift frequency or an optimal shift frequency of the scan pattern N+1 corresponding to Target_T may be found.

스캔 패턴 N+1의 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾기 위해 스캔 패턴 N+1과 그 앞에 위치한 스캔 패턴 N을 사용하여 스캔 테스트를 수행한다. 이때 두 스캔 패턴 N+1과 N 각각에 대한 칩의 스캔 테스트 출력 패턴을 기초로 테스트 성공 또는 실패를 결정할 수 있다. 그리고 스캔 패턴 N+1에 대한 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾을 수 있다. 스캔 패턴 N+1과 그 앞에 위치한 스캔 패턴 N을 사용한 스캔 테스트 결과가 모두 정상인 쉬프트 주파수는 스캔 패턴 N+1의 사용 가능한 쉬프트 주파수이다.A scan test is performed using the scan pattern N+1 and the scan pattern N located in front of it to find an usable shift frequency or an optimal shift frequency of the scan pattern N+1. In this case, success or failure of the test may be determined based on the scan test output pattern of the chip for each of the two scan patterns N+1 and N. In addition, an usable shift frequency or an optimal shift frequency for the scan pattern N+1 may be found. A shift frequency at which both the scan pattern N+1 and the scan test result using the scan pattern N positioned before it are normal is the usable shift frequency of the scan pattern N+1.

도 19를 참조하면, 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾고자 하는 스캔 섹션은 스캔 패턴이다. 스캔 섹션에 대한 최적의 쉬프트 주파수를 찾기 위해 대상 스캔 섹션의 앞과 뒤에 위치하는 스캔 패턴을 포함하여 적어도 세 개 이상의 스캔 패턴이 사용된다.Referring to FIG. 19 , a scan section in which a usable shift frequency or an optimal shift frequency is to be found is a scan pattern. At least three or more scan patterns are used, including those located before and after the target scan section, to find the optimal shift frequency for the scan section.

예를 들어, 스캔 섹션의 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾기 위해 사용되는 검색용 데이터(1910,1920,1930)는 도 19와 같이 적어도 세 개 이상의 스캔 패턴을 포함하여 구성된다. 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾기 위해 사용되는 검색용 데이터(1910,1920,1930)의 스캔 패턴 또는 스캔 섹션은 스캔 경로에 반복 입력될 수 있다. 이때 검색용 데이터(1910,1920,1930))에 포함된 스캔 패턴의 출력 패턴과 예측 패턴의 비교를 기초로 IC 칩의 테스트 성공 또는 실패 여부를 파악한다. 그리고 테스트 성공 여부를 기초로 최적의 쉬프트 주파수를 찾고자 하는 스캔 섹션의 사용 가능한 쉬프트 주파수를 찾을 수 있다.For example, the search data 1910 , 1920 , and 1930 used to find the usable shift frequency or the optimal shift frequency of the scan section includes at least three or more scan patterns as shown in FIG. 19 . The scan pattern or scan section of the search data 1910 , 1920 , and 1930 used to find an usable shift frequency or an optimal shift frequency may be repeatedly input to the scan path. At this time, based on the comparison of the output pattern of the scan pattern included in the search data (1910, 1920, 1930)) and the predicted pattern, it is determined whether the test of the IC chip succeeds or fails. In addition, it is possible to find an usable shift frequency of the scan section to find an optimal shift frequency based on whether the test is successful or not.

검색용 데이터(1910)에서 스캔 패턴 N+1의 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾기 위해 스캔 패턴 N+1과 그 앞에 위치한 스캔 패턴 N에 의한 칩 테스트를 수행한다. 이때 테스트 결과가 정상일 때의 쉬프트 주파수는 스캔 패턴 N+1의 사용 가능한 쉬프트 주파수이다. 이때 스캔 패턴 N+1의 뒤에 위치한 스캔 패턴 N+2는 스캔 경로에 정상적으로 쉬프트되는 쉬프트 주파수가 사용되도록 함으로써, 스캔 패턴 N+2에 의한 칩 테스트를 생략할 수 있다. 또는 스캔 패턴 N+2에 의한 칩 테스트 결과 또한 정상일 때의 쉬프트 주파수를 스캔 패턴 N+2의 사용 가능한 쉬프트 주파수로 결정할 수도 있다.In order to find a usable shift frequency or an optimal shift frequency of the scan pattern N+1 in the search data 1910, a chip test is performed using the scan pattern N+1 and the scan pattern N located in front of it. In this case, the shift frequency when the test result is normal is the usable shift frequency of the scan pattern N+1. In this case, the scan pattern N+2 located after the scan pattern N+1 uses a shift frequency that is normally shifted in the scan path, so that the chip test by the scan pattern N+2 can be omitted. Alternatively, the shift frequency when the chip test result based on the scan pattern N+2 is also normal may be determined as the usable shift frequency of the scan pattern N+2.

도 19를 참조하면, 타이밍 정보 Target_T, T1, T2, T3, T4, T5 및 T6 중 적어도 둘 이상은 서로 같거나 서로 다른 쉬프트 주파수 또는 쉬프트 주파수의 주기일 수 있다. 쉬프트 주파수의 주기란 쉬프트 주파수로 스캔 패턴을 쉬프트하는 쉬프트 동작의 시간 간격으로 쉬프트 주파수의 역수이다. 본 발명의 최소한 하나의 실시예에서, 타이밍 정보 T1, T2, T3, T4, T5 또는 T6의 쉬프트 주파수 또는 쉬프트 주파수의 주기 정보는 T1, T2, T3, T4, T5 또는 T6에 해당하는 스캔 패턴 또는 스캔 섹션이 스캔 경로에 정상적으로 입력될 수 있도록 하는 쉬프트 주파수 또는 쉬프트 주파수의 주기가 사용된다. 이때 Target_T에 해당하는 쉬프트 주파수 또는 쉬프트 주파수의 주기는 최적의 값을 찾기 위해 증감될 수 있다.Referring to FIG. 19 , at least two or more of the timing information Target_T, T1, T2, T3, T4, T5, and T6 may be the same or different shift frequencies or periods of shift frequencies. The period of the shift frequency is a time interval of a shift operation for shifting the scan pattern with the shift frequency and is the reciprocal of the shift frequency. In at least one embodiment of the present invention, the shift frequency or period information of the shift frequency of the timing information T1, T2, T3, T4, T5 or T6 includes a scan pattern corresponding to T1, T2, T3, T4, T5 or T6 or A shift frequency or period of shift frequency is used so that the scan section can be normally input into the scan path. In this case, the shift frequency corresponding to Target_T or the period of the shift frequency may be increased or decreased to find an optimal value.

또한 도 19의 예에 한정되지 아니하고 하나의 스캔 패턴에는 하나 이상의 쉬프트 주파수, 쉬프트 주파수의 주기 또는 타이밍 정보가 다양하게 사용될 수도 있다.Also, it is not limited to the example of FIG. 19 , and one or more shift frequencies, periods or timing information of shift frequencies may be used in various ways in one scan pattern.

도 20을 참조하면, 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾고자 하는 스캔 섹션이 스캔 패턴의 일부인 경우이다. 즉, 스캔 패턴 N+1의 각각의 스캔 섹션 A, A+1 및 A+2는 최적의 쉬프트 주파수를 찾고자 하는 스캔 섹션이다.Referring to FIG. 20 , a scan section for which an usable shift frequency or an optimal shift frequency is to be found is a part of a scan pattern. That is, each of the scan sections A, A+1, and A+2 of the scan pattern N+1 is a scan section for which an optimal shift frequency is to be found.

타이밍 정보 T1, T2, T3, T4, T5, T6, T7, T8, T9 및 T10은 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾고자 하는 스캔 섹션의 앞 또는 뒤에 위치한 스캔 패턴 또는 스캔 섹션의 타이밍 정보이다. 그리고 Target_T는 쉬프트 주파수 최적화 대상인 스캔 섹션의 타이밍 정보이다.Timing information T1, T2, T3, T4, T5, T6, T7, T8, T9 and T10 is the scan pattern located before or after the scan section to find the usable shift frequency or the optimal shift frequency or timing information of the scan section . And Target_T is timing information of a scan section that is a shift frequency optimization target.

Target_T, T1, T2, T3, T4, T5, T6, T7, T8, T9 및 T10 중 적어도 둘 이상은 서로 같거나 서로 다른 쉬프트 주파수 또는 쉬프트 주파수의 주기가 사용될 수 있다.At least two or more of Target_T, T1, T2, T3, T4, T5, T6, T7, T8, T9, and T10 may have the same or different shift frequencies or periods of shift frequencies.

본 발명의 최소한 하나의 실시예에서, T1, T2, T3, T4, T5, T6, T7, T8, T9 또는 T10의 쉬프트 주파수 또는 쉬프트 주파수의 주기 정보는 T1, T2, T3, T4, T5, T6, T7, T8, T9 또는 T10에 해당하는 스캔 패턴 또는 스캔 섹션이 스캔 경로에 정상적으로 입력될 수 있도록 하는 쉬프트 주파수 또는 쉬프트 주파수의 주기가 사용된다. 이때 Target_T에 해당하는 쉬프트 주파수 또는 쉬프트 주파수의 주기는 테스트 정상인 값 또는 최적의 값을 찾기 위해 증감될 수 있다. 또한 도 20의 예에 한정되지 아니하고 하나의 스캔 패턴에는 하나 이상의 쉬프트 주파수, 쉬프트 주파수의 주기 또는 타이밍 정보가 다양하게 사용될 수도 있다.In at least one embodiment of the present invention, the shift frequency or period information of the shift frequency of T1, T2, T3, T4, T5, T6, T7, T8, T9 or T10 is T1, T2, T3, T4, T5, T6 , T7, T8, T9 or T10, or a shift frequency or period of shift frequency that allows the scan section to be normally input to the scan path is used. In this case, the shift frequency corresponding to Target_T or the period of the shift frequency may be increased or decreased to find a test normal value or an optimal value. Also, it is not limited to the example of FIG. 20 , and one or more shift frequencies, periods or timing information of shift frequencies may be used in various ways in one scan pattern.

스캔 패턴 또는 스캔 경로의 길이보다 짧은 어떤 스캔 섹션에 대한 최적의 쉬프트 주파수를 찾기 위한 검색용 데이터(2010,2020,2030)의 일 예는 도 20과 같다. 검색용 데이터(2010,2020,2030)에 포함되는 스캔 패턴은 도 18과 같이 적어도 두 개 이상 또는 도 19와 같이 적어도 세 개 이상의 스캔 패턴으로 구성될 수도 있다. 검색용 데이터(2010,2020,2030)가 세 개의 스캔 패턴으로 구성된 경우에, 적어도 세 개 이상의 스캔 패턴에 대한 스캔 경로의 출력 패턴이 예측 패턴과 비교될 수 있다.An example of search data 2010, 2020, and 2030 for finding an optimal shift frequency for a scan section shorter than the scan pattern or scan path length is shown in FIG. 20 . The scan patterns included in the search data 2010, 2020, and 2030 may include at least two or more scan patterns as shown in FIG. 18 or at least three or more scan patterns as shown in FIG. 19 . When the search data 2010, 2020, and 2030 are composed of three scan patterns, output patterns of scan paths for at least three or more scan patterns may be compared with the prediction patterns.

도 18, 도 19 및 도 20을 참조하여 예를 든 바와 같이, 스캔 섹션의 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾기 위한 검색용 데이터에 포함된 스캔 패턴 또는 스캔 섹션은 스캔 경로에 반복 입력될 수 있다.As an example with reference to FIGS. 18, 19, and 20, the scan pattern or scan section included in the search data for finding the usable shift frequency or the optimal shift frequency of the scan section may be repeatedly input to the scan path. can

또한 도 18 내지 도 20의 예에 한정되지 않고, 검색용 데이터에 포함된 적어도 두 개 이상의 스캔 패턴 또는 스캔 섹션에 대한 타이밍 정보는 서로 다르거나 같을 수도 있다.Also, the example of FIGS. 18 to 20 is not limited, and timing information for at least two scan patterns or scan sections included in the search data may be different or the same.

스캔 섹션의 최적의 쉬프트 주파수를 찾기 위해 사용되는 검색용 데이터는 도 18, 도 19 또는 도 20의 각 경우와 같이 적어도 두 개 이상의 스캔 패턴을 포함하여 구성할 수 있다. 본 발명의 최소한 하나의 실시예에서, 검색용 데이터는 도 18, 도 19 또는 도 20의 타이밍 정보와 관련된 정보를 포함할 수 있다. 타이밍 정보는 테스트 장치에 의해 스캔 패턴 또는 스캔 섹션을 스캔 경로에 입력하는 타이밍을 제어하기 위해 사용될 수도 있다. 타이밍은 쉬프트 주파수 또는 쉬프트 주파수의 주기이다. 또한 일 예로 도 18 내지 도 20과 같이 서로 이웃하는 각각의 스캔 섹션에 대한 최적의 쉬프트 주파수를 찾기 위해 사용되는 각각의 검색용 데이터에는 서로 중첩되는 스캔 패턴이 포함될 수 있다.The search data used to find the optimal shift frequency of the scan section may include at least two or more scan patterns as in each case of FIGS. 18, 19, or 20 . In at least one embodiment of the present invention, the search data may include information related to the timing information of FIG. 18 , 19 , or 20 . The timing information may be used by the test device to control the timing of inputting the scan pattern or scan section into the scan path. The timing is the shift frequency or period of the shift frequency. Also, as an example, as shown in FIGS. 18 to 20 , scan patterns overlapping each other may be included in each of the search data used to find the optimal shift frequency for each neighboring scan section.

본 발명의 최소한 하나의 실시예에서, 수많은 각각의 스캔 섹션의 최적의 쉬프트 주파수를 찾기 위해 사용되는 검색용 데이터를 만드는 단계는 컴퓨터 프로그램 또는 소프트웨어를 사용하여 일괄적으로 처리하는 것이 효율적일 수 있다.In at least one embodiment of the present invention, it may be efficient to batch-process using a computer program or software to generate data for searching used to find the optimal shift frequency of each of the numerous scan sections.

예를 들어 도 18 내지 도 20과 같이 각각의 스캔 섹션의 최적의 쉬프트 주파수를 찾기 위해 사용되는 스캔 패턴, 스캔 섹션 및 쉬프트 주파수와 관련된 타이밍 정보 또는 데이터를 구성하거나 분할하는 작업이 컴퓨터 프로그램 또는 소프트웨어를 사용하여 일괄적으로 처리될 수 있다. 또한 상기 작업에서 최적화하고자 하는 스캔 섹션의 개수, 스캔 섹션의 비트 길이, 스캔 섹션의 위치 등의 정보가 사용될 수도 있다.For example, as shown in FIGS. 18 to 20, a scan pattern used to find an optimal shift frequency of each scan section, the scan section, and the operation of constructing or dividing timing information or data related to the scan section and shift frequency require a computer program or software. It can be processed in batches using In addition, information such as the number of scan sections to be optimized, the bit length of the scan section, and the location of the scan section may be used in the above operation.

또한 특정 스캔 섹션의 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾기 위해 사용되는 검색용 데이터에는 예측 패턴 또한 포함될 수 있다. 또한 특정 스캔 섹션의 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾기 위해 사용되는 검색용 데이터에는 스캔 테스트 시에 함께 사용되는 IC 칩의 주 입력(primary input) 테스트 데이터 또는 주 출력(primary output) 예측 데이터 또한 포함될 수 있다.In addition, a prediction pattern may also be included in the search data used to find an usable shift frequency or an optimal shift frequency of a specific scan section. In addition, the search data used to find the usable shift frequency or the optimal shift frequency of a specific scan section includes primary input test data or primary output prediction data of the IC chip used together in the scan test. It may also be included.

도 21 내지 28은 본 발명의 최소한 하나의 실시예에 따라 검색용 데이터를 생성하는 방법을 도시한 도면이다. 이 중에서 도 21 내지 도 23은 스캔 섹션이 스캔 패턴인 경우에 검색용 데이터를 생성하는 방법에 관한 것이고, 도 24 내지 도 26은 스캔 섹션이 스캔 패턴의 일부인 경우에 검색용 데이터를 생성하는 방법에 관한 것이다.21 to 28 are diagrams illustrating a method of generating search data according to at least one embodiment of the present invention. Among them, FIGS. 21 to 23 relate to a method of generating data for a search when the scan section is a scan pattern, and FIGS. 24 to 26 are a method of generating data for a search when the scan section is a part of a scan pattern. it's about

도 21은 복수의 스캔 패턴을 포함하는 테스트 데이터의 일 예를 도시한 개념도이다.21 is a conceptual diagram illustrating an example of test data including a plurality of scan patterns.

도 21을 참조하면, 테스트 데이터(2100) 내 모든 스캔 패턴에 단일의 쉬프트 주파수(예를 들어, T1=50ns(즉, 20MHz))가 부여되어 있다. 따라서 모든 스캔 패턴은 IC 칩의 스캔 경로에 동일한 쉬프트 주파수로 쉬프트인 및 쉬프트아웃 된다.Referring to FIG. 21 , a single shift frequency (eg, T1=50ns (ie, 20MHz)) is assigned to all scan patterns in the test data 2100 . Therefore, all scan patterns are shifted in and out with the same shift frequency in the scan path of the IC chip.

테스트 데이터(2100)는 입력 스캔 패턴과 예측 패턴을 한 쌍으로 포함하는 복수의 서브 테스트 데이터로 구성될 수 있다. 예를 들어, 51번째 입력 스캔 패턴은 50번째 입력 스캔 패턴의 예측 패턴과 쌍을 이룬다. 테스트 데이터는 STIL(Standard Test Interface Language) 또는 WGL(Wavefrom Generation Language) 등의 포맷으로 작성될 수 있다.The test data 2100 may include a plurality of sub test data including an input scan pattern and a prediction pattern as a pair. For example, the 51st input scan pattern is paired with the prediction pattern of the 50th input scan pattern. The test data may be written in a format such as STIL (Standard Test Interface Language) or WGL (Wavefrom Generation Language).

첫 번째 서브 테스트 데이터의 돈케어 예측 패턴은 제1 입력 스캔 패턴이 스캔 경로에 쉬프트인 될 때 쉬프트아웃 되는 출력 패턴을 예측 패턴과 비교하는 테스트를 수행하지 않겠다는 의미이다. 즉, 돈케어 예측 패턴은 IC 칩에서 쉬프트아웃 되는 출력 패턴을 사용하여 IC 칩의 정상 여부 테스트를 수행하지 않거나 테스트 결과를 무시하겠다는 의미이다. 돈케어 예측 패턴에는 돈케어 예측 패턴을 의미하는 특정한 문자가 사용되거나 돈케어 예측 패턴을 의미하는 표식을 예측 패턴에 할당하는 등 다양한 방법으로 나타낼 수 있다. The Doncare prediction pattern of the first sub-test data means that a test for comparing the output pattern shifted out when the first input scan pattern is shifted in the scan path with the prediction pattern is not performed. That is, the Doncare prediction pattern means that the IC chip is not tested or the test result is ignored by using the output pattern shifted out of the IC chip. The money care prediction pattern can be expressed in various ways, such as using specific characters meaning the money care prediction pattern or assigning a mark indicating the money care prediction pattern to the prediction pattern.

플립플롭들이 특정 값으로 셋(set) 또는 리셋(reset) 된 후에 최초의 입력 스캔 패턴이 입력될 때 쉬프트아웃 되는 출력 패턴은 돈케어 예측 패턴이 아닐 수 있다.The output pattern shifted out when the first input scan pattern is input after the flip-flops are set or reset to a specific value may not be the money care prediction pattern.

도 22는 스캔 섹션이 스캔 패턴인 경우에 스캔 섹션별 최적 쉬프트 주파수를 찾기 위한 검색용 데이터를 생성하는 방법의 일 예를 도시한 개념도이다.22 is a conceptual diagram illustrating an example of a method of generating search data for finding an optimal shift frequency for each scan section when a scan section is a scan pattern.

도 22를 참조하면, 도 21의 원래의 테스트 데이터(2100)에서 최적 쉬프트 주파수를 찾고자 하는 대상 스캔 섹션(2210)에 타이밍 정보 Target_T를 부여한다. 타이밍 정보 Target_T는 대상 스캔 섹션(2210)을 식별하거나 대상 스캔 섹션의 쉬프트 주파수를 제어하기 위하여 사용된다. 예를 들어, Target_T는 초기 50ns에서 테스트 장치에 의해 증감될 수 있다.Referring to FIG. 22 , timing information Target_T is given to a target scan section 2210 for which an optimal shift frequency is to be found in the original test data 2100 of FIG. 21 . The timing information Target_T is used to identify the target scan section 2210 or control a shift frequency of the target scan section. For example, Target_T may be increased or decreased by the test device in the initial 50ns.

대상 스캔 섹션(2210)이 입력 스캔 패턴 51인 경우, 입력 스캔 패턴 51의 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾기 위해, Target_T가 부여된 검색용 데이터(2200)를 칩에 반복하여 입력한다. 반복 입력시마다 Target_T에 해당하는 대상 스캔 섹션(2210)의 쉬프트 주파수의 주기를 변화시킨다. 이때 대상 스캔 섹션(2210)을 제외한 나머지 입력 스캔 패턴의 쉬프트 주파수의 주기는 스캔 패턴이 스캔 경로에 정상적으로 입력될 수 있는 쉬프트 주파수의 주기(예를 들어, T1=50ns)이다.When the target scan section 2210 is the input scan pattern 51, in order to find a usable shift frequency or an optimal shift frequency of the input scan pattern 51, the search data 2200 to which the Target_T is assigned is repeatedly input into the chip. For each repeated input, the period of the shift frequency of the target scan section 2210 corresponding to Target_T is changed. In this case, the period of the shift frequency of the input scan pattern other than the target scan section 2210 is the period of the shift frequency (eg, T1=50ns) at which the scan pattern can be normally input to the scan path.

예를 들어, 대상 스캔 섹션(2210)의 사용 가능한 최대 쉬프트 주파수를 찾을 때까지 Target_T에 해당하는 쉬프트 주파수의 주기를 감소시키면서 검색용 데이터(2200)를 칩에 반복하여 입력한다. 이때 입력 스캔 패턴 50에 대한 출력 패턴은 서브 테스트 데이터 51에 포함된 입력 스캔 패턴 50에 대한 예측 패턴과 비교된다. 또한 입력 스캔 패턴 51에 대한 출력 패턴은 서브 테스트 데이터 52에 포함된 입력 스캔 패턴 51에 대한 예측 패턴과 비교된다. 입력 스캔 패턴 50과 입력 스캔 패턴 51의 테스트 결과가 모두 정상일 때의 쉬프트 주파수는 대상 스캔 섹션(2210)의 사용 가능한 쉬프트 주파수이다.For example, the search data 2200 is repeatedly input to the chip while decreasing the period of the shift frequency corresponding to Target_T until the maximum usable shift frequency of the target scan section 2210 is found. In this case, the output pattern for the input scan pattern 50 is compared with the predicted pattern for the input scan pattern 50 included in the sub test data 51 . Also, the output pattern for the input scan pattern 51 is compared with the predicted pattern for the input scan pattern 51 included in the sub test data 52 . The shift frequency when the test results of the input scan pattern 50 and the input scan pattern 51 are both normal is the usable shift frequency of the target scan section 2210 .

대상 스캔 섹션(2210)의 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾기 위해 사용하는 검색용 데이터(2200)의 크기가 작을수록 최적 쉬프트 주파수를 찾는데 사용되는 시간을 줄일 수 있다.As the size of the search data 2200 used to find the usable shift frequency or the optimal shift frequency of the target scan section 2210 is smaller, the time used to find the optimal shift frequency can be reduced.

도 23은 최적 쉬프트 주파수를 찾는데 소요되는 시간을 줄이기 위한 검색용 데이터의 생성 방법의 일 예를 도시한 개념도이다.23 is a conceptual diagram illustrating an example of a method of generating search data for reducing a time required to find an optimal shift frequency.

도 23을 참조하면, 대상 스캔 섹션(2310)인 입력 스캔 패턴 51의 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾기 위한 검색용 데이터(2300)는, 대상 스캔 섹션(2310)과 그 앞뒤에 각각 위치한 입력 스캔 패턴 50,52를 포함한다. 그리고 대상 스캔 섹션(2310)의 앞에 위치한 서브 테스트 데이터 50에 포함된 예측 패턴은 돈케어 예측 패턴이다. 즉 입력 스캔 패턴 50이 스캔 경로에 쉬프트인 될 때 쉬프트아웃 되는 출력 패턴은 특정 예측 패턴과 비교되지 않는다.Referring to FIG. 23 , search data 2300 for finding an usable shift frequency or an optimal shift frequency of an input scan pattern 51 that is a target scan section 2310 includes the target scan section 2310 and the input positioned before and after the target scan section 2310 , respectively. scan patterns 50,52. In addition, the prediction pattern included in the sub test data 50 located in front of the target scan section 2310 is a money care prediction pattern. That is, when the input scan pattern 50 is shifted in the scan path, the shifted output pattern is not compared with a specific prediction pattern.

대상 스캔 섹션(2310)의 사용 가능한 최대 쉬프트 주파수를 찾을 때까지 Target_T에 해당하는 쉬프트 주파수의 주기를 변화시키면서, 검색용 데이터(2300)를 칩의 스캔 경로에 반복하여 입력한다. 입력 스캔 패턴 50을 사용한 테스트 결과는 서브 테스트 데이터 51에 포함된 입력 스캔 패턴 50에 대한 예측 패턴과 비교된다. 또한 입력 스캔 패턴 51을 사용한 테스트 결과는 서브 테스트 데이터 52에 포함된 입력 스캔 패턴 51에 대한 예측 패턴과 비교된다. 입력 스캔 패턴 50과 입력 스캔 패턴 51의 테스트 결과가 모두 정상일 때의 쉬프트 주파수는 대상 스캔 섹션(2310)의 사용 가능한 쉬프트 주파수이다.The search data 2300 is repeatedly input into the scan path of the chip while changing the period of the shift frequency corresponding to Target_T until the maximum usable shift frequency of the target scan section 2310 is found. The test result using the input scan pattern 50 is compared with the predicted pattern for the input scan pattern 50 included in the sub test data 51 . Also, the test result using the input scan pattern 51 is compared with the predicted pattern for the input scan pattern 51 included in the sub test data 52 . The shift frequency when the test results of the input scan pattern 50 and the input scan pattern 51 are both normal is the usable shift frequency of the target scan section 2310 .

검색용 데이터(2300)는 도 23의 예에 한정되는 것은 아니며, 대상 스캔 섹션의 앞 또는 뒤에 위치한 두 개 이상의 입력 스캔 패턴을 더 포함할 수 있다.The search data 2300 is not limited to the example of FIG. 23 , and may further include two or more input scan patterns positioned before or after the target scan section.

도 24는 복수의 스캔 패턴을 포함하는 테스트 데이터의 일 예를 도시한 개념도이고, 도 25 내지 도 28은 스캔 섹션이 스캔 패턴의 일부분인 경우에 최적 쉬프트 주파수를 찾기 위한 검색 데이터를 생성하는 방법의 일 예를 도시한 개념도이다.24 is a conceptual diagram illustrating an example of test data including a plurality of scan patterns, and FIGS. 25 to 28 are a method of generating search data for finding an optimal shift frequency when a scan section is a part of a scan pattern. It is a conceptual diagram illustrating an example.

도 24를 참조하면, 테스트 데이터(2400) 내 모든 스캔 패턴에 단일의 쉬프트 주파수(예를 들어, T1=50ns(즉, 20MHz))가 부여되어 있다. 따라서 모든 스캔 패턴은 IC 칩의 스캔 경로에 동일한 쉬프트 주파수로 쉬프트인 및 쉬프트아웃 된다.Referring to FIG. 24 , a single shift frequency (eg, T1 = 50 ns (ie, 20 MHz)) is assigned to all scan patterns in the test data 2400 . Therefore, all scan patterns are shifted in and out with the same shift frequency in the scan path of the IC chip.

테스트 데이터(2400)는 입력 스캔 패턴과 예측 패턴을 한 쌍으로 포함하는 복수의 서브 테스트 데이터로 구성될 수 있다. 예를 들어, 51번째 입력 스캔 패턴은 50번째 입력 스캔 패턴의 예측 패턴과 쌍을 이룬다.The test data 2400 may include a plurality of sub test data including an input scan pattern and a prediction pattern as a pair. For example, the 51st input scan pattern is paired with the prediction pattern of the 50th input scan pattern.

테스트 데이터(2400)는 복수의 스캔 섹션으로 분할될 수 있다. 본 실시 예는 설명의 편의를 위하여 입력 스캔 패턴 51을 세 개의 스캔 섹션(2410,2420,2430)으로 분할한 경우에 각 스캔 섹션의 최적 쉬프트 주파수를 찾기 위한 검색용 데이터를 생성하는 방법에 대해 도 25 내지 도 28에서 설명한다.The test data 2400 may be divided into a plurality of scan sections. For convenience of explanation, this embodiment shows a method of generating search data for finding the optimal shift frequency of each scan section when the input scan pattern 51 is divided into three scan sections 2410, 2420, and 2430 25 to 28 will be described.

도 25 내지 도 27을 참조하면, 검색용 데이터(2500,2600,2700)는 대상 스캔 섹션(2510,2610,2710)을 포함하는 입력 스캔 패턴 51과 그 앞뒤에 위치한 입력 스캔 패턴 50,52를 포함한다. 그리고 서브 테스트 데이터 50에 포함된 예측 패턴은 돈케어 예측 패턴이다. 즉, 입력 스캔 패턴 50이 스캔 경로에 쉬프트인 될 때 쉬프트아웃 되는 출력 패턴은 특정 예측 패턴과 비교되지 않는다. 타이밍 정보 Target_T는 대상 스캔 섹션(2510,2610,2710)을 식별하거나 대상 스캔 섹션의 쉬프트 주파수를 제어하기 위하여 사용된다. 예를 들어, Target_T는 초기 50n에서 테스트 장치에 의해 증감될 수 있다.25 to 27 , search data 2500 , 2600 , 2700 includes input scan patterns 51 including target scan sections 2510 , 2610 , and 2710 and input scan patterns 50 and 52 positioned before and after them. do. And the prediction pattern included in the sub test data 50 is the money care prediction pattern. That is, when the input scan pattern 50 is shifted in the scan path, the shifted output pattern is not compared with a specific prediction pattern. The timing information Target_T is used to identify the target scan sections 2510 , 2610 , and 2710 or to control a shift frequency of the target scan section. For example, Target_T may be increased or decreased by the test device in the initial 50n.

도 25를 참조하면, 검색용 데이터(2500)는 입력 스캔 패턴 51의 일부인 제1 대상 스캔 섹션(2510)에 Target_T의 타이밍 정보를 부여하고, 입력 스캔 패턴 51의 나머지에는 T1의 타이밍 정보를 그대로 유지한다. 제1 대상 스캔 섹션(2510)의 사용 가능한 최대 쉬프트 주파수를 찾을 때까지 Target_T에 해당하는 쉬프트 주파수의 주기를 변화시키면서 검색용 데이터(2500)를 칩의 스캔 경로에 반복하여 입력한다. 입력 스캔 패턴 50을 사용한 테스트 결과는 서브 테스트 데이터 51에 포함된 입력 스캔 패턴 50에 대한 예측 패턴과 비교된다. 또한 입력 스캔 패턴 51을 사용한 테스트 결과는 서브 테스트 데이터 52에 포함된 입력 스캔 패턴 51에 대한 예측 패턴과 비교된다. 입력 스캔 패턴 50과 입력 스캔 패턴 51을 사용한 테스트 결과가 모두 정상일 때의 쉬프트 주파수는 제1 대상 스캔 섹션의 사용 가능한 쉬프트 주파수이다.Referring to FIG. 25 , in the search data 2500 , timing information of Target_T is given to the first target scan section 2510 that is a part of the input scan pattern 51 , and the timing information of T1 is maintained in the remainder of the input scan pattern 51 . do. The search data 2500 is repeatedly input into the scan path of the chip while changing the period of the shift frequency corresponding to Target_T until the maximum usable shift frequency of the first target scan section 2510 is found. The test result using the input scan pattern 50 is compared with the predicted pattern for the input scan pattern 50 included in the sub test data 51 . Also, the test result using the input scan pattern 51 is compared with the predicted pattern for the input scan pattern 51 included in the sub test data 52 . The shift frequency when the test results using the input scan pattern 50 and the input scan pattern 51 are both normal is the usable shift frequency of the first target scan section.

제2 대상 스캔 섹션(2610)이나 제3 대상 스캔 섹션(2710)의 최적 쉬프트 주파수를 찾을 때는 각각 도 26 및 도 27의 검색용 데이터(2600,2700)를 도 25와 동일한 방법으로 칩에 반복 입력하여 스캔 테스트한다.When finding the optimal shift frequency of the second target scan section 2610 or the third target scan section 2710, the search data 2600 and 2700 of FIGS. 26 and 27, respectively, are repeatedly input to the chip in the same manner as in FIG. to scan test.

하나의 스캔 패턴이 복수 개의 스캔 섹션으로 분할된 경우에 각 스캔 섹션의 최적 쉬프트 주파수를 찾기 위하여 도 25 내지 도 27과 같이 각 스캔 섹션별 검색용 데이터(2500,2600,2700)를 만들지 않고, 도 28과 같이 하나의 검색용 데이터(2800)를 만들 수 있다.In order to find the optimal shift frequency of each scan section when one scan pattern is divided into a plurality of scan sections, data for search for each scan section (2500, 2600, 2700) is not created as shown in Figs. 25 to 27, in Fig. 28, one search data 2800 may be created.

도 28을 참조하면, 검색용 데이터(2800)는 제1 내지 제3 대상 스캔 섹션(2810,2820,2830)에 각각의 타이밍 정보 Target_T1, Target_T2, Target_T3를 포함한다. 다시 말해, 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 동시에 찾고자 하는 대상 스캔 섹션의 개수만큼 타이밍 식별자를 만들고, 각 대상 스캔 섹션(2810,2820,2830)에 할당한다. 예를 들어, 제1 대상 스캔 섹션(2810)의 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾을 때에는 Target_T1에 해당하는 쉬프트 주파수를 증감할 수 있다.Referring to FIG. 28 , the search data 2800 includes timing information Target_T1 , Target_T2 , and Target_T3 respectively in the first to third target scan sections 2810 , 2820 , and 2830 . In other words, timing identifiers as many as the number of target scan sections to be simultaneously searched for usable shift frequencies or optimal shift frequencies are created and allocated to each target scan section 2810 , 2820 , and 2830 . For example, when the usable shift frequency or the optimal shift frequency of the first target scan section 2810 is found, the shift frequency corresponding to Target_T1 may be increased or decreased.

도 28과 같이 복수의 대상 스캔 섹션을 위한 하나의 검색용 데이터(2800)를 생성하면, 각 대상 스캔 섹션별 검색용 데이터를 생성하는 도 25 내지 도 27과 비교하여 검색용 데이터(2800) 저장 매체의 저장 용량을 절약할 수 있다. 예를 들어, 도 24의 3개의 대상 스캔 섹션(2410,2420,2430)의 최적 쉬프트 주파수를 찾기 위해 도 25 내지 도 27의 실시 예와 같이 하면 각 대상 스캔 섹션별 검색용 데이터가 필요하므로 3개의 검색용 데이터가 필요하다. 그러나 도 28의 실시 예와 같이 하면 한 개의 검색용 데이터(2800)를 사용하면 되어 다음과 같은 효과와 장점을 가진다.When one search data 2800 for a plurality of target scan sections is generated as shown in FIG. 28, data for search 2800 is stored in comparison with FIGS. 25 to 27 that generate search data for each target scan section. storage capacity can be saved. For example, in order to find the optimal shift frequencies of the three target scan sections 2410, 2420, and 2430 of FIG. 24, as in the embodiments of FIGS. 25 to 27, data for searching for each target scan section is required, so three We need data for retrieval. However, according to the embodiment of FIG. 28 , only one search data 2800 is used, and thus, the following effects and advantages are obtained.

(1) 저장 매체의 저장 용량을 절약할 수 있다.(1) The storage capacity of the storage medium can be saved.

(2) 각 검색용 데이터(2800)를 개별 파일로 만들 경우, 모든 검색용 데이터 파일들을 테스트 장치에서 사용하기 위한 파일로 변환하기 위한 컴파일 시간을 줄일 수 있다.(2) When each data for search 2800 is made into individual files, it is possible to reduce compilation time for converting all data files for search into files for use in a test device.

(3) 각 검색용 데이터(2800)를 개별 파일로 만들 경우, 검색용 데이터 파일의 개수가 줄어들게 되어 파일 관리가 쉽고 편리해 질 수 있다.(3) When each data 2800 for search is made into an individual file, the number of data files for search is reduced, so that file management can be made easy and convenient.

다만, 테스트 장치의 사용 가능한 타이밍 식별자의 개수 또는 주파수 개수의 제약이 있는 경우 도 28과 같이 복수의 대상 스캔 섹션을 위한 하나의 검색용 데이터(2800)를 사용할 수 없는 경우가 생길 수 있다. However, when there is a restriction on the number of available timing identifiers or the number of frequencies of the test device, there may be a case where one search data 2800 for a plurality of target scan sections cannot be used as shown in FIG. 28 .

예를 들어, 쉬프트 주파수 검색 대상 스캔 섹션들에 대해 테스트 장치의 사용 가능한 타이밍 식별자의 최대 개수가 3개로 제한되어 있고, 스캔 패턴이 4개의 대상 스캔 섹션으로 분할된다면, 도 25 내지 도 27과 같이 각 대상 스캔 섹션별로 검색용 데이터(2500,2600,2700)를 만들어 최적 쉬프트 주파수를 찾을 수 있다.For example, if the maximum number of usable timing identifiers of the test device for shift frequency search target scan sections is limited to three, and the scan pattern is divided into four target scan sections, each The optimal shift frequency can be found by creating search data (2500, 2600, 2700) for each target scan section.

검색용 데이터(2500,2600,2700,2800)는 도 25 내지 도 28에 한정되는 것은 아니며, 대상 스캔 섹션을 포함한 입력 스캔 패턴의 앞 또는 뒤에 위치한 두 개 이상의 입력 스캔 패턴을 더 포함할 수 있다.The search data 2500 , 2600 , 2700 , 2800 are not limited to FIGS. 25 to 28 , and may further include two or more input scan patterns positioned before or after the input scan pattern including the target scan section.

스캔 패턴 또는 스캔 섹션의 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾기 위해 사용되는 검색용 데이터의 크기는 가능하면 작을수록 쉬프트 주파수를 찾는데 소요되는 시간을 줄일 수 있다. 예를 들어, 스캔 패턴 또는 스캔 섹션의 개수를 적게 사용할수록 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾기 위해 소요되는 시간이 줄어들 수 있다.As the size of the search data used to find the usable shift frequency or optimal shift frequency of the scan pattern or scan section is as small as possible, the time required to find the shift frequency can be reduced. For example, the less the number of scan patterns or scan sections is used, the less time it takes to find a usable shift frequency or an optimal shift frequency.

테스트 데이터의 모든 스캔 패턴에 대해 최적의 쉬프트 주파수를 찾기 위해 소요되는 전체 쉬프트 클락 사이클의 개수를 계산하기 위해 SN, BL, FN을 다음과 같이 정의한다.To calculate the total number of shift clock cycles required to find the optimal shift frequency for all scan patterns of the test data, SN, BL, and FN are defined as follows.

SN: 테스트 데이터를 구성하는 스캔 패턴의 개수SN: the number of scan patterns constituting the test data

BL: 한 개의 스캔 패턴의 비트 길이이며, 1비트를 쉬프트하는데 한 개의 쉬프트 클락 사이클이 사용된다.BL: The bit length of one scan pattern, and one shift clock cycle is used to shift one bit.

FN: 스캔 패턴 당 최적 쉬프트 주파수를 찾기 위한 쉬프트 주파수의 증가 횟수이며, 기 설정된 낮은 쉬프트 주파수부터 기 설정된 높은 쉬프트 주파수까지 일정한 간격으로 순차적으로 증가된다.FN: The number of times the shift frequency is increased to find the optimal shift frequency per scan pattern, and is sequentially increased at regular intervals from a preset low shift frequency to a preset high shift frequency.

본 발명의 최소한 하나의 실시예에서, SN = 5,000, BL = 1,000 이고 FN = 20 이라고 가정한다. 그리고 다음 방법 1 및 방법 2에 대해 테스트 데이터의 모든 스캔 패턴에 대해 최적의 쉬프트 주파수를 찾기 위해 소요되는 전체 쉬프트 클락 사이클의 개수를 산출하면 다음과 같다.In at least one embodiment of the present invention, it is assumed that SN = 5,000, BL = 1,000 and FN = 20. And, for the following methods 1 and 2, the total number of shift clock cycles required to find the optimal shift frequency for all scan patterns of the test data is calculated as follows.

방법 1Method 1

도 22와 같이 전체 입력 스캔 패턴을 포함하는 검색용 데이터를 이용하여, 각 스캔 패턴의 최적 쉬프트 주파수를 찾을 때 소요되는 전체 쉬프트 클락 사이클의 개수는 다음과 같다:As shown in FIG. 22 , the number of total shift clock cycles required to find the optimal shift frequency of each scan pattern using search data including the entire input scan pattern is as follows:

전체 소요시간 = SN x SN x BL x FN = 500,000,000,000 shift clock cyclesTotal Duration = SN x SN x BL x FN = 500,000,000,000 shift clock cycles

방법 2Method 2

도 23와 같이 세 개의 입력 스캔 패턴을 포함하는 검색용 데이터를 이용하여, 각 입력 스캔 패턴의 최적 쉬프트 주파수를 찾을 때 소요되는 전체 쉬프트 클락 사이클의 개수는 다음과 같다(이때, 첫 번째 스캔 패턴 패턴의 최적 쉬프트 주파수를 찾을 때에는 첫 번째 및 두 번째의 두 개의 입력 스캔 패턴을 포함한 검색용 데이터가 사용된다. 마지막 입력 스캔 패턴의 최적 쉬프트 주파수를 찾을 때에는 마지막 입력 스캔 패턴과 그 앞의 입력 스캔 패턴의 두 개의 입력 스캔 패턴을 포함한 검색용 데이터가 사용된다):As shown in FIG. 23, the number of total shift clock cycles required to find the optimal shift frequency of each input scan pattern using search data including three input scan patterns is as follows (in this case, the first scan pattern pattern When finding the optimal shift frequency of , the search data including the first and second two input scan patterns is used, and when finding the optimal shift frequency of the last input scan pattern, the last input scan pattern and the previous input scan pattern Data for retrieval containing two input scan patterns is used):

전체 소요시간 = (3 x (SN-2) x BL x FN) + (2 x 2 x BL x FN) = 299,960,000 shift clock cyclesTotal time required = (3 x (SN-2) x BL x FN) + (2 x 2 x BL x FN) = 299,960,000 shift clock cycles

위의 수식에서 (3 x (SN-2) x BL x FN)은 스캔 패턴 집합의 두 개의 스캔 패턴(즉, 칩에 최초로 입력되는 스캔 패턴과 마지막으로 입력되는 스캔 패턴)을 제외한 각각의 모든 스캔 패턴의 최적의 쉬프트 주파수를 찾기 위해 사용되는 쉬프트 클락 사이클의 총 개수이다.In the above equation, (3 x (SN-2) x BL x FN) is each and every scan except the two scan patterns of the set of scan patterns (that is, the first input to the chip and the last one). Total number of shift clock cycles used to find the optimal shift frequency of the pattern.

위의 수식에서 (2 x 2 x BL x FN)은 칩에 최초로 입력되는 스캔 패턴 패턴과 마지막으로 입력되는 스캔 패턴의 최적의 쉬프트 주파수를 찾기 위해 사용되는 쉬프트 클락 사이클의 총 개수이다In the above equation, (2 x 2 x BL x FN) is the total number of shift clock cycles used to find the optimal shift frequency of the scan pattern input first and the last scan pattern input to the chip.

방법 2를 사용하면 방법 1에서 사용된 전체 쉬프트 클락 사이클 개수의 99.94%만큼이 감소된 것을 알 수 있다.It can be seen that by using method 2, 99.94% of the total number of shift clock cycles used in method 1 is reduced.

따라서, 스캔 패턴 또는 스캔 섹션의 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾기 위해 사용되는 검색용 데이터는 가능하면 적은 개수의 스캔 패턴 또는 스캔 섹션을 포함하도록 한다.Accordingly, the search data used to find the usable shift frequency or optimal shift frequency of the scan pattern or scan section includes as few scan patterns or scan sections as possible.

본 발명의 최소한 하나의 실시예에서, 도 18을 참조하여 예를 든 바와 같이, 검색용 데이터는 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾고자 하는 스캔 섹션과 그 앞 또는 뒤에 위치한 스캔 패턴을 포함하여 최소한 두개 이상의 스캔 패턴으로 구성될 수 있다.In at least one embodiment of the present invention, as exemplified with reference to FIG. 18 , the search data includes at least two scan sections for which a shift frequency or optimal shift frequency is to be found and a scan pattern located before or after it. It may be composed of the above scan patterns.

또한 도 19 내지 도 28의 각 예와 같이, 검색용 데이터는 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾고자 하는 스캔 섹션과 그 앞 및 뒤에 위치한 스캔 패턴들을 포함하여 최소한 세 개 이상의 스캔 패턴으로 구성될 수 있다.Also, as in each example of FIGS. 19 to 28 , the search data may consist of at least three scan patterns including a scan section to find a shift frequency or an optimal shift frequency and scan patterns located before and after it. .

본 발명의 최소한 하나의 실시예에서, 스캔 섹션의 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾기 위해 사용되는 검색용 데이터는 데이터 코드나 파일 등의 형태로 컴퓨터로 읽을 수 있는 기록 매체에 저장되어 있을 수 있다.In at least one embodiment of the present invention, the search data used to find the usable shift frequency or the optimal shift frequency of the scan section may be stored in a computer-readable recording medium in the form of a data code or file. can

또한 스캔 섹션의 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾기 위해 사용되는 검색용 데이터를 만드는 단계는 실시 예에 따라 동일한 장치 또는 서로 다른 장치에서 각각 수행될 수 있으며, 테스트 장치 또는 컴퓨터 등의 장치에서 수행될 수도 있다.In addition, the step of generating data for searching used to find an available shift frequency or an optimal shift frequency of the scan section may be performed in the same device or in different devices, respectively, in a test device or a device such as a computer. may be performed.

도 29는 본 발명에 따른 스캔 테스트 시간 최소화 방법의 일 예를 도시한 흐름도이다.29 is a flowchart illustrating an example of a method for minimizing scan test time according to the present invention.

도 29를 참조하면, 스캔 테스트 시간 최소화 장치는 비트 패턴 또는 하나 이상의 스캔 패턴을 적어도 둘 이상의 스캔 섹션으로 분할한다(S2900). 테스트 데이터의 비트 패턴 또는 스캔 패턴 집합을 스캔 섹션으로 분할하는 다양한 방법이 존재하며, 일 예가 도 5 내지 도 10에 도시되어 있다.Referring to FIG. 29 , the scan test time minimization apparatus divides a bit pattern or one or more scan patterns into at least two scan sections ( S2900 ). Various methods exist for dividing a bit pattern or a set of scan patterns of test data into scan sections, an example of which is shown in FIGS. 5 to 10 .

분할하는 단계에 있어서, IC 칩을 테스트하기 위한 수천 또는 수만 개 이상의 스캔 패턴들을 분할한 스캔 섹션이나 섹션 그룹에 대한 검색용 데이터 또는 이들 데이터를 포함하는 파일을 만드는 작업은 컴퓨터 프로그램 또는 소프트웨어를 사용하여 일괄적으로 처리하는 것이 효율적일 수 있다.In the division step, the operation of creating a file containing the search data for a scan section or section group that is divided into thousands or tens of thousands of scan patterns for testing the IC chip or a file containing these data is performed using a computer program or software. Batch processing can be efficient.

일 예로, 컴퓨터 프로그램 또는 소프트웨어는 쉬프트 주파수를 최적화하고자 하는 스캔 섹션의 개수, 스캔 섹션의 비트 길이, 스캔 섹션의 위치 등의 스캔 섹션 분할과 관련된 정보를 사용하여 테스트 데이터를 스캔 섹션이나 스캔 섹션 그룹으로 분할하고, 분할된 스캔 섹션이나 스캔 섹션 그룹에 대한 검색용 데이터 또는 검색용 데이터를 포함하는 파일을 일괄적으로 만들 수 있다.For example, the computer program or software may use information related to the division of scan sections, such as the number of scan sections for which the shift frequency is to be optimized, the bit length of the scan sections, and the location of the scan sections, to convert the test data into scan sections or groups of scan sections. By dividing, you can batch create files containing data for searching or data for searching for a divided scan section or group of scan sections.

스캔 섹션 분할과 관련된 정보는 키보드, 마우스, 음성인식 장치와 같은 사용자 인터페이스 장치나 스캔 섹션 분할과 관련된 정보를 포함하는 정보 데이터 코드나 파일, 또는 데이터 통신 네트워크 등을 통해 얻을 수 있고 컴퓨터 프로그램 또는 소프트웨어에 의해 사용될 수 있다.Information pertaining to segmentation of scan sections may be obtained through user interface devices such as keyboards, mice, speech recognition devices, or information data codes or files containing information pertaining to segmentation of scan sections, or data communication networks, etc. can be used by

스캔 패턴의 분할의 일 예로 도 5 내지 도 10에 도시된 방법을 사용할 수 있다. 스캔 테스트 시간 최소화 장치는 복수 개의 쉬프트 주파수를 각 스캔 섹션에 할당한다(S2910). 여기서 각 스캔 섹션에 할당된 쉬프트 주파수는 스캔 경로의 출력 패턴이 예측 패턴과 상이해지기 전의 쉬프트 주파수 이하이다. 스캔 패턴의 스캔 섹션으로의 분할(S2900)과 쉬프트 주파수의 스캔 섹션 할당(S2910)은 실시 예에 따라 동일한 장치 또는 서로 다른 장치에서 각각 수행될 수 있으며, 테스트 장치 또는 컴퓨터 등의 장치에서 수행될 수도 있다.As an example of division of the scan pattern, the method shown in FIGS. 5 to 10 may be used. The scan test time minimization apparatus allocates a plurality of shift frequencies to each scan section (S2910). Here, the shift frequency assigned to each scan section is equal to or less than the shift frequency before the output pattern of the scan path is different from the predicted pattern. The division of the scan pattern into scan sections (S2900) and the assignment of the scan section of the shift frequency (S2910) may be performed in the same device or in different devices, respectively, or may be performed in a device such as a test device or a computer. there is.

즉, 스캔 테스트 시간 최소화 장치는 쉬프트 주파수의 증가에 따라 출력 패턴과 예측 패턴이 달라지기 바로 이전의 쉬프트 주파수를 해당 스캔 섹션에 할당 가능한 최대 쉬프트 주파수로서 찾을 수 있다. 다른 예로, 스캔 테스트 시간 최소화 장치는 쉬프트 주파수의 감소에 따라 출력 패턴과 예측 패턴이 상이하다가 동일해질 때의 쉬프트 주파수를 해당 스캔 섹션에 할당 가능한 최대 쉬프트 주파수로서 찾을 수 있다. 예를 들어, 스캔 섹션의 쉬프트 주파수를 증감하면서, 스캔 테스트 정상과 실패의 경계에 근접하면서 테스트 정상인 쉬프트 주파수를 찾고, 테스트 정상인 쉬프트 주파수를 해당 스캔 섹션에 할당 가능한 최대 쉬프트 주파수로서 찾을 수 있다.That is, the scan test time minimization apparatus may find the shift frequency just before the output pattern and the prediction pattern change as the shift frequency increases as the maximum shift frequency allocable to the scan section. As another example, the scan test time minimization apparatus may find a shift frequency when the output pattern and the predicted pattern are different from each other and become the same as the shift frequency is reduced as the maximum shift frequency allocable to the corresponding scan section. For example, while increasing or decreasing the shift frequency of the scan section, it is possible to find a test normal shift frequency while approaching the boundary between scan test normal and fail, and find a test normal shift frequency as the maximum shift frequency allocable to the scan section.

도 30은 본 발명의 최소한 하나의 실시예에 따른 스캔 테스트 시간 최소화를 위하여 각 스캔 섹션별 최적의 쉬프트 주파수를 결정하는 방법의 다른 일 예를 도시한 흐름도이다.30 is a flowchart illustrating another example of a method of determining an optimal shift frequency for each scan section in order to minimize a scan test time according to at least one embodiment of the present invention.

도 30을 참조하면, 스캔 테스트 시간 최소화 장치는 하나 이상의 스캔 패턴을 적어도 둘 이상의 스캔 섹션으로 분할한다(S3000).Referring to FIG. 30 , the scan test time minimization apparatus divides one or more scan patterns into at least two or more scan sections ( S3000 ).

스캔 테스트 시간 최소화 장치는 스캔 섹션을 스캔 경로에 쉬프트인하는 주파수를 증감하면서, 출력 패턴이 예측 패턴이 동일하다가 상이해 지거나 상이하다가 동일해질 때의 쉬프트 주파수를 찾는다(S3010). 일 예로, 최적의 쉬프트 주파수를 찾기 위하여 사용되는 칩은 미리 양품으로 검사된 칩을 사용할 수 있다. 예를 들어, 명목 쉬프트 주파수를 사용하여 스캔 테스트 한 결과가 테스트 정상으로 나타나는 양품인 칩을 이용하여 본 실시 예에 따라 최적의 쉬프트 주파수를 검색한다. 이하의 다른 실시 예에서도 동일할 수 있다.The scan test time minimization apparatus increases or decreases the frequency at which the scan section is shifted in the scan path, and finds a shift frequency when the output pattern is different from the same predicted pattern or the same when the predicted pattern is different (S3010). For example, as a chip used to find an optimal shift frequency, a chip that has been tested as a good product in advance may be used. For example, an optimal shift frequency is searched for according to the present embodiment by using a non-defective chip in which a scan test result using a nominal shift frequency shows that the test is normal. It may be the same in other embodiments below.

그리고 스캔 테스트 시간 최소화 장치는 출력 패턴과 예측 패턴이 동일하다가 상이해지는 시점 이전의 테스트 정상인 쉬프트 주파수를 해당 스캔 섹션의 쉬프트 주파수로 결정한다(S3020). 이전의 쉬프트 주파수라고 함은 상이해지는 시점보다 작은 쉬프트 주파수도 포함한다.In addition, the scan test time minimization apparatus determines the shift frequency that is normal for the test before the time when the output pattern and the predicted pattern are the same but different from each other as the shift frequency of the corresponding scan section (S3020). The previous shift frequency also includes a shift frequency smaller than the time point at which it is different.

예를 들어, 제1 쉬프트 주파수에서 출력 패턴과 예측 패턴이 동일하였으나, 제1 쉬프트 주파수를 일정 크기 증가한 제2 쉬프트 주파수에서 스캔 경로의 출력 패턴과 예측 패턴이 달라지는 경우, 스캔 테스트 시간 최소화 장치는 제2 쉬프트 주파수 보다 작고 테스트 정상인 쉬프트 주파수를 스캔 섹션의 쉬프트 주파수로 결정하거나 결정할 수 있는 정보를 제공할 수 있다.For example, if the output pattern and the prediction pattern are the same at the first shift frequency, but the output pattern and the prediction pattern of the scan path are different at the second shift frequency, the first shift frequency is increased by a certain amount, the scan test time minimizing device is 2 It is possible to determine or provide information capable of determining a shift frequency that is smaller than the shift frequency and that is normal for testing as the shift frequency of the scan section.

최적의 쉬프트 주파수를 찾기 위하여 증감하는 크기는 테스트 장치에 미리 설정되어 있거나, 사용자에 의해 증감 크기가 변경 또는 설정될 수도 있다.In order to find the optimal shift frequency, the increase/decrease size may be preset in the test device, or the increase/decrease size may be changed or set by the user.

본 실시 예는 설명의 편의를 위하여 쉬프트인하는 쉬프트 주파수의 증감을 통해 스캔 섹션별 최적의 쉬프트 주파수를 찾는 방법을 기술하고 있으나, 실시 예에 따라 쉬프트아웃하는 주파수를 증감시키면서 최적 쉬프트 주파수를 찾을 수 있다. 이하의 실시 예에서도 마찬가지이다.Although this embodiment describes a method of finding the optimal shift frequency for each scan section through increase or decrease of the shift frequency to be shifted in for convenience of explanation, it is possible to find the optimal shift frequency while increasing or decreasing the shifting frequency according to the embodiment there is. The same applies to the following examples.

도 30에서 설명한 각 단계는 실시 예에 따라 스캔 테스트 시간 최소화 장치에서 모두 실시되는 것이 아니라, 최소한 그 일부가 컴퓨터 등의 다른 장치에서 실시될 수도 있다.Each of the steps described with reference to FIG. 30 is not all performed in the scan test time minimization apparatus according to an embodiment, but at least a part thereof may be performed in other apparatuses such as a computer.

도 31은 본 발명의 최소한 하나의 실시예에 따른 스캔 테스트 시간 최소화 방법의 보다 구체적인 과정을 도시한 흐름도이다.31 is a flowchart illustrating a more detailed process of a method for minimizing a scan test time according to at least one embodiment of the present invention.

도 31을 참조하면, 스캔 테스트 시간 최소화 장치는 하나 이상의 스캔 패턴을 복수의 스캔 섹션으로 분할한다(S3100).Referring to FIG. 31 , the scan test time minimization apparatus divides one or more scan patterns into a plurality of scan sections ( S3100 ).

스캔 테스트 시간 최소화 장치는 스캔 섹션들 중 본 실시 예에 따라 쉬프트 주파수가 결정되지 아니한 스캔 섹션을 하나 선택한다(S3110). 예를 들어, 스캔 테스트를 위한 스캔 패턴들 사이에 일정한 순서가 정해져 있는 경우라면, 스캔 테스트 시간 최소화 장치는 첫 번째 스캔 섹션부터 순차적으로 선택할 수 있다. 또는 사용자가 쉬프트 주파수를 최적화하고자 하는 스캔 섹션을 선택하고, 스캔 테스트 시간 최소화 장치가 선택된 스캔 섹션에 대해 쉬프트 주파수 최적화를 수행할 수 있다. 이 밖에도 쉬프트 주파수를 최적화하고자 하는 스캔 섹션을 선택하는 다양한 방법이 있을 수 있다.The scan test time minimization apparatus selects one scan section in which the shift frequency is not determined according to the present embodiment among the scan sections (S3110). For example, if a predetermined order is determined between scan patterns for a scan test, the scan test time minimization apparatus may sequentially select the scan test section from the first scan section. Alternatively, the user may select a scan section for which the shift frequency is to be optimized, and the scan test time minimization apparatus may perform shift frequency optimization on the selected scan section. In addition, there may be various methods of selecting a scan section for which the shift frequency is to be optimized.

스캔 테스트 시간 최소화 장치는 쉬프트 주파수를 증가한다(S3120). 예를 들어, 스캔 테스트 시간 최소화 장치에 초기 쉬프트 주파수는 명목 쉬프트 주파수 등으로 다양하게 설정될 수 있다.The scan test time minimization apparatus increases the shift frequency (S3120). For example, the initial shift frequency in the scan test time minimization apparatus may be variously set to a nominal shift frequency or the like.

스캔 테스트 시간 최소화 장치는 스캔 테스트 결과가 정상으로 나타나는 초기 쉬프트 주파수부터 시작하여 증감된 쉬프트 주파수에서 스캔 섹션을 스캔 경로에 정상적으로 쉬프트인이 가능한지를 결정한다(S3130). 선택된 쉬프트 주파수 결정 대상 스캔 섹션이 현재의 쉬프트 주파수로 정상적으로 쉬프트인이 가능한지를 결정하는 구체적인 방법의 일 예는 도 32에서 설명한다.The scan test time minimization apparatus determines whether it is possible to normally shift the scan section into the scan path at the increased or decreased shift frequency starting from the initial shift frequency at which the scan test result appears normally (S3130). An example of a specific method of determining whether the selected shift frequency determination target scan section can be normally shifted in to the current shift frequency will be described with reference to FIG. 32 .

스캔 섹션의 정상적인 쉬프트인이 가능하면(S3140), 스캔 테스트 시간 최소화 장치는 다시 쉬프트 주파수를 증가하고(S3120) 정상적인 쉬프트인이 가능한지 결정하는 과정을 반복한다(S3130).If a normal shift-in of the scan section is possible (S3140), the scan test time minimization apparatus increases the shift frequency again (S3120) and repeats the process of determining whether a normal shift-in is possible (S3130).

쉬프트 주파수의 증가에 따라 스캔 섹션의 정상적인 쉬프트인이 안되는 경우가 발생하면(S3140), 스캔 테스트 시간 최소화 장치는 정상적인 쉬프트인이 된 최대 쉬프트 주파수 이하를 해당 스캔 섹션의 쉬프트 주파수로 결정하거나 결정할 수 있는 정보를 컴퓨터로 읽을 수 있는 기록매체에 저장할 수 있다(S3150). 그리고 모든 스캔 섹션에 대한 쉬프트 주파수가 결정되거나 쉬프트 주파수를 결정할 수 있는 정보를 컴퓨터로 읽을 수 있는 기록매체에 저장할 때까지 위의 과정을 반복 수행한다(S3160). 여기서, 기록매체에 저장되는 정보의 일 예로, 테스트 대상 IC 칩에 대해 각 쉬프트 주파수에 대한 쉬프트 또는 테스트 정상 또는 실패에 관한 정보일 수 있다.When the normal shift-in of the scan section occurs due to the increase of the shift frequency (S3140), the scan test time minimization device determines or can determine the maximum shift frequency or less with the normal shift-in as the shift frequency of the scan section. Information may be stored in a computer-readable recording medium (S3150). The above process is repeated until shift frequencies for all scan sections are determined or information for determining shift frequencies is stored in a computer-readable recording medium (S3160). Here, as an example of the information stored in the recording medium, it may be information about shift for each shift frequency or test normality or failure with respect to the IC chip under test.

스캔 테스트 시간 최소화 장치는 필요에 따라 스캔 섹션을 섹션 그룹으로 묶을 수 있다(S3170). 예를 들어, 실제 스캔 테스트를 수행하는 테스트 장치가 스캔 테스트 동안 지원 가능한 최대 쉬프트 주파수 변경 횟수, 쉬프트 주파수의 최대 개수, 쉬프트 주파수 변경에 필요한 지연 시간 등의 제약 사항을 가진 경우에, 스캔 테스트 시간 최소화 장치는 스캔 섹션의 개수가 위 제약 사항을 만족할 수 있도록 스캔 섹션들을 그룹으로 묶을 수 있으며, 이때 전체 스캔 테스트 시간이 최소화될 수 있도록 고려할 수 있다. 이때 하나의 스캔 섹션 그룹에 포함되는 적어도 둘 이상의 스캔 섹션의 각 최적의 쉬프트 주파수들 중 가장 낮은 쉬프트 주파수 이하를 해당 섹션 그룹의 쉬프트 주파수로 결정할 수 있다. 섹션 그룹으로 묶는 과정(S3170)는 실시 예에 따라 생략될 수 있다.The scan test time minimization apparatus may group scan sections into section groups as needed (S3170). For example, if the test device performing the actual scan test has constraints such as the maximum number of shift frequency changes that can be supported during the scan test, the maximum number of shift frequencies, and the delay time required to change the shift frequency, minimize the scan test time The device may group scan sections so that the number of scan sections satisfies the above constraint, and in this case, it may be considered so that the total scan test time can be minimized. In this case, the lowest shift frequency or less among the optimal shift frequencies of at least two scan sections included in one scan section group may be determined as the shift frequency of the corresponding section group. The process of grouping the sections ( S3170 ) may be omitted in some embodiments.

예를 들어, 테스트 장치에서 지원 가능한 최대 쉬프트 주파수 변경 횟수가 5인 경우, 스캔 테스트 시간 최소화 장치는 현재 스캔 섹션의 수가 5를 초과하는 경우 스캔 섹션들을 5개 이하의 섹션 그룹으로 나누고, 각 섹션 그룹 내 섹션의 최적의 쉬프트 주파수 중 가장 낮은 최적의 쉬프트 주파수 이하를 해당 섹션 그룹의 쉬프트 주파수로 결정할 수 있다. 섹션 그룹으로 그룹화하는 방법은 같거나 비슷한 최적의 쉬프트 주파수를 갖는 스캔 섹션을 그룹화하는 방법 등 전체 스캔 테스트 시간이 최소화될 수 있는 다양한 방법이 존재할 수 있다.For example, if the maximum number of shift frequency changes supported by the test device is 5, the scan test time minimization device divides the scan sections into 5 or less section groups if the number of current scan sections exceeds 5, and each section group Among the optimal shift frequencies of my section, the lowest optimal shift frequency or less may be determined as the shift frequency of the corresponding section group. As a method of grouping into section groups, there may be various methods in which the total scan test time can be minimized, such as a method of grouping scan sections having the same or similar optimal shift frequency.

지금까지 살펴본 실시 예들은 주로 쉬프트 주파수의 증가만을 고려하여 최적의 쉬프트 주파수를 찾는 과정이었다. 다른 예로, 쉬프트 주파수를 감소하면서 해당 스캔 섹션의 최적 쉬프트 주파수를 찾을 수 있다.The embodiments discussed so far have mainly been a process of finding an optimal shift frequency by considering only an increase in the shift frequency. As another example, the optimal shift frequency of the corresponding scan section may be found while the shift frequency is decreased.

예를 들어, 스캔 테스트 시간 최소화 장치는 테스트 실패인 초기 쉬프트 주파수부터 시작하여 감소된 쉬프트 주파수에서 스캔 섹션을 스캔 경로에 정상적으로 쉬프트인이 가능한지 결정할 수 있다. 쉬프트 주파수의 감소에 따라 스캔 섹션의 정상적인 쉬프트인이 되는 경우가 발생하면, 스캔 테스트 시간 최소화 장치는 정상적인 쉬프트인이 된 최대 쉬프트 주파수 이하를 해당 스캔 섹션의 쉬프트 주파수로 결정하거나 결정할 수 있는 정보를 컴퓨터로 읽을 수 있는 기록매체에 저장할 수 있다.For example, the scan test time minimization apparatus may determine whether it is possible to normally shift the scan section into the scan path at the reduced shift frequency starting from an initial shift frequency that is a test failure. When a normal shift-in of the scan section occurs due to a decrease in the shift frequency, the scan test time minimization device determines the shift frequency below the maximum shift frequency with normal shift-in as the shift frequency of the scan section, or transmits information that can be determined to the computer. can be stored on a readable recording medium.

또 다른 예로, 칩은 공급 전압이나 주변 온도 등에 의해서도 영향을 받으므로 이러한 환경 조건을 반영하여 최적 쉬프트 주파수를 찾을 수 있다. 즉, 스캔 테스트 시간 최소화 장치는 공급 전압이나 외부 온도 등의 조건을 변경해 가면서 최적 쉬프트 주파수를 찾는 과정을 수행할 수 있다.As another example, since the chip is also affected by the supply voltage or ambient temperature, the optimal shift frequency can be found by reflecting these environmental conditions. That is, the scan test time minimization apparatus may perform a process of finding an optimal shift frequency while changing conditions such as a supply voltage or an external temperature.

예를 들어, 스캔 테스트 시간 최소화 장치는 칩의 사양 또는 QA(Quality Assurance), QC(Quality Control) 등과 같은 품질 관련 정책 등을 고려하여 칩에 공급되는 전압을 증감할 수 있다(S3120). 그리고 스캔 테스트 시간 최소화 장치는 각각의 증감된 공급 전압에서 본 발명의 실시 예에 따라 스캔 섹션별 최적의 쉬프트 주파수를 찾는다. 선택된 스캔 섹션의 공급 전압별로 찾은 최적의 쉬프트 주파수가 복수 개 존재하면, 스캔 테스트 시간 최소화 장치는 이 중 가장 낮은 최적의 쉬프트 주파수 이하를 선택된 스캔 섹션의 쉬프트 주파수로 결정할 수 있다(S3150). 이 외 온도 증감이나 다른 여러 가지 조건별로 최적의 쉬프트 주파수를 찾는 과정을 반복하고, 이 중 가장 낮은 최적의 쉬프트 주파수 이하를 해당 스캔 섹션의 쉬프트 주파수로 결정할 수 있다.For example, the scan test time minimization apparatus may increase or decrease the voltage supplied to the chip in consideration of the specifications of the chip or quality-related policies such as quality assurance (QA) and quality control (QC) (S3120). In addition, the scan test time minimization apparatus finds an optimal shift frequency for each scan section according to an embodiment of the present invention from each increased or decreased supply voltage. If there are a plurality of optimal shift frequencies found for each supply voltage of the selected scan section, the scan test time minimization apparatus may determine the lowest optimal shift frequency or less as the shift frequency of the selected scan section ( S3150 ). In addition, the process of finding the optimal shift frequency for each temperature increase or decrease or other various conditions is repeated, and the lowest optimal shift frequency or less among them may be determined as the shift frequency of the corresponding scan section.

여기서, IC 칩의 공급 전압 또는 주변 온도 등을 변화시키면서 IC 칩의 동작 주파수 범위와 같은 특성을 파악하는 것을 일반적으로 전기적 특성 테스팅(electrical testing) 또는 쉬무잉(shmooing)이라 한다. 전기적 특성 테스팅 또는 쉬무잉을 하여 특성 정보에 대한 도표를 만드는 것을 쉬무 플랏팅(shmoo plotting) 한다고 한다. 도표는 쉬무 플랏(shmoo plot)이라 불릴 수 있다.In this case, identifying characteristics such as an operating frequency range of the IC chip while changing the supply voltage or ambient temperature of the IC chip is generally referred to as electrical testing or shmooing. Making a diagram of characteristic information by performing electrical characteristic testing or shmooing is called shmoo plotting. The plot may be called a shmoo plot.

도 31의 각 단계는 스캔 테스트 시간 최소화 장치뿐만 아니라 컴퓨터 등의 다른 장치에 의해 수행될 수도 있다.Each step of FIG. 31 may be performed not only by the scan test time minimization device but also by other devices such as a computer.

도 32는 본 발명의 최소한 하나의 실시예에 따른 스캔 테스트 시간 최소화 방법에서 정상적인 쉬프트인을 파악하는 구체적인 과정의 일 실시 예를 도시한 흐름도이다. 즉, 도 32는 도 31의 S3130 단계에 대응될 수 있으나, 도 31의 특정 단계로 한정되는 것은 아니며, 스캔 경로에 쉬프트인이 정상적으로 되는지 판정하거나 결정하는 과정을 포함한 다양한 실시 예에 적용될 수 있다.32 is a flowchart illustrating an example of a detailed process for determining a normal shift-in in a method for minimizing a scan test time according to at least one embodiment of the present invention. That is, FIG. 32 may correspond to step S3130 of FIG. 31 , but is not limited to the specific step of FIG. 31 , and may be applied to various embodiments including a process of determining or determining whether a shift-in is normally performed in a scan path.

도 12 및 도 32를 함께 참조하면, 스캔 테스트 시간 최소화 장치는 현재 선택된 쉬프트 주파수 결정하고자 하는 대상 스캔 섹션 K(1204)의 앞에 위치한 입력 패턴 K-1(1202)을 스캔 경로(1210)에 쉬프트인한다(S3200). 예를 들어, 입력 패턴 K-1(1202)은 대상 스캔 섹션 K(1204)을 포함하는 스캔 패턴 M의 앞에 위치하며, 다음과 같은 (1) 또는 (2)의 예가 있을 수 있다.12 and 32 together, the scan test time minimization apparatus shifts the input pattern K-1 1202 located in front of the target scan section K 1204 to be determined the currently selected shift frequency to the scan path 1210. do (S3200). For example, the input pattern K-1 1202 is located in front of the scan pattern M including the target scan section K 1204, and there may be examples of (1) or (2) as follows.

(1) 입력 패턴 K-1(1202)이 실제 스캔 테스트에 사용되는 스캔 패턴인 경우(1) When the input pattern K-1 (1202) is the scan pattern used for the actual scan test

스캔 테스트 시간 최소화 장치는 스캔 패턴 M-1을 스캔 경로에 쉬프트하고 스캔 캡쳐하는 과정을 수행한다. 이 경우 실제 스캔 테스트 동작을 반영할 수 있는 장점이 있다. 여기서, 스캔 패턴 M-1은 대상 스캔 섹션 K를 포함하는 스캔 패턴 M의 앞에 위치하는 패턴이다.The scan test time minimization apparatus shifts the scan pattern M-1 to the scan path and performs a scan capture process. In this case, there is an advantage in that the actual scan test operation can be reflected. Here, the scan pattern M-1 is a pattern located in front of the scan pattern M including the target scan section K.

(2) 스캔 패턴 M-1이 실제 스캔 테스트에 사용되는 스캔 패턴 M-1을 사용한 스캔 테스트 결과로서 예측되는 출력 패턴인 경우(2) When the scan pattern M-1 is an output pattern predicted as a scan test result using the scan pattern M-1 used for the actual scan test

스캔 테스트 시간 최소화 장치는 스캔 패턴 M-1을 스캔 경로에 쉬프트한 후에 별도의 스캔 캡쳐 과정을 수행할 필요가 없어진다. 따라서, 이 경우 스캔 캡쳐를 위한 클락에 소요되는 시간을 줄일 수 있고, 따라서 최적의 쉬프트 주파수를 찾는데 소요되는 시간을 줄일 수 있다.The scan test time minimization device eliminates the need to perform a separate scan capture process after shifting the scan pattern M-1 to the scan path. Accordingly, in this case, the time required for the clock for scan capture can be reduced, and thus the time required for finding the optimal shift frequency can be reduced.

스캔 테스트 시간 최소화 장치는 입력 패턴 K-1(1202)을 스캔 경로에 쉬프트(S3200) 한 후에 스캔 캡쳐 동작을 수행한다. 다른 실시 예로, 스캔 캡쳐 동작을 수행하지 하지 않을 수 있다. 그 다음에, 스캔 테스트 시간 최소화 장치는 대상 스캔 섹션 K(1204)를 증감된 쉬프트 주파수로 스캔 경로에 쉬프트인한다(S3210). 만약 대상 스캔 섹션 K(1204)가 도 14와 같이 스캔 패턴 M의 일부인 경우, 대상 스캔 섹션 K(1204)를 포함하는 스캔 패턴 M을 스캔 경로에 쉬프트인한다.The scan test time minimization apparatus performs a scan capture operation after shifting the input pattern K-1 1202 to the scan path (S3200). In another embodiment, the scan capture operation may not be performed. Then, the scan test time minimization apparatus shifts the target scan section K 1204 into the scan path with the increased or decreased shift frequency (S3210). If the target scan section K 1204 is a part of the scan pattern M as shown in FIG. 14 , the scan pattern M including the target scan section K 1204 is shifted into the scan path.

이때 대상 스캔 섹션 K(1204) 또는 대상 스캔 섹션 K(1204)를 포함하는 스캔 패턴 M이 스캔 경로에 쉬프트인되면서 스캔 경로 상에 저장된 비트 패턴은 동시에 쉬프트아웃 된다(S3210). 여기서, 쉬프트아웃 되는 비트 패턴은 상기 예에 한정되지 않으며, 스캔 경로에 대해 쉬프트인과 쉬프트아웃 동작이 동시에 수행될 수 있는 스캔 회로의 종류에 따라 다양할 수 있다.At this time, while the target scan section K 1204 or the scan pattern M including the target scan section K 1204 is shifted into the scan path, the bit patterns stored on the scan path are simultaneously shifted out (S3210). Here, the bit pattern to be shifted out is not limited to the above example, and may vary depending on the type of scan circuit capable of simultaneously performing shift-in and shift-out operations on a scan path.

예를 들어, 대상 스캔 섹션 K(1204)가 도 14와 같이 스캔 패턴 M의 일부로서 스캔 경로의 길이보다 짧은 경우, 대상 스캔 섹션 K(1204)를 포함하는 스캔 패턴 M을 스캔 경로에 쉬프트인한다. 이때 결정 대상 스캔 섹션 K(1204)를 제외한 스캔 패턴 M의 나머지 부분의 쉬프트 주파수는 대상 스캔 섹션 K(1204)의 최적 쉬프트 주파수를 찾는데 제약을 주지 않도록 한다. 이를 위해 스캔 패턴 M에서 대상 스캔 섹션 K(1204)의 부분을 제외한 나머지 부분의 쉬프트 주파수는 대상 스캔 섹션 K(1204)의 쉬프트 주파수와 함께 증감되지 않도록 하거나 대상 스캔 섹션 K(1204)와 상이한 주파수를 사용할 수 있다. 또는 스캔 패턴 M에서 대상 스캔 섹션 K(1204)의 부분을 제외한 나머지 부분의 쉬프트 주파수는 대상 스캔 섹션 K(1204)를 제외한 나머지 부분을 스캔 경로에 정상적으로 입력할 수 있는 쉬프트 주파수를 사용할 수 있다.For example, when the target scan section K 1204 is shorter than the length of the scan path as a part of the scan pattern M as shown in FIG. 14 , the scan pattern M including the target scan section K 1204 is shifted into the scan path. . At this time, the shift frequency of the remaining part of the scan pattern M except for the determined target scan section K 1204 is not limited in finding the optimal shift frequency of the target scan section K 1204 . To this end, in the scan pattern M, the shift frequency of the remaining parts except for the part of the target scan section K (1204) is not increased or decreased together with the shift frequency of the target scan section K (1204), or a frequency different from that of the target scan section K (1204) is selected. can be used Alternatively, the shift frequency of the remaining portions except for the target scan section K 1204 in the scan pattern M may use a shift frequency capable of normally inputting the remaining portions except for the target scan section K 1204 into the scan path.

본 발명의 최소한 하나의 실시예에서, 대상 스캔 섹션 K(1204)를 제외한 부분의 쉬프트 주파수는 명목 쉬프트 주파수 이하 또는 본 발명의 실시 예에 따른 방법을 통해 최적의 쉬프트 주파수가 이미 결정된 경우에는 해당하는 최적의 쉬프트 주파수 이하와 같이 기 설정된 쉬프트 주파수를 사용할 수 있다. 기 설정된 쉬프트 주파수는 명목 쉬프트 주파수를 조정한 값이거나 프로그램에 의해 장치에 설정된 값 또는 사용자가 설정한 값이 될 수 있는 등 실시 예에 따라 다양하게 변경 가능하며 위 예에 반드시 한정되는 것은 아니다.In at least one embodiment of the present invention, the shift frequency of a portion other than the target scan section K 1204 is less than or equal to the nominal shift frequency, or when the optimal shift frequency has already been determined through the method according to an embodiment of the present invention, corresponding to A preset shift frequency such as less than or equal to the optimal shift frequency may be used. The preset shift frequency may be variously changed according to embodiments, such as a value obtained by adjusting the nominal shift frequency, a value set in the device by a program, or a value set by a user, and is not necessarily limited to the above example.

스캔 테스트 시간 최소화 장치는 테스트 대상 칩의 입력 패턴 K-1의 출력 패턴 K-1과 예측 패턴 K-1이 동일한지 비교한다(S3220). 만약 출력 패턴 K-1과 예측 패턴 K-1이 동일하지 않으면(S3220), 스캔 테스트 시간 최소화 장치는 현 쉬프트 주파수로 대상 스캔 섹션 K(1204)를 스캔 경로에 정상적으로 쉬프트인 할 수 없다고 판정 또는 결정한다(S3270). 예를 들어, 스캔 테스트 시간 최소화 장치는 테스트 실패의 정보를 컴퓨터로 읽을 수 있는 기록 매체에 저장할 수 있다.The scan test time minimization apparatus compares whether the output pattern K-1 of the input pattern K-1 of the test target chip and the prediction pattern K-1 are the same (S3220). If the output pattern K-1 and the predicted pattern K-1 are not the same (S3220), the scan test time minimization device determines or determines that the target scan section K 1204 cannot be normally shifted into the scan path with the current shift frequency. do (S3270). For example, the scan test time minimization apparatus may store test failure information in a computer-readable recording medium.

입력 패턴 K-1의 출력 패턴 K-1과 예측 패턴 K-1이 동일하면(S3220), 스캔 테스트 시간 최소화 장치는 대상 스캔 섹션 K(1204)에 대한 스캔 캡쳐(S3230) 동작을 수행한 다음에 쉬프트아웃(S3240) 동작을 수행한다. 다른 실시 예로, 스캔 캡쳐(S3230) 동작을 수행하지 않고 쉬프트아웃(S3240) 동작을 수행할 수 있다. 또한, 쉬프트아웃(S3240) 되는 비트 패턴은 스캔 경로에 대해 쉬프트인과 쉬프트아웃 동작이 동시에 수행될 수 있는 스캔 회로의 종류에 따라 다양할 수 있다.If the output pattern K-1 of the input pattern K-1 and the prediction pattern K-1 are the same (S3220), the scan test time minimization apparatus performs a scan capture (S3230) operation on the target scan section K 1204, and then A shift-out (S3240) operation is performed. In another embodiment, the shift-out (S3240) operation may be performed without performing the scan capture (S3230) operation. In addition, the bit pattern shifted out ( S3240 ) may vary according to the type of scan circuit in which shift-in and shift-out operations can be simultaneously performed on the scan path.

대상 스캔 섹션 K(1204)에 대한 출력 패턴이 쉬프트아웃(S3240) 될 때 동시에 쉬프트인되는 입력 패턴 K+1(1206)에는 쉬프트아웃(S3240) 되는 대상 스캔 섹션 K(1204)의 비트 패턴이 의도하지 않게 바뀌지 않도록 하는 쉬프트 주파수가 사용된다. 즉, 쉬프트아웃(S3240) 동작이 정상적으로 수행될 수 있는 쉬프트 주파수가 사용된다. 또한 대상 스캔 섹션 K(1204)의 쉬프트아웃(S3240) 동작이 수행될 때 동시에 쉬프트인되는 입력 패턴 K+1(1206)은 스캔 경로에 정상적으로 쉬프트인 될 수 있는 쉬프트 주파수가 사용된다.When the output pattern for the target scan section K 1204 is shifted out (S3240), the bit pattern of the target scan section K 1204 shifted out (S3240) is intended for the input pattern K+1 (1206) shifted in at the same time A shift frequency that does not change inadvertently is used. That is, a shift frequency at which the shift-out (S3240) operation can be normally performed is used. In addition, the input pattern K+1 (1206) that is shifted in simultaneously when the shift-out (S3240) operation of the target scan section K 1204 is performed uses a shift frequency that can be normally shifted in the scan path.

스캔 테스트 시간 최소화 장치는 테스트 대상 칩의 대상 스캔 섹션 K(1204)의 출력 패턴 K가 예측 패턴 K와 동일한지 비교한다(S3250). 만약 대상 스캔 섹션 K(1204)의 출력 패턴 K와 예측 패턴 K가 동일하지 않으면(S3250), 스캔 테스트 시간 최소화 장치는 현재 사용된 쉬프트 주파수로 대상 스캔 섹션 K(1204)를 스캔 경로에 정상적으로 쉬프트인 할 수 없다고 판정 또는 결정한다(S3270). 예를 들어, 스캔 테스트 시간 최소화 장치는 테스트 실패의 정보를 컴퓨터로 읽을 수 있는 기록 매체에 저장할 수 있다.The scan test time minimization apparatus compares whether the output pattern K of the target scan section K 1204 of the chip under test is the same as the predicted pattern K ( S3250 ). If the output pattern K of the target scan section K 1204 and the predicted pattern K are not the same (S3250), the scan test time minimization device normally shifts the target scan section K 1204 to the scan path with the currently used shift frequency. It is determined or determined that it cannot be done (S3270). For example, the scan test time minimization apparatus may store test failure information in a computer-readable recording medium.

대상 스캔 패턴 K(1204)의 출력 패턴 K와 예측 패턴 K가 동일하면(S3250), 스캔 테스트 시간 최소화 장치는 현재 사용된 쉬프트 주파수로 대상 스캔 섹션 K(1204)를 스캔 경로에 정상적으로 쉬프트인 할 수 있다고 판정 또는 결정한다(S3260). 예를 들어, 스캔 테스트 시간 최소화 장치는 테스트 정상의 정보를 컴퓨터로 읽을 수 있는 기록 매체에 저장할 수 있다.If the output pattern K of the target scan pattern K 1204 and the predicted pattern K are the same (S3250), the scan test time minimization device can normally shift the target scan section K 1204 into the scan path with the currently used shift frequency. It is determined or determined that there is (S3260). For example, the scan test time minimization apparatus may store test normal information in a computer-readable recording medium.

본 발명의 최소한 하나의 실시예에서, 대상 스캔 섹션 K(1204)를 포함하는 스캔 패턴뿐만 아니라 그 앞에 위치하는 스캔 패턴에 대한 칩의 출력 패턴 또한 예측 패턴과 비교하여 대상 스캔 섹션 K(1204)의 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾을 수 있다.In at least one embodiment of the present invention, the output pattern of the chip for the scan pattern preceding it, as well as the scan pattern comprising the target scan section K 1204, is also compared to the predicted pattern of the target scan section K 1204. You can find an available shift frequency or an optimal shift frequency.

본 발명의 최소한 하나의 실시예에서, 테스트 장치는 대상 스캔 섹션 K(1204)와 그 앞에 위치하는 입력 패턴 K-1(1202)에 대한 스캔 테스트 결과가 모두 정상인지 판정 또는 결정한다. 그리고 모두 테스트 정상이면, 대상 스캔 섹션 K(1204)에 사용된 쉬프트 주파수는 대상 스캔 섹션 K(1204)를 스캔 경로에 정상적으로 쉬프트인 가능한 쉬프트 주파수이다.In at least one embodiment of the present invention, the test apparatus determines or determines whether the scan test results for the target scan section K 1204 and the input pattern K-1 1202 positioned before it are both normal. And if all tests are OK, the shift frequency used for the target scan section K 1204 is a possible shift frequency that normally shifts the target scan section K 1204 into the scan path.

도 33은 본 발명의 최소한 하나의 실시예에 따른 스캔 테스트 시간 최소화 방법의 다른 일 예를 도시한 흐름도이다.33 is a flowchart illustrating another example of a method for minimizing a scan test time according to at least one embodiment of the present invention.

칩 제조 공정(process)의 종류 및 상태에 따라 서로 다른 웨이퍼 상의 IC 칩들 간 또는 동일 웨이퍼 상의 IC 칩들 간의 공정 차이(process variation)가 있을 수 있으며, 이는 IC 칩의 동작 주파수 및 전력 소모 등에 많은 영향을 미칠 수 있다. 특히 미세공정 및 저전력 공정에서는 더 많은 영향을 미친다.Depending on the type and state of the chip manufacturing process, there may be process variations between IC chips on different wafers or between IC chips on the same wafer, which greatly affects the operating frequency and power consumption of the IC chip. can go crazy In particular, it has a greater impact on micro-processing and low-power processes.

도 33을 참조하면, 스캔 테스트 시간 최소화 장치는 복수의 칩에 대해 앞서 살핀 스캔 섹션별 최적의 주파수를 결정하는 과정을 수행한다(S3300). 여기서 복수의 칩은 동일 웨이퍼 상의 IC 칩이거나 서로 다른 웨이퍼 상의 IC 칩일 수 있으며, 미리 양품으로 검사된 칩일 수 있다.Referring to FIG. 33 , the scan test time minimization apparatus performs a process of determining an optimal frequency for each salpin scan section for a plurality of chips (S3300). Here, the plurality of chips may be IC chips on the same wafer or IC chips on different wafers, and may be chips that have been tested as good products in advance.

스캔 테스트 시간 최소화 장치는 어느 한 스캔 섹션에 대해 복수의 IC 칩을 통해 파악한 복수의 최적의 쉬프트 주파수들 중 가장 낮은 쉬프트 주파수 이하를 해당 스캔 섹션의 최적의 쉬프트 주파수로 결정하거나, 쉬프트 주파수를 결정할 수 있는 정보를 컴퓨터로 읽을 수 있는 기록매체에 저장할 수 있으며(S3310), 이를 각 스캔 섹션에 대해 수행할 수 있다. 여기서, 기록매체에 저장되는 정보의 일 예로, 각 쉬프트 주파수에 대한 쉬프트 또는 테스트의 성공(pass) 또는 실패(fail)에 관한 정보일 수 있다.The scan test time minimization device may determine the lowest shift frequency or less among a plurality of optimal shift frequencies identified through a plurality of IC chips for a scan section as the optimal shift frequency of the scan section, or may determine the shift frequency. information can be stored in a computer-readable recording medium (S3310), and this can be performed for each scan section. Here, as an example of the information stored in the recording medium, it may be information about the pass or fail of a shift or test for each shift frequency.

예를 들어, 제1 칩의 대상 스캔 섹션 K의 쉬프트 주파수가 A이고, 제2 칩의 대상 스캔 섹션 K의 쉬프트 주파수가 B라고 하자. 쉬프트 주파수 A가 쉬프트 주파수 B 보다 작다면, 테스트 장치는 대상 스캔 섹션 K의 쉬프트 주파수로 A 또는 그 이하를 선택하거나, 선택할 수 있는 정보를 컴퓨터로 읽을 수 있는 기록매체에 저장할 수 있다.For example, it is assumed that the shift frequency of the target scan section K of the first chip is A, and the shift frequency of the target scan section K of the second chip is B. If the shift frequency A is smaller than the shift frequency B, the test apparatus may select A or less as the shift frequency of the target scan section K, or may store selectable information in a computer-readable recording medium.

도 33의 각 단계는 스캔 패턴 집합과 복수의 칩에 대해 각 스캔 섹션별로 파악된 쉬프트 주파수 정보를 사용하여 스캔 테스트 시간 최소화 장치뿐만 아니라 컴퓨터 등의 다른 장치에서 수행될 수도 있다.Each step of FIG. 33 may be performed by not only the scan test time minimization device but also other devices such as a computer using the scan pattern set and shift frequency information identified for each scan section for a plurality of chips.

도 34는 본 발명의 최소한 하나의 실시예에 따른 스캔 테스트 시간 최소화 장치의 구성을 도시한 블록도이다.34 is a block diagram illustrating a configuration of an apparatus for minimizing scan test time according to at least one embodiment of the present invention.

도 34의 스캔 테스트 시간 최소화 장치는 각 스캔 섹션의 쉬프트 주파수 최적화를 위해 전술한 본 발명의 방법을 수행할 수 있으며, 본 발명의 최소한 하나의 실시예에서, 도 12 내지 도 33의 방법의 일부 또는 전체를 적용할 수 있다.The scan test time minimization apparatus of FIG. 34 may perform the method of the present invention described above for optimizing the shift frequency of each scan section, and in at least one embodiment of the present invention, a part of the method of FIGS. 12 to 33 or The whole can be applied.

도 34를 참조하면, 스캔 테스트 시간 최소화 장치는 조건 설정부(3400), 패턴 분할부(3405), 패턴 입력부(3410), 패턴 비교부(3420) 및 주파수 파악부(3430)를 포함한다. 조건 설정부(3400)는 주파수 증감부(3402), 공급전압 증감부(3404), 온도 증감부(3406) 등을 포함한다.Referring to FIG. 34 , the scan test time minimization apparatus includes a condition setting unit 3400 , a pattern dividing unit 3405 , a pattern input unit 3410 , a pattern comparing unit 3420 , and a frequency determining unit 3430 . The condition setting unit 3400 includes a frequency increase/decrease unit 3402 , a supply voltage increase/decrease unit 3404 , a temperature increase/decrease unit 3406 , and the like.

먼저, 조건 설정부(3400)는 스캔 섹션별 최적의 쉬프트 주파수를 찾기 위한 각종 조건을 설정한다. 구체적으로 주파수 증감부(3402)는 쉬프트 주파수를 증감하고, 공급전압 증감부(3404)는 칩에 공급되는 전압을 증감하고, 온도 증감부(3406)는 테스트 환경의 주변 온도를 증감한다. 조건 설정부(3400)는 공급 전압, 주변 온도 등의 조건을 설정하며 쉬프트 주파수를 증감시킬 수 있다. 예를 들어, 조건 설정부(3400)는 호스트 컴퓨터(200, 300), 테스터 본체(210, 310), 테스트 헤드(220, 320) 또는 프로버(350) 등에 구비될 수 있다.First, the condition setting unit 3400 sets various conditions for finding the optimal shift frequency for each scan section. Specifically, the frequency increase/decrease unit 3402 increases or decreases the shift frequency, the supply voltage increase/decrease unit 3404 increases or decreases the voltage supplied to the chip, and the temperature increase/decrease unit 3406 increases or decreases the ambient temperature of the test environment. The condition setting unit 3400 may increase or decrease the shift frequency while setting conditions such as supply voltage and ambient temperature. For example, the condition setting unit 3400 may be provided in the host computer 200 or 300 , the tester body 210 or 310 , the test heads 220 or 320 , or the prober 350 .

패턴 분할부(3405)는 하나 이상의 스캔 패턴을 복수의 스캔 섹션으로 분할 할 수 있다. 예를 들어, 패턴 분할부(3405)는 호스트 컴퓨터(200, 300), 테스터 본체(210, 310), 테스트 헤드(220, 320) 또는 프로버(350) 등에 구비될 수 있다. 패턴 분할부(3405)는 도 5 내지 도 10에 도시된 방법을 이용하여 테스트 데이터를 적어도 하나 이상의 스캔 섹션으로 분할할 수 있다.The pattern dividing unit 3405 may divide one or more scan patterns into a plurality of scan sections. For example, the pattern dividing unit 3405 may be provided in the host computers 200 and 300 , the tester bodies 210 and 310 , the test heads 220 and 320 , or the prober 350 . The pattern divider 3405 may divide the test data into at least one scan section using the method illustrated in FIGS. 5 to 10 .

패턴 입력부(3410)는 조건 설정부(3400)에서 설정된 조건에서 스캔 섹션을 테스트 대상 칩의 스캔 경로에 쉬프트인되도록 한다. 보다 구체적으로 패턴 입력부(3410)는 최적의 스캔 쉬프트 주파수를 찾고자 하는 스캔 섹션의 앞과 뒤에 각각 위치한 스캔 패턴 또는 스캔 섹션을 쉬프트 주파수 결정 대상 스캔 섹션과 함께 순차적으로 스캔 경로에 쉬프트인되도록 할 수 있다. 예를 들어, 패턴 입력부(3410)는 호스트 컴퓨터(200, 300), 테스터 본체(210, 310), 테스트 헤드(220, 320) 또는 프로버(350) 등에 구비될 수 있다.The pattern input unit 3410 shifts the scan section into the scan path of the test target chip under the condition set by the condition setting unit 3400 . More specifically, the pattern input unit 3410 may sequentially shift a scan pattern or a scan section positioned before and after a scan section to find an optimal scan shift frequency into a scan path together with a scan section to be determined with a shift frequency. . For example, the pattern input unit 3410 may be provided in the host computer 200 or 300 , the tester body 210 or 310 , the test heads 220 or 320 , or the prober 350 .

패턴 비교부(3420)는 패턴 입력부(3410)에 의해 테스트 대상 칩에 쉬프트인된 스캔 섹션의 의한 테스트 결과가 쉬프트아웃 된 출력 패턴이 예측 패턴과 동일한지 비교한다. 예를 들어, 패턴 비교부(3420)는 호스트 컴퓨터(200, 300), 테스터 본체(210, 310), 테스트 헤드(220, 320) 또는 프로버(350) 등에 구비될 수 있다. 조건 설정부(3400)에 의한 쉬프트 주파수의 증감에 따라 출력 패턴과 예측 패턴이 동일하다가 상이해 지거나 상이하다가 동일해지는 시점 또는 주파수가 존재할 수 있다.The pattern comparator 3420 compares whether the output pattern shifted out of the scan section shifted in to the test target chip by the pattern input unit 3410 is the same as the predicted pattern. For example, the pattern comparator 3420 may be provided in the host computer 200 or 300 , the tester body 210 or 310 , the test heads 220 or 320 , or the prober 350 . According to the increase or decrease of the shift frequency by the condition setting unit 3400 , there may be a time point or frequency in which the output pattern and the prediction pattern are the same and then become different or become the same after being different.

주파수 파악부(3430)는 패턴 비교부(3420)에 의한 비교결과 정보 또는 비교 결과를 이용하여 출력 패턴이 예측 패턴과 상이해지기 전의 쉬프트 주파수 또는 동일한 쉬프트 주파수를 찾기 위한 쉬프트 주파수 정보를 컴퓨터로 읽을 수 있는 기록매체에 저장할 수 있다. 예를 들어, 스캔 섹션에 대해 정상적으로 사용 가능한 쉬프트 주파수 정보를 컴퓨터로 읽을 수 있는 기록매체에 저장할 수 있다. 또한 상기 정보를 이용하여 스캔 섹션의 최적의 쉬프트 주파수를 결정할 수도 있다.The frequency determining unit 3430 reads the shift frequency information for finding the same shift frequency or the shift frequency before the output pattern is different from the predicted pattern using the comparison result information or the comparison result by the pattern comparison unit 3420 with a computer. It can be stored in a recordable medium. For example, shift frequency information normally usable for the scan section may be stored in a computer-readable recording medium. Also, an optimal shift frequency of the scan section may be determined using the information.

본 발명의 최소한 하나의 실시예에서 주파수 파악부(3430)는 적어도 현재 쉬프트 주파수 결정 대상 스캔 섹션 앞에 위치한 스캔 섹션과 결정 대상 스캔 섹션에 대한 출력 패턴 모두 예측 패턴과 동일할 때의 쉬프트 주파수를 결정 대상 스캔 섹션의 사용 가능한 쉬프트 주파수 정보로서 컴퓨터로 읽을 수 있는 기록매체에 저장할 수 있다. 또한 도 34에서 둘 이상의 각 부는 서로 하나의 모듈로 통합되거나 더욱 세분화될 수도 있다. 예를 들어, 주파수 파악부(2030)는 호스트 컴퓨터(200, 300), 테스터 본체(210, 310), 테스트 헤드(220, 320) 또는 프로버(350) 등에 구비될 수 있다.In at least one embodiment of the present invention, the frequency determiner 3430 determines the shift frequency when both the scan section positioned before the current shift frequency determination target scan section and the output pattern for the determination target scan section are the same as the prediction pattern It can be stored in a computer-readable recording medium as usable shift frequency information of the scan section. In addition, in FIG. 34 , each of two or more units may be integrated into one module or further subdivided. For example, the frequency determiner 2030 may be provided in the host computers 200 and 300 , the tester bodies 210 and 310 , the test heads 220 and 320 , or the prober 350 .

앞서 살핀 스캔 테스트 시간 최소화 장치는 하드웨어 또는 소프트웨어 등을 사용하여 다양한 형태로 구현될 수 있다. 또한 스캔 테스트 시간 최소화 장치 전체 또는 일부는 도 2,3에서 살핀 테스트 장치 내에 구현되거나 또는 컴퓨터와 같은 별도의 다른 장치를 사용하여 구현될 수 있다.The apparatus for minimizing the salpin scan test time may be implemented in various forms using hardware or software. In addition, all or part of the scan test time minimization apparatus may be implemented in the salpin test apparatus in FIGS. 2 and 3 , or may be implemented using a separate apparatus such as a computer.

도 35는 복수의 스캔 섹션의 최적의 쉬프트 주파수를 병렬로 찾거나 결정하는 방법의 일 예를 도시한 개념도이다.35 is a conceptual diagram illustrating an example of a method of finding or determining optimal shift frequencies of a plurality of scan sections in parallel.

도 35를 참조하면, 스캔 테스트 시간 최소화 장치는 복수의 IC 칩 각각에 대해 서로 다른 스캔 섹션의 최적의 쉬프트 주파수를 함께 병렬로(in parallel) 찾거나 결정함으로써 최적의 쉬프트 주파수를 찾거나 결정하는데 소요되는 시간을 줄일 수 있다.Referring to FIG. 35 , the scan test time minimization apparatus takes to find or determine the optimal shift frequency for each of a plurality of IC chips by finding or determining the optimal shift frequency of different scan sections together in parallel time can be reduced.

예를 들어, 테스트 장치의 테스트 인터페이스 보드(3500)에 위치한 복수 개의 IC 칩(3510,3512,3514,3516) 각각에 대해 서로 다른 스캔 섹션의 최적의 쉬프트 주파수를 함께 찾거나 결정할 수 있다. 본 발명의 최소한 하나의 실시예에서, 복수의 각각의 테스트 장치 또는 복수의 테스트 인터페이스 보드에서 서로 다른 스캔 섹션의 최적의 쉬프트 주파수를 병렬로(in parallel) 찾거나 결정할 수 있다.For example, for each of the plurality of IC chips 3510 , 3512 , 3514 , and 3516 located on the test interface board 3500 of the test device, optimal shift frequencies of different scan sections may be found or determined together. In at least one embodiment of the present invention, optimal shift frequencies of different scan sections in each of a plurality of test devices or a plurality of test interface boards may be found or determined in parallel.

전체 스캔 섹션에 대해 순차적으로 하나씩 최적의 쉬프트 주파수를 찾거나 결정하는 경우 h 시간이 소요된다면, n개의 스캔 섹션을 병렬로(in parallel) 쉬프트 주파수를 찾거나 결정하면 약 h/n시간으로 소요시간이 절감될 수 있다. 따라서 동일한 시간 이내에 IC 칩을 테스트하기 위한 수천 내지 수 만개 이상의 스캔 패턴들을 더욱 길이가 짧은 스캔 섹션으로 분할하여 최적화할 수 있는 효과가 있을 수 있다.If it takes h time to find or determine the optimal shift frequency one by one for the entire scan section sequentially, it takes about h/n time to find or determine the shift frequency in parallel for n scan sections. This can be reduced. Therefore, it may be possible to optimize by dividing thousands to tens of thousands of scan patterns for testing an IC chip into shorter scan sections within the same time period.

도 36은 본 발명의 최소한 하나의 실시예에 따른 스캔 테스트 시간 최소화를 위한 스캔 패턴의 재배치 방법의 일 예를 도시한 개념도이다.36 is a conceptual diagram illustrating an example of a scan pattern rearrangement method for minimizing a scan test time according to at least one embodiment of the present invention.

도 36을 참조하면, 스캔 테스트를 위한 스캔 패턴 집합상의 스캔 패턴은 일정한 순서를 가진다. 그러나 이러한 스캔 패턴의 순서는 고정적인 것이 아니라 스캔 섹션별로 높은 쉬프트 주파수를 할당하여 전체 스캔 테스트 시간을 줄이기 위하여 재배치될 수 있다. 예를 들어, 도 36과 같이 원본 스캔 패턴 집합상의 2번째 스캔 패턴과 3번째 스캔 패턴의 순서를 바꿀 수 있다. 이에 따라 예측 출력 스캔 패턴의 순서도 바뀐다.Referring to FIG. 36 , the scan patterns on the scan pattern set for the scan test have a predetermined order. However, the order of these scan patterns is not fixed, but may be rearranged in order to reduce the overall scan test time by allocating a high shift frequency to each scan section. For example, as shown in FIG. 36 , the order of the second scan pattern and the third scan pattern on the original scan pattern set may be changed. Accordingly, the order of the prediction output scan patterns is also changed.

스캔 경로에 쉬프트되는 스캔 패턴들의 순서를 재배치하는 경우, 스캔 쉬프트에 의해 IC 칩 상에서 회로의 스위칭 되는 부분 및 스위칭 동작 횟수가 변경될 수 있으며, 이에 따라 전력 소모 또한 변경될 수 있으므로 스캔 패턴(또는 스캔 섹션)에 할당할 수 있는 쉬프트 주파수가 높아질 수 있다. 따라서 이러한 성질을 이용하여 스캔 패턴 재배치 후 앞서 살핀 본 발명의 실시 예를 이용하여 스캔 섹션별 최적의 쉬프트 주파수를 찾거나 결정하여 전체적인 스캔 테스트 시간을 더욱 줄일 수 있다.When the order of shifted scan patterns in the scan path is rearranged, the switched portion of the circuit and the number of switching operations on the IC chip may be changed by the scan shift, and thus power consumption may also be changed, so the scan pattern (or scan The shift frequency that can be allocated to the section) may be increased. Therefore, by using this property, the optimal shift frequency for each scan section can be found or determined by using the embodiment of the present invention previously examined after relocating the scan pattern, thereby further reducing the overall scan test time.

스캔 패턴들의 재배치 방법으로, 한 번 이상 원본 스캔 패턴 집합상의 스캔 패턴들을 임의 재배치하고, 각각의 재배치된 스캔 패턴 집합에 대해 앞서 살핀 실시 예에 따라 최적의 쉬프트 주파수를 파악하여 스캔 테스트 시간이 가장 적게 소요되는 것을 스캔 패턴의 배치로 결정할 수 있다. 또 다른 실시 예로, 스캔 패턴간 비트 패턴 차이가 가장 적은 스캔 패턴을 서로 이웃하게 배치하는 등 다양한 방법이 있다.As a relocation method of scan patterns, scan patterns on the original scan pattern set are arbitrarily rearranged one or more times, and the optimal shift frequency is identified for each rearranged scan pattern set according to the above-described embodiment, thereby reducing the scan test time. What is required can be determined by the arrangement of the scan pattern. As another embodiment, there are various methods, such as disposing scan patterns having the smallest bit pattern difference between scan patterns adjacent to each other.

스캔 패턴 재배치의 또 다른 예로서, K(1 이상 정수) 번째 스캔 패턴 다음에 순서가 결정되지 아니한 스캔 패턴들을 순차적으로 위치시키면서 앞서 살핀 최적의 쉬프트 주파수를 찾는 방법을 사용하여 가장 높은 쉬프트 주파수를 가질 수 있는 스캔 패턴을 K 번째 스캔 패턴의 다음 패턴으로 결정할 수 있다.As another example of scan pattern rearrangement, it is possible to have the highest shift frequency by using the method of finding the optimal shift frequency salpinned above while sequentially locating scan patterns whose order is not determined after the K (an integer greater than or equal to 1)-th scan pattern. A possible scan pattern may be determined as the next pattern of the K-th scan pattern.

스캔 패턴의 순서를 재배치하는 동작의 일부 또는 전체는 테스트 장치에 구비된 프로세서와 같은 하드웨어와 펌웨어 또는 소프트웨어에 의해 수행되거나 또는 컴퓨터와 같은 별도의 다른 장치에서 수행될 수 있다.Part or all of the operation of rearranging the order of the scan patterns may be performed by hardware, firmware, or software such as a processor provided in the test apparatus, or may be performed in another apparatus such as a computer.

또한 최적의 스캔 패턴 배치를 찾는데 있어서 많은 시간이 소요될 수 있는 경우, 최적의 스캔 패턴 배치를 찾기 위해 최대 시도할 수 있는 스캔 패턴 재배치 횟수 또는 소요 시간 등의 제약사항을 둘 수 있다.Also, when it may take a lot of time to find the optimal scan pattern arrangement, there may be restrictions such as the maximum number of scan pattern rearrangement attempts or the required time to find the optimal scan pattern arrangement.

또한, 본 발명의 최소한 하나의 실시예에서는 적어도 둘 이상의 각 테스트 데이터의 최적의 주파수를 이용하여 IC 칩의 스트레스 테스트 또는 번인(burn-in) 테스트 시간을 감소시키거나 테스트 품질을 높일 수 있다. 본 발명의 최소한 하나의 실시예에서 적어도 둘 이상의 각각의 스캔 패턴 또는 스캔 섹션에 최적의 쉬프트 주파수를 이용하여 IC 칩의 스트레스 테스트 또는 번인(burn-in) 테스트 시간을 감소시키거나 테스트 품질을 높일 수 있다. 각 스캔 패턴 또는 스캔 섹션에 대한 최적의 쉬프트 주파수는 본 발명의 최소한 하나의 실시예에 따른 스캔 테스트 시간 최소화 방법으로 찾을 수 있다.In addition, in at least one embodiment of the present invention, the stress test or burn-in test time of the IC chip may be reduced or the test quality may be improved by using the optimal frequency of at least two or more respective test data. In at least one embodiment of the present invention, it is possible to reduce the stress test or burn-in test time of the IC chip or increase the test quality by using the optimal shift frequency for at least two or more respective scan patterns or scan sections. there is. An optimal shift frequency for each scan pattern or scan section may be found by the method of minimizing scan test time according to at least one embodiment of the present invention.

여기서 스트레스 테스트 또는 번인 테스트란 일반적으로 IC 칩을 오랜 시간 동안 동작 시켜 IC 칩에 스트레스를 주거나 높은 전압과 고온을 IC 칩에 가하여 노후화(aging)를 가속시킴으로써 IC 칩의 품질을 테스트하거나 초기 불량(early-life failure) IC 칩을 발견하는 것이다. 일반적으로 100가 넘는 고온 환경에서 수십 시간 이상을 번인 테스트한다. 이하 스트레스 테스트 또는 번인 테스트를 통칭하여 번인 테스트라고 한다. 또한 이러한 번인 테스트를 수행할 수 있는 테스트 장치를 번인 테스트 장치 라고도 부른다.Here, the stress test or burn-in test generally refers to testing the quality of an IC chip by operating the IC chip for a long time to stress the IC chip, or by applying high voltage and high temperature to the IC chip to accelerate aging. -life failure) to discover the IC chip. Burn-in tests for tens of hours or more in high-temperature environments, typically over 100. Hereinafter, the stress test or the burn-in test is collectively referred to as a burn-in test. Also, a test device capable of performing such a burn-in test is called a burn-in test device.

IC 칩의 노후화는 발열에 의해 많은 영향을 받으며, 발열은 IC 칩의 전력소모에 의해 많은 영향을 받는다.The aging of the IC chip is greatly affected by heat generation, and the heat generation is greatly affected by the power consumption of the IC chip.

예를 들어, 아래 식 2는 IC칩의 회로가 동작할 때의 전력 소모인 동적 전력소모(dynamic power dissipation)에 영향을 미치는 주요 요소를 보여준다.For example, Equation 2 below shows the main factors affecting dynamic power dissipation, which is power consumption when the circuit of the IC chip operates.

Figure 112021094937032-pat00002
Figure 112021094937032-pat00002

a: activity factora: activity factor

C: average switched capacitance (at each cycle)C: average switched capacitance (at each cycle)

f: circuit frequencyf: circuit frequency

VDD: supply voltageV DD : supply voltage

IC 칩의 스캔 모드에서 스캔 패턴의 비트 패턴에 따라 활성화되는 IC 칩의 회로 부분이 달라질 수 있다. 일반적으로 IC 칩의 스캔 모드에서 기능 모드 때보다 회로의 더욱 많은 부분에 스위칭 동작(switching activities)이 발생한다. 따라서 스캔 모드에서 수식 2와 같이 평균 스위칭 되는 캐패시턴스 값 C 가 증가하여 전력소모 P가 증가될 수 있다.In the scan mode of the IC chip, the activated circuit portion of the IC chip may vary according to the bit pattern of the scan pattern. In general, switching activities occur in more parts of a circuit in a scan mode of an IC chip than in a functional mode. Therefore, in the scan mode, as shown in Equation 2, the average switched capacitance value C increases, so that power consumption P may increase.

또한 쉬프트 주파수가 높아지면 수식 2와 같이 IC 칩 회로의 동작 주파수 f에 비례하여 IC 칩의 전력 소모 P가 증가할 수 있다.Also, as the shift frequency increases, as shown in Equation 2, power consumption P of the IC chip may increase in proportion to the operating frequency f of the IC chip circuit.

IC 칩의 증가된 스위칭 동작은 IC 칩의 전력 소모를 더욱 증가시켜서 IC 칩의 발열 온도 또한 높이게 된다. 따라서 IC 칩의 노후화가 더욱 가속될 수 있다.The increased switching operation of the IC chip further increases power consumption of the IC chip, so that the heat generation temperature of the IC chip is also increased. Therefore, the aging of the IC chip can be further accelerated.

본 발명의 최소한 하나의 실시예에서, 번인 테스트 장치는 번인 테스트 시 노후화를 더욱 가속시켜서 번인 테스트 시간을 줄일 수 있도록 각 테스트 데이터 또는 앞서 살핀 각 스캔 섹션에 할당 가능한 최대 쉬프트 주파수를 사용할 수 있다.In at least one embodiment of the present invention, the burn-in test apparatus may use the maximum shift frequency allocable to each test data or each scan section previously salvaged so as to further accelerate aging during the burn-in test to reduce the burn-in test time.

예를 들어, 번인 테스트 장치는 IC 칩의 번인 테스트 동안 스캔 패턴 또는 스캔 섹션을 이용하여 번인 테스트를 가속화할 수 있다. 이때 스캔 테스트도 함께 수행될 수 있다.For example, a burn-in test device may use a scan pattern or scan section during burn-in testing of an IC chip to accelerate burn-in testing. In this case, a scan test may also be performed.

또한 스캔 쉬프트 동작 시에 명목 쉬프트 주파수를 사용하면 스캔 패턴에 의해 활성화되는 회로 부위 중 일부에는 높은 스트레스가 인가되고 다른 일부에는 상대적으로 낮은 스트레스가 인가될 수 있다. 하지만 일 예로서 테스트 데이터의 스캔 패턴들을 스캔 섹션들로 분할하고, 분할된 각 스캔 섹션에 할당 가능한 최대 쉬프트 주파수를 사용하여 번인 테스트를 수행함으로써 회로상의 특정 부위만 노후화가 빨라지거나 상대적으로 노후화가 느리게 진행되는 현상을 줄일 수 있다.In addition, if the nominal shift frequency is used during the scan shift operation, high stress may be applied to some of the circuit parts activated by the scan pattern and relatively low stress may be applied to other parts of the circuit. However, as an example, by dividing the scan patterns of the test data into scan sections, and performing a burn-in test using the maximum shift frequency that can be assigned to each divided scan section, aging of only a specific part of the circuit is accelerated or aging is relatively slow progress can be reduced.

일 예를 들어, 도 41은 테스트 데이터의 스캔 패턴들에 대해 쉬프트 주파수를 최적화하지 않은 경우(4100)와 스캔 패턴들을 스캔 섹션으로 분할하여 쉬프트 주파수를 최적화한 경우(4110)의 스캔 쉬프트 동작 시 동일한 IC 칩의 발열 차이를 보여준다. 즉, 쉬프트 주파수가 최적화되지 않은 테스트 데이터를 사용한 경우(4100) 보다 쉬프트 주파수가 최적화된 스캔 섹션을 사용한 경우(4110)가 보다 균형 있게 높은 발열이 발생된 것을 볼 수 있다.For example, FIG. 41 shows the same scan shift operation in the case where the shift frequency is not optimized for the scan patterns of the test data ( 4100 ) and when the shift frequency is optimized by dividing the scan patterns into scan sections ( 4110 ). It shows the difference in heat generation of the IC chip. That is, it can be seen that the case of using the scan section with the optimized shift frequency ( 4110 ) generates more evenly high heat generation than the case of using the test data whose shift frequency is not optimized ( 4100 ).

즉, 스캔 패턴의 비트패턴에 의해 활성화되는 IC 칩의 서로 다른 부위에 대해 보다 균형 있게 스트레스를 최대한 인가하여 번인 테스트의 속도뿐만 아니라 품질 또한 향상 시킬 수 있는 효과가 있다. 칩을 테스트하기 위한 테스트 데이터의 각 스캔 섹션의 사용 가능한 최대 주파수를 이용하여 번인 테스트 시간을 줄이거나 품질을 높일 수 있다.That is, it is possible to improve not only the speed of the burn-in test but also the quality by applying the maximum amount of stress in a more balanced way to the different parts of the IC chip activated by the bit pattern of the scan pattern. The maximum usable frequency of each scan section of the test data to test the chip can be used to reduce burn-in test time or improve quality.

도 37 및 도 38은 본 발명의 최소한 하나의 실시예에 따른 번인 테스트 장치의 구성을 도시한 블록도이다.37 and 38 are block diagrams illustrating the configuration of a burn-in test apparatus according to at least one embodiment of the present invention.

도 37 및 도 38를 참조하면, 번인 테스트 장치는 호스트 컴퓨터(3700,3800), 테스터 본체(3710,3810), 테스트 헤드(3720,3820), 인터페이스 보드(3730,3830), 온도 제어부(3760,3870), 챔버(3750,3860) 및 프로버(3850)를 포함할 수 있다.37 and 38 , the burn-in test apparatus includes host computers 3700 and 3800 , tester bodies 3710 and 3810 , test heads 3720 and 3820 , interface boards 3730 and 3830 , and a temperature controller 3760 , 3870 ), chambers 3750 and 3860 , and a prober 3850 .

테스트를 위해 인터페이스 보드에 위치하는 테스트 대상 디바이스(DUT, Device Under Test)는 웨이퍼 상의 IC 또는 패키징된 IC 칩 등이다. DUT가 웨이퍼 상의 IC 칩인 경우 프로버를 더 포함할 수 있다.A device under test (DUT) positioned on the interface board for testing is an IC on a wafer or a packaged IC chip. If the DUT is an IC chip on a wafer, it may further include a prober.

테스터 본체(3710,3810)는 스캔 테스트와 번인 테스트를 전체적으로 제어할 수 있다. 예를 들어, 테스터 본체는 DUT 테스트를 위한 셋업, DUT 테스트를 위한 전기적 신호의 발생, DUT 테스트 결과 신호의 관측 및 측정, 온도 제어부를 통한 챔버의 온도제어 등의 전반적인 과정을 제어한다. 테스터 본체는 중앙처리장치(CPU), 메모리, 하드 디스크, 사용자 인터페이스 등을 포함하는 컴퓨터로 구현될 수 있다. 실시 예에 따라 DUT에 전원을 공급하는 디바이스 파워 공급장치(Device Power Supply)를 더 포함할 수도 있다. 또한, 테스터 본체는 각종 디지털 신호를 처리하는 신호처리 프로세서(DSP, Digital Signal Processor)(미도시)와 테스트 헤드를 제어하고, DUT(3740,3840)로 신호를 인가하는 제어기 및 신호 생성기 등의 전용 하드웨어, 소프트웨어 또는 펌웨어 등을 포함할 수 있다. 테스터 본체는 메인 프레임 또는 서버라고 불리기도 한다.The tester body 3710 and 3810 may control the scan test and the burn-in test as a whole. For example, the tester body controls overall processes such as setup for DUT testing, generation of electrical signals for DUT testing, observation and measurement of DUT test result signals, and temperature control of a chamber through a temperature controller. The tester body may be implemented as a computer including a central processing unit (CPU), memory, a hard disk, a user interface, and the like. According to an embodiment, a device power supply for supplying power to the DUT may be further included. In addition, the tester body controls a signal processing processor (DSP, Digital Signal Processor) (not shown) that processes various digital signals and a test head, and a controller that applies signals to the DUTs (3740, 3840) and a signal generator, etc. It may include hardware, software or firmware and the like. The tester body is also called mainframe or server.

호스트 컴퓨터(3700,3800)는 퍼스널 컴퓨터, 워크스테이션 등과 같은 컴퓨터일 수 있으며, 사용자가 테스트 프로그램을 실행시키고 테스트 과정을 제어하며 테스트 결과를 분석할 수 있도록 하는 장치이다. 일반적으로 호스트 컴퓨터는 중앙 처리장치, 메모리 또는 하드 디스크와 같은 저장장치, 사용자 인터페이스 등과 같은 구성을 포함할 수 있으며, 테스터 본체와 유선 또는 무선 통신으로 연결될 수 있다. 호스트 컴퓨터는 테스트를 제어하기 위한 전용 하드웨어, 소프트웨어, 펌웨어 등을 포함할 수 있다. 본 실시 예는 호스트 컴퓨터와 테스터 본체를 구분하여 도시하였으나, 호스트 컴퓨터와 테스터 본체는 하나의 장치로 구현될 수 있다.The host computers 3700 and 3800 may be computers such as personal computers and workstations, and are devices that allow a user to execute a test program, control a test process, and analyze test results. In general, the host computer may include a central processing unit, a storage device such as a memory or hard disk, a user interface, and the like, and may be connected to the tester body through wired or wireless communication. The host computer may include dedicated hardware, software, firmware, etc. for controlling the test. Although the present embodiment shows the host computer and the tester body separately, the host computer and the tester body may be implemented as a single device.

테스터 본체 또는 호스트 컴퓨터의 메모리의 일 예로 DRAM, SRAM, 플래쉬 메모리 등이 사용될 수 있으며, 메모리에는 DUT 테스트를 수행하기 위한 프로그램과 데이터가 저장될 수 있다.As an example of the memory of the tester body or the host computer, DRAM, SRAM, flash memory, etc. may be used, and a program and data for performing a DUT test may be stored in the memory.

테스터 본체 또는 호스트 컴퓨터의 소프트웨어 또는 펌웨어는 번인 테스트 또는 스캔 테스트를 위한 디바이스 드라이버 프로그램, 운영체제(OS, Operating System) 프로그램, DUT 테스트를 수행하는 프로그램이다. 프로그램은, 일 예로, DUT 테스트를 위한 셋업, DUT 테스트를 위한 신호의 발생, DUT 테스트 결과 신호의 관측 분석 등의 수행을 위한 명령 코드(instruction code) 형태로 메모리에 저장되어 중앙 처리장치에 의해 수행될 수 있다. 따라서 스캔 테스트 패턴은 이러한 프로그램에 의해 DUT로 인가될 수 있다. 또한 DUT 테스트 및 테스트 결과에 대한 리포팅 및 분석 데이터를 프로그램을 통해 자동 수행하여 얻을 수 있다. 프로그램에 사용되는 언어는 C, C++, 자바(java) 등 다양한 언어가 사용될 수 있다. 프로그램은 하드디스크, 자기 테이프 또는 플래시 메모리 등과 같은 저장장치에 저장될 수 있다.Software or firmware of the tester body or host computer is a device driver program for burn-in or scan test, an operating system (OS) program, and a program for performing DUT tests. The program, for example, is stored in the memory in the form of an instruction code for performing setup for DUT test, generation of a signal for DUT test, observation analysis of the DUT test result signal, etc., and is executed by the central processing unit can be Therefore, the scan test pattern can be applied to the DUT by such a program. In addition, reporting and analysis data for DUT tests and test results can be obtained by automatically performing through the program. As a language used for the program, various languages such as C, C++, and Java may be used. The program may be stored in a storage device such as a hard disk, magnetic tape or flash memory.

테스터 본체 또는 호스트 컴퓨터의 중앙 처리장치는 프로세서로서, 메모리에 저장된 소프트웨어 또는 프로그램의 코드를 실행한다. 예를 들어, 키보드나 마우스 등과 같은 사용자 인터페이스를 통해 사용자 명령을 받으면, 중앙 처리장치는 사용자의 명령을 분석하고 이를 소프트웨어 또는 프로그램을 통해 수행한 후 그 결과를 스피커, 프린터, 모니터 등의 사용자 인터페이스를 통해 사용자에게 제공한다.The tester main body or the central processing unit of the host computer is a processor, and executes the code of the software or program stored in the memory. For example, when a user command is received through a user interface such as a keyboard or mouse, the central processing unit analyzes the user's command, executes it through software or a program, and displays the result through a user interface such as a speaker, printer, monitor, etc. provided to users through

테스터 본체 또는 호스트 컴퓨터의 사용자 인터페이스는 사용자와 장치 간에 정보를 주고받고 명령을 전달할 수 있도록 해준다. 예를 들어, 키보드, 터치 스크린, 마우스, 음성인식 장치 등과 같은 사용자 입력을 위한 인터페이스 장치와, 스피커, 프린터, 모니터 등과 같은 출력 인터페이스 장치 등이 있다.The user interface of the tester body or host computer allows the exchange of information and the transfer of commands between the user and the device. For example, there are an interface device for user input such as a keyboard, a touch screen, a mouse, and a voice recognition device, and an output interface device such as a speaker, a printer, and a monitor.

테스트 헤드(3720,3820)는 테스터 본체와 DUT 사이에 전기적 신호 전송을 위한 채널 등을 포함한다. 테스트 헤드 상부에는 인터페이스 보드가 구비된다. 일반적으로, 패키징된 IC 칩 테스트에 사용되는 인터페이스 보드를 로드 보드(load board)라고 하며, 웨이퍼 상의 IC 칩 테스트에 사용되는 인터페이스 보드를 프로브 카드(probe card)라고 한다.The test heads 3720 and 3820 include channels for transmitting electrical signals between the tester body and the DUT. An interface board is provided above the test head. In general, an interface board used for testing a packaged IC chip is called a load board, and an interface board used for testing an IC chip on a wafer is called a probe card.

챔버(3750,3860)는 DUT에 노후화(aging)를 가할 수 있는 공간이다. 챔버는 온도 제어부의 제어에 따라 챔버 내에 위치한 DUT의 온도를 제어한다. 또한 온도 제어부는 테스터 본체 또는 호스트 컴퓨터에 포함될 수 있다. 또한 테스터 본체 또는 호스트 컴퓨터는 DUT에 대한 번인 테스트 시간 또는 공급 전압을 제어할 수 있다.Chambers 3750 and 3860 are spaces for aging the DUT. The chamber controls the temperature of the DUT located in the chamber according to the control of the temperature controller. In addition, the temperature control unit may be included in the tester body or the host computer. The tester body or host computer can also control the burn-in test time or supply voltage to the DUT.

도 37 및 도 38의 번인 테스트 장치는 본 발명의 이해를 돕기 위한 하나의 예에 지나지 아니하며 각각의 구성을 통합하여 일체형으로 구현하거나, 하나의 구성을 다수의 구성으로 분리하여 구현할 수 있는 등 실시 예에 따라 다양하게 설계 변경 가능하다.The burn-in test apparatus of FIGS. 37 and 38 is only one example to help the understanding of the present invention, and each configuration can be integrated and implemented as an integrated body, or one configuration can be implemented by separating it into a plurality of configurations. Various design changes are possible according to

또한, 도 37 및 도 38에 도시된 실시 예는 번인 테스트와 스캔 테스트를 동시에 수행하거나, 이 중 하나만을 수행하도록 구현될 수도 있다.In addition, the embodiment shown in FIGS. 37 and 38 may be implemented to simultaneously perform the burn-in test and the scan test, or to perform only one of them.

본 발명의 최소한 하나의 실시예에서, 번인 테스트 장치는 앞서 설명한 바와 같이 각 스캔 섹션별 최적의 쉬프트 주파수를 사용하여 번인 테스트를 수행할 수 있다. 본 발명의 최소한 하나의 실시예에서, 이때 칩의 정상 여부를 판단하는 테스트도 함께 수행될 수 있다.In at least one embodiment of the present invention, the burn-in test apparatus may perform the burn-in test using an optimal shift frequency for each scan section as described above. In at least one embodiment of the present invention, at this time, a test for determining whether the chip is normal may also be performed.

본 발명의 최소한 하나의 실시예에서, 번인 테스트 장치는 앞서 설명한 바와 같이 각 스캔 패턴 또는 스캔 섹션에 대해 최적 쉬프트 주파수를 사용하여 스캔 테스트와 함께 번인 테스트를 수행할 수 있다. IC 칩은 기능 모드보다 스캔 모드에서 보다 많은 IC 칩 회로 부분에 스위칭 동작이 발생하므로, 스캔 테스트 수행을 통해 노후화를 더욱 가속시켜 번인 테스트 시간을 절약할 수 있다. 또한 분할된 각 스캔 섹션에 할당 가능한 최대 쉬프트 주파수를 사용하여 번인 테스트를 수행하면 번인 테스트 시간을 더욱 줄일 수 있을 뿐만 아니라, 특정 스캔 패턴에 의해 회로상의 특정 부위만 노후화가 빨라지는 현상 또한 줄일 수 있다. 즉 IC 칩에 대해 전체적으로 균형 있게 스트레스를 최대한 인가하여 번인 테스트의 품질 또한 향상시킬 수 있는 효과가 있으며, 최적화된 쉬프트 주파수를 사용하는 스캔 섹션의 길이를 작게 할수록 그 효과는 더욱 높아질 수 있다.In at least one embodiment of the present invention, the burn-in test apparatus may perform the burn-in test together with the scan test using an optimal shift frequency for each scan pattern or scan section as described above. In the IC chip, since more switching operations occur in the IC chip circuit part in the scan mode than in the functional mode, it is possible to further accelerate the aging process by performing the scan test, thereby saving burn-in test time. In addition, if the burn-in test is performed using the maximum shift frequency that can be assigned to each divided scan section, the burn-in test time can be further reduced, and the aging of only a specific part of the circuit due to a specific scan pattern can be reduced. . That is, there is an effect that the quality of burn-in test can be improved by applying stress as much as possible in a balanced overall manner to the IC chip, and the effect can be increased as the length of the scan section using the optimized shift frequency is reduced.

또한, 본 발명은 번인 테스트와 함께 스캔 테스트를 동시에 수행하는 경우에 한정되는 것은 아니며, 번인 테스트시 스캔 패턴을 쉬프팅 하는 과정만 포함하고 스캔 테스트 그 자체는 수행하지 않을 수도 있다.In addition, the present invention is not limited to the case of simultaneously performing the scan test and the burn-in test, and only includes a process of shifting the scan pattern during the burn-in test and may not perform the scan test itself.

도 39는 본 발명의 최소한 하나의 실시예에 따라, 단일 스캔 쉬프트 주파수를 이용하여 번인 테스트를 수행하는 경우에 IC 칩에 미치는 온도 영향의 일 예를 도시한 개념도이다.39 is a conceptual diagram illustrating an example of the effect of temperature on an IC chip when a burn-in test is performed using a single scan shift frequency according to at least one embodiment of the present invention.

도 39를 참조하면, 복수 개의 스캔 패턴들은 모두 동일한 스캔 쉬프트 주파수(예를 들어, 25MHz)를 이용하여 IC 칩(3900)의 스캔 경로에 쉬프트된다. 각 스캔 패턴에 의해 IC 칩이 활성화되는 주요 부분은 상이할 수 있다. 예를 들어, 스캔 패턴1(3930)에 의해 활성화되는 IC 칩의 주요 부분(3910)과 스캔 패턴2(3932)에 의해 활성화되는 IC 칩의 주요 부분(3920)이 서로 상이할 수 있다.Referring to FIG. 39 , all of the plurality of scan patterns are shifted in the scan path of the IC chip 3900 using the same scan shift frequency (eg, 25 MHz). A main part in which the IC chip is activated by each scan pattern may be different. For example, the main part 3910 of the IC chip activated by the scan pattern 1 3930 and the main part 3920 of the IC chip activated by the scan pattern 2 3932 may be different from each other.

또한 각 스캔 패턴에 의해 IC 칩 상의 활성화되는 부분은 스캔 쉬프트 주파수나 스캔 패턴에 따른 회로의 스위칭 횟수 등에 따라 발생하는 열이 다를 수 있다. 예를 들어, 스캔 패턴1에 의해 활성화되는 IC 칩의 주요 부분(3910)의 온도는 a℃이고, 스캔 패턴2에 의해 활성화되는 IC 칩의 주요 부분(3920)의 온도는 b℃일 수 있다.In addition, the heat generated in the portion activated on the IC chip by each scan pattern may be different depending on the scan shift frequency or the number of times of circuit switching according to the scan pattern. For example, the temperature of the main part 3910 of the IC chip activated by the scan pattern 1 may be a°C, and the temperature of the main part 3920 of the IC chip activated by the scan pattern 2 may be b°C.

IC 칩에 보다 많은 스트레스나 열을 발생시켜 번인 테스트의 노후화를 가속시키기 위하여 쉬프트 주파수를 높일 수 있다. 그러나 쉬프트 주파수를 과도하게 높이는 경우 정상적인 IC 칩을 불량품으로 판정하는 오버킬(over kill) 문제점 등이 생길 수 있다. 반대로 쉬프트 주파수를 낮추는 경우 IC 칩에서 발생하는 스트레스나 열이 미비하여 번인 테스트의 노후화를 효율적으로 가속시키지 못하는 문제점이 있다.The shift frequency can be increased to accelerate the aging of burn-in tests by generating more stress or heat to the IC chip. However, if the shift frequency is excessively increased, an overkill problem of determining a normal IC chip as a defective product may occur. Conversely, when the shift frequency is lowered, there is a problem in that the aging of the burn-in test cannot be efficiently accelerated due to insufficient stress or heat generated in the IC chip.

도 40은 본 발명의 최소한 하나의 실시예에 따라, 스캔 패턴별 최적의 주파수를 이용하여 번인 테스트를 수행하는 경우에 IC 칩에 미치는 온도 영향의 일 예를 도시한 개념도이다. 도 39와 도 40은 같은 IC 칩과 같은 스캔 패턴을 사용한 예이다.40 is a conceptual diagram illustrating an example of the effect of temperature on an IC chip when a burn-in test is performed using an optimal frequency for each scan pattern according to at least one embodiment of the present invention. 39 and 40 are examples of using the same IC chip and the same scan pattern.

도 40을 참조하면, 스캔 패턴별로 최적의 쉬프트 주파수를 이용하여 스캔 경로에 쉬프트함으로써 IC 칩의 노후화를 가속화할 수 있다.Referring to FIG. 40 , aging of the IC chip can be accelerated by shifting the scan path using the optimal shift frequency for each scan pattern.

번인 테스트는 일반적으로 100℃가 넘는 고온 환경에서 수십 시간 이상 수행하므로 번인 테스트 시의 시간과 전력 소모는 테스트 비용을 증가시키게 된다. 즉, 일반적으로 IC 칩 테스트 서비스 회사는 테스트 시간에 비례하여 비용을 청구하므로 칩 테스트 소요시간은 칩 원가에 많은 영향을 미친다. 또한 번인 테스트에 사용되는 챔버에 형성되는 100℃가 넘는 고온은 일반적으로 전기를 사용하여 만들며 이를 위한 비용 또한 상당하며 테스트 서비스 회사의 비용과 칩 원가에 많은 영향을 미칠 수 있다.Since the burn-in test is generally performed for several tens of hours or more in a high temperature environment of over 100°C, the time and power consumption during the burn-in test increases the test cost. In other words, since IC chip test service companies generally charge a cost in proportion to the test time, the time required for the chip test has a great impact on the chip cost. In addition, the high temperature over 100°C formed in the chamber used for burn-in testing is generally made using electricity, and the cost for this is also significant, and can have a significant impact on the cost of the test service company and the cost of the chip.

따라서 번인 테스트 시간과 번인 테스트에 의해 소모되는 전력을 줄이는 것은 테스트 비용 절감에 있어서 매우 중요하다. 또한 번인 테스트 시간을 줄이는 것은 제품의 시장 진입 시간에 있어서도 매우 중요할 수 있다.Therefore, reducing the burn-in test time and power consumed by the burn-in test is very important in reducing test cost. Reducing burn-in test time can also be critical to a product's time-to-market.

예를 들어, 도 39의 스캔 패턴1(3930)의 최대 가능한 스캔 쉬프트 주파수가 25MHz이고 스캔 패턴2(3932)의 쉬프트 주파수는 더 높일 수 있는 경우, 도 40에서와 같이 스캔 패턴2(4032)의 쉬프트 주파수를 최적화하여 높여 도 39의 온도(b℃)보다 높은 온도(c℃)에 의해 IC 칩의 노후화를 더욱 가속화 시킬 수 있다.For example, when the maximum possible scan shift frequency of the scan pattern 1 3930 of FIG. 39 is 25 MHz and the shift frequency of the scan pattern 2 3932 can be higher, the scan pattern 2 4032 of FIG. By optimizing and raising the shift frequency, the aging of the IC chip can be further accelerated by the temperature (c°C) higher than the temperature (b°C) in FIG. 39 .

도 39 및 도 40는 설명의 편의를 위하여 스캔 패턴에 대해 쉬프트 주파수를 할당하여 스캔 경로에 쉬프트하는 경우를 설명하였으나, 도 5 내지 도 10과 같이 스캔 패턴을 적어도 둘 이상 스캔 섹션으로 나누어 서로 다른 쉬프트 주파수로 스캔 경로에 쉬프트 할 수 있다.39 and 40 describe a case in which a shift frequency is allocated to a scan pattern to shift a scan path for convenience of explanation. You can shift the scan path by frequency.

또한 예를 들어 번인 테스트 시간 또는 번인 테스트 품질을 예측가능 할 수 있도록, 테스트 대상 칩의 정션 온도(junction temperature)가 일정 범위 내에서 유지될 필요가 있다. 예를 들어 테스트 대상 디바이스 또는 IC 칩의 정션 온도는 식 3과 같은 관계에 의해 결정될 수 있다.Also, for example, the junction temperature of the chip under test needs to be maintained within a certain range so that the burn-in test time or burn-in test quality can be predicted. For example, the junction temperature of the device under test or the IC chip may be determined by the relation shown in Equation 3.

Figure 112021094937032-pat00003
Figure 112021094937032-pat00003

여기서, Tj는 테스트 대상 디바이스 또는 IC 칩의 정션 온도, Ta는 주변 환경의 온도(ambient temperature), P는 테스트 대상 디바이스 또는 IC 칩의 전력 소모, θja는 테스트 대상 디바이스 또는 IC 칩의 열 저항을 각각 나타낸다.Here, T j is the junction temperature of the device under test or IC chip, Ta is the ambient temperature, P is the power consumption of the device or IC chip under test, and θ ja is the heat of the device or IC chip under test. resistance respectively.

수학식 3을 참조하면, Tj의 제어도(controllability)는 Ta와 P의 제어도에 의존적이다. 예를 들어 Ta는 테스트 대상 디바이스 또는 IC 칩의 외부 환경의 온도를 제어하는 챔버 또는 써멀 척(thermal chuck) 등의 장치를 사용하여 적정 온도로 제어될 수 있다. 따라서, 칩의 번인 테스트 동안에 전력 소모 P를 제어하기 위한 방법이 필요하다. 예를 들어 칩의 번인 테스트 동안에 전력 소모의 변동(fluctuation)은 칩의 정션 온도(junction temperature) Tj에 상당히 영향을 줄 수 있으며, 칩의 신뢰도 검사 공정(reliability screening process)에 나쁘게 영향을 미칠 수 있다.Referring to Equation 3, the controllability of T j is dependent on the controllability of T a and P . For example, Ta may be controlled to an appropriate temperature using a chamber or a thermal chuck that controls the temperature of the external environment of the device under test or the IC chip. Therefore, there is a need for a method for controlling the power consumption P during burn-in testing of a chip. For example, fluctuations in power consumption during burn-in testing of a chip can significantly affect the junction temperature T j of the chip and adversely affect the reliability screening process of the chip. there is.

번인 테스트에 필요한 시간은 수학식 3의 정션 온도 Tj의 중앙값(median value)에 기초하여 예측될 수 있다. 예를 들면, 정션 온도는 도 42의 전력 소모 Pburn-in의 값에 의해 결정될 수 있다. Pburn -in은 테스트 데이터에 의한 전력 소모의 중앙값(median value) 또는 평균값이거나 양질의 번인 테스트 시 예측되는 전력 소모 값일 수 있다.The time required for the burn-in test may be predicted based on the median value of the junction temperature T j in Equation 3 . For example, the junction temperature may be determined by the value of the power consumption P burn-in of FIG. 42 . P burn - in may be a median value or an average value of power consumption by test data, or a power consumption value predicted during a good quality burn-in test.

도 42는 테스트 데이터의 전력 소모가 조정되기 전에 번인 테스트 동안 발생하는 전력 소모의 일 예를 나타낸 그래프이고, 도 43은 테스트 데이터의 전력 소모가 조정된 후의 번인 테스트 동안 발생하는 전력 소모의 일 예를 나타낸 그래프이다.42 is a graph illustrating an example of power consumption occurring during a burn-in test before power consumption of test data is adjusted, and FIG. 43 is an example of power consumption occurring during a burn-in test after power consumption of test data is adjusted. This is the graph shown.

도 42를 참조하면, 전력 소모가 Pburn -in 또는 마진이 반영된 Pmargin - high 보다 높게 되면 오버 번인(over burn-in) 상태가 발생 될 수 있다. 이것은 칩의 수율에 나쁜 영향을 줄 수 있다.Referring to FIG. 42 , when power consumption is higher than P burn - in or P margin - high to which the margin is reflected, an over burn-in state may occur. This can adversely affect the yield of the chip.

전력 소모가 Pburn -in 또는 마진이 반영된 Pmargin - low 보다 낮게 되면 언더 번인(under burn-in) 상태가 발생 될 수 있다. 이것은 잠재적인 결함(defect)이 있는 칩이 테스트 공정(test process)을 통과하는 상황을 만들 수 있다.If the power consumption is lower than P burn - in or P margin - low reflecting the margin, an under burn-in condition may occur. This can create a situation where a potentially defective chip passes through the test process.

따라서, 번인 시간과 번인 품질에 대한 예측이 정확하도록 테스트 데이터에 의한 전력 소모가 도 43과 같이 Pburn -in에 가까워질 필요가 있다. 즉, 테스트 데이터에 의한 전력소모의 변동이 크지 않도록 하여 IC 칩의 발열의 변이가 최소화될 필요가 있다.Therefore, power consumption by the test data needs to be close to P burn - in as shown in FIG. 43 in order to accurately predict the burn-in time and burn-in quality. That is, it is necessary to minimize the variation in the heat generation of the IC chip by making sure that the variation in power consumption due to the test data is not large.

번인 테스트 시의 전력 소모를 최적화하여 번인 시간을 줄이거나 예측 가능하도록 하고 번인 품질을 높일 수 있는 방법의 일 실시 예는 다음과 같다.An embodiment of a method of reducing or predicting burn-in time by optimizing power consumption during a burn-in test and improving burn-in quality is as follows.

단계 1Step 1

테스트 데이터를 적어도 둘 이상의 서브 데이터로 분할한다. 예를 들면, 도 43과 같이, 테스트 데이터는 테스트 시간 축을 기준으로 3개의 서브 데이터로 분할될 수 있다.The test data is divided into at least two sub data. For example, as shown in FIG. 43 , the test data may be divided into three sub data based on the test time axis.

단계 2Step 2

단계1에서 분할된 적어도 둘 이상의 각 서브 데이터의 전력 소모의 차이가 최소화되도록 각 서브 데이터를 칩에 입력하는데 사용되는 쉬프트 주파수를 찾거나 결정한다. 또는 각 서브 데이터에 의한 전력 소모가 번인 테스트를 위한 예측 전력 소모(또는 예측 전류 소모)와 근접하거나 같도록 각 서브 데이터를 칩에 입력하는데 사용하는 주파수를 찾거나 결정한다. 예를 들면, 도 43과 같이 각 서브 데이터의 주파수를 조정하여 테스트 데이터에 의한 전력 소모가 Pburn -in에 가깝도록 할 수 있다.A shift frequency used to input each sub data into a chip is found or determined so that a difference in power consumption of at least two or more sub data divided in step 1 is minimized. Alternatively, a frequency used to input each sub data into the chip is found or determined so that the power consumption by each sub data is close to or equal to the predicted power consumption (or predicted current consumption) for the burn-in test. For example, as shown in FIG. 43 , by adjusting the frequency of each sub data, power consumption by the test data may be close to P burn - in .

단계 3Step 3

각 서브 데이터별로 단계 2에서 찾거나 결정된 주파수를 사용하여 번인 테스트를 수행한다. 예를 들면, 도 43과 같이 각 서브 데이터 구간의 전력 소모가 Pburn -in에 가깝도록 번인 테스트를 수행할 수 있다.For each sub data, a burn-in test is performed using the frequency found or determined in step 2. For example, as shown in FIG. 43 , the burn-in test may be performed so that the power consumption of each sub data section is close to P burn - in .

단계 1 내지 단계 3의 서브 데이터는 스캔 섹션이거나 기능적 테스트 데이터(칩의 기능에 관한 테스트에 사용되는 데이터)이다.The sub data of steps 1 to 3 is a scan section or functional test data (data used for testing on the function of a chip).

단계 1 내지 단계 3의 각 단계는 실시 예에 따라 동일한 장치 또는 서로 다른 장치에서 각각 수행될 수 있으며, 예를 들어 테스트 장치 또는 컴퓨터 등의 장치에서 수행될 수도 있다.Each of steps 1 to 3 may be performed in the same device or in different devices, for example, in a device such as a test device or a computer, depending on the embodiment.

다른 실시 예로, 번인 테스트 시의 전력 소모를 최적화하여 번인 시간을 줄이거나 예측 가능하도록 하고 번인 품질을 높일 수 있는 또 다른 방법은 다음과 같다.As another embodiment, another method of reducing or predicting burn-in time by optimizing power consumption during a burn-in test and improving burn-in quality is as follows.

단계 1Step 1

테스트 데이터를 적어도 둘 이상의 서브 데이터로 분할한다.The test data is divided into at least two sub data.

단계 2Step 2

각 서브 데이터에 대해, 정상적인 칩의 테스트 결과가 정상으로 나타나는 최대 쉬프트 주파수를 찾거나 결정한다. 예를 들어, 최대 쉬프트 주파수는 테스트 시간을 최소화하기 위해 최적화된 주파수이거나 최대 쉬프트 주파수에 마진을 반영한 주파수일 수 있다.For each sub data, find or determine the maximum shift frequency at which the test result of a normal chip appears normal. For example, the maximum shift frequency may be a frequency optimized to minimize a test time or a frequency in which a margin is reflected in the maximum shift frequency.

단계 3Step 3

단계 2에서 각 서브 데이터에 대해 찾거나 결정된 최대 쉬프트 주파수를 사용하여 전력 소모나 전류 소모를 측정하거나 추정한다.In step 2, power consumption or current consumption is measured or estimated using the maximum shift frequency found or determined for each sub data.

단계 4Step 4

단계 3에서 측정되거나 추정된 전력 소모나 전류 소모가 최적의 번인 테스트를 위한 전력 소모의 기준보다 클 때가 있는 서브 데이터를 찾는다. 예를 들어, 최적의 번인 테스트를 위한 전력 소모의 기준은 도 42 또는 도 43에서 Pburn -in 또는 Pmargin-high 일수 있다.In step 3, we find sub data in which the measured or estimated power consumption or current consumption is greater than the power consumption criterion for the optimal burn-in test. For example, the criterion of power consumption for the optimal burn-in test may be P burn - in or P margin-high in FIG. 42 or FIG. 43 .

단계 5Step 5

단계 4에서 찾은 서브 데이터의 주파수를 낮추어서, 서브 데이터의 전력 소모가 최적의 번인 테스트를 위한 전력 소모 또는 전류 소모와 같거나 근접하도록 조정한다. 예를 들어, 최적의 번인 테스트를 위한 전력 소모의 기준은 도 42 또는 도 43에서 Pburn -in, Pmargin -high 또는 Pmargin -low 일 수 있다. 또한 단계 3에서 측정되거나 추정된 각 서브 데이터의 전력 소모나 전류 소모가 최적의 번인 테스트를 위한 전력 소모 또는 전류 소모보다 작을 때가 있다. 그러나 이때 해당하는 서브 데이터의 주파수를 최적의 번인 테스트를 위한 전력 소모 또는 전류 소모와 같거나 인접하도록 증가시키는 경우 테스트 실패가 발생할 수 있으므로 주의가 필요하다.By lowering the frequency of the sub data found in step 4, the power consumption of the sub data is adjusted to be equal to or close to the power consumption or current consumption for the optimal burn-in test. For example, the criterion of power consumption for the optimal burn-in test may be P burn -in , P margin -high or P margin -low in FIG. 42 or 43 . Also, there are times when the power consumption or current consumption of each sub data measured or estimated in step 3 is smaller than the power consumption or current consumption for the optimal burn-in test. However, caution is required because a test failure may occur if the frequency of the corresponding sub data is increased to be equal to or close to the power consumption or current consumption for the optimal burn-in test.

단계 6Step 6

단계 5에서 조정된 각 서브 데이터의 쉬프트 주파수를 사용하여 번인 테스트를 수행한다.A burn-in test is performed using the shift frequency of each sub data adjusted in step 5 .

발명의 최소한 하나의 실시예에서, 단계 1 내지 단계 6의 서브 데이터는 스캔 섹션이거나 기능적 테스트 데이터이다.In at least one embodiment of the invention, the sub data of steps 1 to 6 are scan sections or functional test data.

단계 1 내지 단계 6의 각 단계는 실시 예에 따라 동일한 장치 또는 서로 다른 장치에서 각각 수행될 수 있으며, 예를 들어 테스트 장치 또는 컴퓨터 등의 장치에서 수행될 수도 있다.Each of steps 1 to 6 may be performed in the same device or in different devices, for example, in a device such as a test device or a computer, depending on the embodiment.

다른 실시 예로, 원하는 전력 소모에 해당하는 주파수를 찾거나 결정하는 방법은 다음과 같다. 어떤 주파수를 사용하여 서브 데이터에 의해 소모되는 전력 소모 값을 측정하거나 추정한다. 그리고 수학식 2와 같이 전력 소모와 주파수 사이의 관계식을 사용하여 α x C x Vdd 2 에 대한 상수 값을 계산한다. 그리고 상수 값과 원하는 전력 소모 값을 수학식 2에 대입하여 찾고자 하는 주파수 값이 계산될 수 있다.As another embodiment, a method of finding or determining a frequency corresponding to a desired power consumption is as follows. A certain frequency is used to measure or estimate the power consumption value consumed by the sub data. And using the relation between power consumption and frequency as in Equation 2, α x C x V dd 2 Calculate the constant value for And a frequency value to be found may be calculated by substituting a constant value and a desired power consumption value into Equation (2).

발명의 최소한 하나의 실시예에서, 주파수를 증가 또는 감소하면서 서브 데이터에 의해 소모되는 전력 소모를 측정하거나 추정하여 원하는 주파수를 찾거나 결정할 수 있다.In at least one embodiment of the present invention, a desired frequency may be found or determined by measuring or estimating power consumption consumed by sub data while increasing or decreasing the frequency.

발명의 최소한 하나의 실시예에서, 서브 데이터에 의해 소모되는 전력 소모는 전력 또는 전류 소모를 측정하거나 추정하는 장치 또는 소프트웨어를 사용하여 측정하거나 추정할 수 있다.In at least one embodiment of the invention, the power consumption consumed by the sub data may be measured or estimated using a device or software that measures or estimates power or current consumption.

도 44는 본 발명의 최소한 하나의 실시예에 따른 번인 테스트의 시간 최소화를 위하여 각 스캔 섹션별 최적의 쉬프트 주파수를 찾는 방법의 일 예를 도시한 흐름도이다.44 is a flowchart illustrating an example of a method for finding an optimal shift frequency for each scan section in order to minimize a burn-in test time according to at least one embodiment of the present invention.

도 44를 참조하면, 번인 테스트 시간 최소화 장치는 하나 이상의 스캔 패턴을 적어도 둘 이상의 스캔 섹션으로 분할한다(S4400). 스캔 패턴의 분할의 일 예로 도 5 내지 도 10에 도시된 방법을 사용할 수 있다. 번인 테스트 시간 최소화 장치는 복수 개의 쉬프트 주파수를 각 스캔 섹션에 할당한다(S4410). 여기서 각 스캔 섹션에 할당된 쉬프트 주파수의 값은 스캔 경로의 출력 패턴이 예측 패턴과 상이해지는 쉬프트 주파수보다 작은 값이다. 그리고 번인 테스트 시간 최소화 장치는 각 스캔 섹션별 할당된 쉬프트 주파수를 이용하여 해당 스캔 섹션을 쉬프트하면서 번인 테스트를 수행한다(S4420).Referring to FIG. 44 , the burn-in test time minimization apparatus divides one or more scan patterns into at least two or more scan sections ( S4400 ). As an example of division of the scan pattern, the method shown in FIGS. 5 to 10 may be used. The burn-in test time minimization apparatus allocates a plurality of shift frequencies to each scan section (S4410). Here, the value of the shift frequency allocated to each scan section is a value smaller than the shift frequency at which the output pattern of the scan path is different from the prediction pattern. Then, the burn-in test time minimization apparatus performs the burn-in test while shifting the scan section by using the shift frequency allocated to each scan section ( S4420 ).

스캔 패턴의 스캔 섹션으로의 분할(S4400)과 쉬프트 주파수의 스캔 섹션 할당(S4410), 번인 테스트의 수행(S4420) 등은 실시 예에 따라 동일한 장치 또는 서로 다른 장치에서 각각 수행될 수 있다.The division of the scan pattern into scan sections (S4400), the assignment of the shift frequency to the scan section (S4410), and the execution of the burn-in test (S4420) may be performed in the same device or in different devices, respectively, according to an embodiment.

번인 테스트 시간 최소화 장치는 쉬프트 주파수의 증감에 따라 출력 패턴과 예측 패턴이 달라지기 바로 이전의 쉬프트 주파수를 찾거나 해당 스캔 섹션에 할당 가능한 최대 쉬프트 주파수로 파악할 수 있다. 실시 예에 따라 각 스캔 섹션은 쉬프트 주파수의 증감을 통해 찾은 최대 쉬프트 주파수보다 작은 쉬프트 주파수를 할당 받을 수도 있다.The burn-in test time minimization apparatus can find the shift frequency just before the output pattern and the predicted pattern change according to the increase or decrease of the shift frequency, or find it as the maximum shift frequency allocable to the scan section. According to an embodiment, each scan section may be allocated a shift frequency smaller than the maximum shift frequency found through the increase or decrease of the shift frequency.

본 발명의 번인 테스트를 위하여 각 스캔 섹션별 최적의 쉬프트 주파수를 찾는 방법으로 앞에서 살핀 여러 실시 예를 이용할 수 있다. 예를 들어, 번인 테스트 시간 최소화 장치는 도 12 내지 도 33에 도시된 방법을 수행하여 각 스캔 섹션별 최적의 쉬프트 주파수를 찾을 수 있다. 또한, 도 36에 도시된 스캔 패턴의 배치 순서를 바꾸는 방법 또한 번인 테스트 시간 감소 및 번인 테스트 품질 향상을 위해 적용할 수 있다.For the burn-in test of the present invention, various embodiments discussed above may be used as a method of finding an optimal shift frequency for each scan section. For example, the burn-in test time minimization apparatus may find an optimal shift frequency for each scan section by performing the method shown in FIGS. 12 to 33 . In addition, the method of changing the arrangement order of the scan patterns shown in FIG. 36 may also be applied to reduce burn-in test time and improve burn-in test quality.

도 45는 본 발명의 최소한 하나의 실시예에 따른 번인 테스트 시간 최소화 장치의 일 예를 도시한 블록도이다.45 is a block diagram illustrating an example of an apparatus for minimizing burn-in test time according to at least one embodiment of the present invention.

도 45를 참조하면, 번인 테스트 시간 최소화 장치는 챔버 제어부(4500), 쉬프팅부(4510), 쉬프트 주파수 파악부(4520)를 포함한다.Referring to FIG. 45 , the burn-in test time minimization apparatus includes a chamber control unit 4500 , a shifting unit 4510 , and a shift frequency determining unit 4520 .

챔버 제어부(4500)는 검사 대상 IC 칩에 공급되는 전압, 온도, 번인 테스트 시간 등을 제어한다.The chamber controller 4500 controls voltage, temperature, burn-in test time, etc. supplied to the IC chip to be tested.

쉬프트 주파수 파악부(4520)는 번인 테스트 시에 IC 칩의 스캔 경로에 쉬프팅 되는 최적의 쉬프트 주파수를 스캔 섹션별로 파악한다. 예를 들어, 쉬프트 주파수 파악부(4520)는 앞서 설명한 다양한 실시 예들 중 적어도 하나 이상을 기초로 스캔 섹션별 최적의 쉬프트 주파수를 결정할 수 있다. 또한 최적의 쉬프트 주파수 파악은 번인 테스트 시간 최소화 장치뿐만 아니라 별도의 장치에서 수행되어 파악 또는 결정될 수 있으며, 파악 또는 결정된 쉬프트 주파수는 쉬프트 주파수 파악부(4520)에 의해 사용될 수도 있다.The shift frequency determiner 4520 identifies an optimal shift frequency shifted in the scan path of the IC chip for each scan section during the burn-in test. For example, the shift frequency determiner 4520 may determine an optimal shift frequency for each scan section based on at least one of the various embodiments described above. In addition, the optimal shift frequency may be identified or determined by performing not only in the burn-in test time minimization device but also in a separate device, and the determined or determined shift frequency may be used by the shift frequency determining unit 4520 .

쉬프팅부(4510)는 챔버 제어부(4500)에 의해 번인 테스트가 수행되는 동안에, 쉬프트 주파수 파악부(4520)에 의해 파악된 최적의 쉬프트 주파수를 이용하여 스캔 경로에 스캔 섹션을 쉬프트함으로써 번인 테스트 시간을 최소화한다.While the burn-in test is performed by the chamber controller 4500, the shifting unit 4510 shifts the scan section in the scan path using the optimal shift frequency identified by the shift frequency determining unit 4520 to reduce the burn-in test time. Minimize

발명의 최소한 하나의 실시예에서, 스캔 섹션별 최적화된 주파수를 사용하여 번인 테스트만을 수행하거나 번인 테스트와 함께 칩의 정상 여부 테스트를 함께 수행할 수 있다. 번인 테스트 시간 최소화 장치는 번인 테스트와 함께 앞서 살핀 스캔 테스트를 함께 수행할 수 있다.In at least one embodiment of the present invention, only the burn-in test may be performed using a frequency optimized for each scan section, or a chip normality test may be performed together with the burn-in test. The burn-in test time minimization device can perform the previously salpin scan test together with the burn-in test.

번인 테스트 시간 최소화 장치는 도 37 및 도 38에서 살핀 번인 테스트 장치의 일부로 구현될 수도 있다. 발명의 최소한 하나의 실시예에서, 스캔 섹션별 최적화된 주파수를 사용하여 번인 테스트만을 수행하거나 번인 테스트와 함께 칩의 정상여부 테스트를 함께 수행할 수 있다. 예를 들어, 스캔 섹션별 최적화된 쉬프트 주파수가 할당된 스캔 패턴 집합을 사용하여 번인 테스트만을 수행하거나 번인 테스트와 스캔 테스트를 함께 수행할 수 있다.The burn-in test time minimization apparatus may be implemented as a part of the salpin burn-in test apparatus in FIGS. 37 and 38 . In at least one embodiment of the present invention, only the burn-in test may be performed using a frequency optimized for each scan section, or a chip normality test may be performed together with the burn-in test. For example, only the burn-in test or both the burn-in test and the scan test can be performed using a scan pattern set to which an optimized shift frequency is allocated for each scan section.

번인 테스트 시간 최소화 장치는 도 36에 도시된 스캔 패턴의 재배치 방법을 이용하여 스캔 경로에 쉬프트되는 스캔 패턴들의 순서를 재배치할 수 있다. 이 경우, 스캔 패턴 집합상에서 재배치된 패턴 위치의 스캔 패턴의 쉬프트에 의해 IC 칩 상에서 회로의 스위칭 되는 부분 및 스위칭 동작 횟수가 재배치 전과 달라질 수 있으며, 이에 따라 전력 소모 등 회로의 동작 특성이 변경될 수 있다. 따라서, 스캔 패턴(또는 스캔 섹션)에 할당할 수 있는 쉬프트 주파수가 높아질 수도 있다. 따라서 이러한 성질을 이용하여 스캔 패턴 재배치 후 앞서 살핀 본 발명의 실시 예를 이용하여 스캔 섹션별 최적의 쉬프트 주파수를 찾거나 결정하여 전체적인 번인 테스트 시간을 더욱 줄이거나 테스트 품질을 높일 수도 있다. 또한 스캔 패턴의 재배치는 번인 테스트 시간 최소화 장치뿐만 아니라 컴퓨터 등의 별도의 장치에서 수행되어 번인 테스트 시간 최소화 장치에 의해 사용될 수도 있다.The apparatus for minimizing the burn-in test time may rearrange the order of shifted scan patterns in the scan path by using the scan pattern rearrangement method illustrated in FIG. 36 . In this case, the switched portion of the circuit and the number of switching operations on the IC chip may be different from those before the relocation due to the shift of the scan pattern at the relocated pattern position on the scan pattern set, and accordingly, the operating characteristics of the circuit such as power consumption may be changed. there is. Accordingly, the shift frequency that can be assigned to the scan pattern (or scan section) may be increased. Therefore, by using this property to find or determine the optimal shift frequency for each scan section by using the embodiment of the present invention previously examined after relocating the scan pattern, the overall burn-in test time may be further reduced or the test quality may be increased. In addition, relocation of the scan pattern may be performed not only in the burn-in test time minimization device but also in a separate device such as a computer, and may be used by the burn-in test time minimization device.

도 46은 MCU(Micro Control Unit) 프로세서 IC 칩과 IC 칩의 테스트 패턴을 사용한 실험 결과를 나타낸 표로, 쉬프트 주파수 결정 대상 스캔 섹션은 한 개의 스캔 패턴에 일대일 대응되는 경우이다. 도 46은 스캔 패턴에 의한 전력 소모가 IC 칩의 허용 가능한 전력 소모를 넘지 않으면서 최대한 가능한 쉬프트 주파수를 찾는 임계 전력 기반 방법(power-limit-based method) 및 전술한 본 발명의 쉬프트 주파수 증감 기반 방법(shift-frequency-scaling-based method)을 사용하여 각 스캔 패턴에 대해 찾은 최대 쉬프트 주파수를 보여준다.46 is a table showing experimental results using a micro control unit (MCU) processor IC chip and a test pattern of the IC chip. A scan section to be determined for a shift frequency corresponds to one scan pattern one-to-one. 46 is a power-limit-based method for finding the maximum possible shift frequency while power consumption by a scan pattern does not exceed the allowable power consumption of the IC chip and the shift frequency increase/decrease-based method of the present invention. (shift-frequency-scaling-based method) is used to show the maximum shift frequency found for each scan pattern.

도 46을 참조하면, 쉬프트 주파수 증감 기반 방법(Shift-frequency-scaling-based method)을 사용한 최적화는 도 12의 방법을 사용하였다. 또한 도 46을 참조하면, 임계 전력 기반 방법(power-limit-based method)과 쉬프트 주파수 증감 기반 방법(shift-frequency-scaling-based method)에 의한 최대 쉬프트 주파수 결과의 차이는 실제 IC 칩과 IC칩의 테스트 환경에서는 IC 칩의 전력소모 외에도 쉬프트 주파수에 영향을 줄 수 있는 회로 구조와 특징, 다양한 물리적 조건과 환경 등이 있기 때문이다.Referring to FIG. 46 , the optimization using the shift-frequency-scaling-based method was performed using the method of FIG. 12 . Also, referring to FIG. 46, the difference between the maximum shift frequency results by the power-limit-based method and the shift-frequency-scaling-based method is the actual IC chip and the IC chip. This is because, in addition to power consumption of the IC chip, there are circuit structures and features, various physical conditions and environments that can affect the shift frequency in the test environment.

도 46의 전력 소모 한계(power consumption limit)는 IC 칩의 기능적 주파수 한계인 80MHz로 IC 칩을 기능 모드에서 동작했을 때의 평균 전력 소모로서 약 285mW 이다.The power consumption limit of FIG. 46 is about 285 mW as the average power consumption when the IC chip is operated in the functional mode at 80 MHz, which is the functional frequency limit of the IC chip.

일반적으로 기능적 주파수 한계와 IC 칩이 손상될 수 있는 주파수 한계 또는 스캔 쉬프트 주파수 한계는 상이할 수 있다. 일 예를 들어, 주파수 한계는 스캔 테스트 또는 기능적 동작 모드에 의한 회로 동작 특성, 전력 소모(power consumption), 신호선 간 간섭(signal crosstalk) 영향, 임계 타이밍 경로(critical timing path) 등이 다를 수 있기 때문이다. 또한 회로상의 서로 다른 위치에 공급되는 전압 또는 전력의 차이 등의 다양한 제약을 받을 수도 있기 때문이다.In general, the functional frequency limit and the frequency limit or scan shift frequency limit at which the IC chip can be damaged can be different. For example, the frequency limit may be different from circuit operating characteristics, power consumption, signal crosstalk effect, critical timing path, etc. by scan test or functional mode of operation. am. In addition, it may be subject to various restrictions, such as a difference in voltage or power supplied to different locations on the circuit.

도 46의 첫 번째 열은 스캔 패턴 번호이고, 두 번째 열은 IC 칩의 누설 전류에 의한 전력 소모이다. 세 번째 열은 명목 쉬프트 주파수 25MHz를 사용한 스캔 쉬프트에 의해 소모되는 동적(dynamic) 전력 소모이다. 네 번째 열은 두 번째 열과 세 번째 열의 합이며 명목 쉬프트 주파수 25MHz를 사용하였을 때 각 스캔 패턴 당 전체 전력 소모이다. 다섯 번째 열은 전력 소모 한계치 285mW를 넘지 않으면서 각 스캔 패턴의 최대로 가능한 쉬프트 주파수이다.The first column of FIG. 46 is the scan pattern number, and the second column is the power consumption due to the leakage current of the IC chip. The third column is the dynamic power dissipation consumed by the scan shift using a nominal shift frequency of 25 MHz. The fourth column is the sum of the second and third columns, and is the total power consumption for each scan pattern using a nominal shift frequency of 25 MHz. The fifth column is the maximum possible shift frequency of each scan pattern without exceeding the power consumption limit of 285mW.

여섯 번째 열은 각 스캔 패턴에 대해 다섯 번째 열의 쉬프트 주파수로 테스트 했을 경우 MCU IC 칩 테스트 결과로서 테스트 정상 또는 실패를 나타낸다.The sixth column is the MCU IC chip test result when tested with the shift frequency of the fifth column for each scan pattern, indicating test success or failure.

일곱 번째 열은 전술한 본 발명의 방법에 의한 쉬프트 주파수 증감 방법을 사용하여 찾은 최대 쉬프트 주파수이며, 모두 테스트 결과 정상이다.The seventh column is the maximum shift frequency found using the shift frequency increase/decrease method according to the method of the present invention, and all of the test results are normal.

여덟 번째 열은 임계 전력 기반 방법(power-limit-based method)에 의한 결과인 다섯 번째 열 대비 쉬프트 주파수 증감 기반 방법(shift-frequency-scailing-based method)에 의한 결과인 일곱 번째 열에 대한 증감 비율(%)을 보여준다.The eighth column shows the increase/decrease ratio ( %) is shown.

도 46을 참조하면, 임계 전력 기반 방법(power-limit-based method)으로 스캔 테스트가 정상적으로 수행되지 않은 여섯 번째 스캔 패턴의 경우를 제외하고 평균 약 30% 이상으로 쉬프트 주파수 증감 기반 방법(Shift-frequency-scaling-based method)의 쉬프트 주파수가 높음을 볼 수 있다. 예를 들어, 쉬프트되는 비트 패턴에 따라 거짓(false) 크리티컬 패스의 경우가 생기거나 테스트 결과에 영향을 주지 않는 돈케어(don't-care)비트에 해당되는 스캔 패턴 상의 비트 등 다양한 이유가 있을 수 있다.Referring to FIG. 46 , the shift-frequency increase/decrease-based method is an average of about 30% or more, except for the case of the sixth scan pattern in which the scan test is not normally performed by the power-limit-based method. It can be seen that the shift frequency of the -scaling-based method) is high. For example, depending on the shifted bit pattern, a false critical pass may occur or there may be various reasons such as a bit on the scan pattern that is a don't-care bit that does not affect the test result. can

도 46에서 여섯 번째 스캔 패턴의 경우처럼, 스캔 패턴에 의해 소모되는 전력 소모가 IC 칩의 허용 가능한 전력 소모를 넘지 않도록 하는 쉬프트 주파수를 사용 하더라도 IC 칩을 정상적으로 테스트 할 수 없는 경우도 생길 수 있음을 알 수 있다. 이러한 이유는 쉬프트 주파수 한계는 전력소모(power consumption) 뿐만 아니라 IC 칩의 회로 구조에 의한 임계 타이밍 경로(critical timing path)의 신호 지연시간, 신호 간섭(signal crosstalk), 회로상의 서로 다른 위치에 공급되는 전압 또는 전력의 차이, 신호 또는 전력 노이즈, 칩 제조 공정변이 및 회로의 물리적 특성 등의 다양한 영향을 받을 수 있기 때문이다. 또한 테스트 대상 칩의 주변 온도, 칩과 칩 테스트 장비의 연결 상태 등 테스트 환경 및 조건의 영향 또한 받을 수 있기 때문이다.As in the case of the sixth scan pattern in FIG. 46, even if a shift frequency is used so that the power consumption by the scan pattern does not exceed the allowable power consumption of the IC chip, there may be cases in which the IC chip cannot be tested normally. Able to know. The reason for this is that the shift frequency limit is not only due to power consumption, but also signal delay time of the critical timing path due to the circuit structure of the IC chip, signal crosstalk, This is because it may be affected by various factors such as voltage or power difference, signal or power noise, chip manufacturing process variation, and circuit physical characteristics. This is because the test environment and conditions such as the ambient temperature of the test target chip and the connection state of the chip and the chip test equipment may also be affected.

또한 쉬프트 주파수 증감을 통해 최적의 쉬프트 주파수를 파악하는 과정에서 스캔 섹션 또는 스캔 패턴의 비트 값이 쉬프트인되는 동안에 의도하지 않게 바뀌어 스캔 경로에 로드되더라도, IC 칩 회로의 구조에 따라 스캔 캡쳐 동작 후의 결과 패턴이 스캔 경로 상에 정상적인 비트 패턴으로 나타날 수도 있다.In addition, even if the bit value of the scan section or scan pattern is unintentionally changed and loaded into the scan path during shift in the process of determining the optimal shift frequency through shift frequency increase or decrease, the result after the scan capture operation according to the structure of the IC chip circuit The pattern may appear as a normal bit pattern on the scan path.

따라서 쉬프트 주파수 증감을 통해 스캔 경로에 스캔 섹션을 로드하고 스캔 캡쳐를 하기 전에, IC 칩의 주 출력 포트의 출력 결과를 예측 결과와 비교하고 주 출력 결과가 정상(pass)인지 확인하여 보다 정확한 최적 쉬프트 주파수를 찾을 수 있다.Therefore, before loading the scan section into the scan path through shift frequency increase or decrease and scan capture, compare the output result of the main output port of the IC chip with the predicted result and check whether the main output result is pass, so that the optimal shift is more accurate. frequency can be found.

도 47은 IC 칩 테스트시 발생할 수 있는 테스트 페일 홀(fail hole)의 일 예를 나타낸 그래프이다.47 is a graph illustrating an example of a test fail hole that may occur during an IC chip test.

IC 칩을 테스트하기 위하여, 테스트 장치, 테스트 데이터 또는 테스트 프로그램을 셋업 하는 과정이 있다. 이때 정상적인 IC 칩이 고장이 없다고(fault-free) 판정되어야 하는 정상적인 쉬프트 주파수의 범위 내에서 비정상적인 테스트 실패가 발생할 수 있다. 이러한 비정상적인 테스트 실패(4700)를 테스트 페일 홀(fail hole), 테스트 주파수 페일 홀 또는 테스트 주파수의 주기의 페일 홀이라고 부른다.In order to test an IC chip, there is a process of setting up a test device, test data, or a test program. In this case, an abnormal test failure may occur within a range of a normal shift frequency in which a normal IC chip should be determined to be fault-free. This abnormal test failure 4700 is called a test fail hole, a test frequency fail hole, or a fail hole of the period of the test frequency.

도 47을 참조하면, IC 칩을 테스트할 때 30MHz에서 비정상적인 테스트 실패(4700)가 발생한 경우이다. 테스트 페일 홀은 IC 칩의 양산 테스트를 불안정하게 할 수 있고, 수율에 나쁜 영향을 줄 수 있으므로 제거하는 것이 바람직하다.Referring to FIG. 47 , an abnormal test failure 4700 occurs at 30 MHz when testing an IC chip. The test fail hole may make the mass-production test of the IC chip unstable and may adversely affect the yield, so it is desirable to remove it.

도 48은 본 발명의 최소한 하나의 실시예에 따라 테스트 페일 홀 문제를 해결하는 방법의 일 예를 나타낸 그래프이다.48 is a graph illustrating an example of a method for solving a test fail hole problem according to at least one embodiment of the present invention.

도 48을 참조하면, 테스트 페일 홀(fail hole) 문제를 해결하기 위한 방법의 일 실시 예로서, 테스트 페일 홀(fail hole)이 발생되거나 페일 홀의 발생에 영향을 주는 특정 서브 데이터에 대한 테스트가 수행되지 않도록 하는 방법이 있다.Referring to FIG. 48 , as an embodiment of a method for solving a test fail hole problem, a test is performed on specific sub data in which a test fail hole is generated or has an effect on the occurrence of a fail hole There is a way to prevent that from happening.

예를 들면, 페일 홀이 발생한 서브 데이터에 대한 IC 칩의 테스트 출력 데이터가 예측 데이터와 비교되지 않도록 하는 방법이 있다. 이러한 방법은 테스트 데이터 마스킹 또는 테스트 데이터의 예측 결과 마스킹(expected result masking) 이라고 불릴 수 있다. 이하의 실시 예에서, 서브 데이터는 스캔 패턴, 스캔 섹션 또는 기능적 테스트 데이터를 의미한다. 테스트 데이터 마스킹 방법이 스캔 패턴에 적용된 경우를 스캔 패턴 마스킹(scan pattern masking) 또는 스캔 테스트의 예측 결과 마스킹(expected result masking) 이라고 부를 수 있다. 또 다른 예로, 테스트 페일 홀(fail hole)의 발생에 영향을 주는 서브데이터를 제거하거나 사용하지 않는 방법이 있다.For example, there is a method in which test output data of an IC chip for sub data in which a fail hole has occurred is not compared with predicted data. This method may be called test data masking or predicted result masking of test data. In the following embodiments, sub data means scan pattern, scan section, or functional test data. A case in which the test data masking method is applied to the scan pattern may be referred to as scan pattern masking or predicted result masking of a scan test. As another example, there is a method of removing or not using sub data affecting the generation of a test fail hole.

도 48의 경우에, 30MHz에서 페일 홀이 발생된 제2 서브 데이터를 찾아 마스킹(masking) 또는 제거할 수 있다. 그러나 서브 데이터를 마스킹(masking)하거나 제거하는 방법은 테스트 대상 IC의 고장 검출율(fault coverage)을 낮출 수 있다. 또한 서브 데이터를 마스킹(masking) 하거나 제거하는 방법으로 인해 고장이 있는(faulty) IC 칩이 고장이 없다고(fault-free) 테스트 판정될 수 있다. 그리고 이로 인해 고장이 있는(faulty) IC 칩이 필드에 나가게 되는 필드 이스케이프(field escape) 문제가 발생될 수 있다.In the case of FIG. 48 , the second sub data in which the fail hole is generated at 30 MHz may be found and masked or removed. However, a method of masking or removing the sub data may lower the fault coverage of the IC under test. Also, due to a method of masking or removing sub data, a faulty IC chip may be determined as a fault-free test. And this may cause a field escape problem in which a faulty IC chip goes out to the field.

따라서, 테스트 페일 홀(fail hole) 문제를 해결하기 위한 방법의 다른 일 실시 예로서, 페일 홀이 발생하거나 페일 홀의 발생에 영향을 주는 서브 데이터 및 페일 홀에 해당하는 주파수를 찾아서, 페일 홀이 발생하거나 페일 홀 발생에 영향을 주는 특정 서브 데이터에서 페일 홀이 발생하지 않는 주파수를 사용한다.Accordingly, as another embodiment of a method for solving the test fail hole problem, a fail hole is generated by finding sub data and a frequency corresponding to the fail hole that occur or affect the occurrence of the fail hole. Alternatively, a frequency at which a fail hole does not occur is used in the specific sub data that affects the fail hole generation.

도 49는 본 발명의 최소한 하나의 실시예에 따른 페일 홀 문제를 해결하는 방법의 흐름도이다.49 is a flowchart of a method for resolving a fail hole problem in accordance with at least one embodiment of the present invention.

도 49를 참조하면, 테스트 장치는 테스트 데이터를 구성하는 서브 데이터를 선택한다(S4900). 여기서 서브 데이터는 스캔 패턴 또는 스캔 섹션일 수 있다. 테스트 장치는 서브 데이터의 주파수를 증감하면서 IC 칩의 테스트를 수행하여 (S4910), IC 칩의 PASS 또는 FAIL 테스트 결과를 토대로 선택된 서브 데이터에 대해 사용 가능한 주파수 또는 페일 홀을 찾는다(S4920). 그리고 선택된 서브 데이터에 대해 페일 홀이 발생하지 않는 주파수를 사용하여 IC 칩을 테스트한다(S4930).49 , the test device selects sub data constituting the test data (S4900). Here, the sub data may be a scan pattern or a scan section. The test apparatus performs a test of the IC chip while increasing or decreasing the frequency of the sub data (S4910), and finds a frequency or a fail hole usable for the selected sub data based on the PASS or FAIL test result of the IC chip (S4920). Then, the IC chip is tested using a frequency at which a fail hole does not occur for the selected sub data (S4930).

예를 들어, 스캔 패턴 또는 스캔 섹션에 대해 페일 홀(fail hole)을 찾을 때 쉬프트 주파수 증감을 사용하여 스캔 패턴 또는 스캔 섹션의 사용 가능한 쉬프트 주파수를 찾는 앞서 설명한 다양한 방법이 사용될 수 있다.For example, when finding a fail hole for a scan pattern or scan section, various methods described above for finding an usable shift frequency of a scan pattern or scan section using shift frequency increment/decrement may be used.

도 50은 본 발명의 최소한 하나의 실시예에 따른 페일 홀 문제를 해결하는 방법의 다른 예를 도시한 도면이다.50 is a diagram illustrating another example of a method for solving a fail hole problem according to at least one embodiment of the present invention.

도 50을 참조하면, 테스트 페일 홀(fail hole)(5000)이 발생되는 제2 서브 데이터에는 25MHz 이하의 주파수가 사용될 수 있다. 여기서, 서브 데이터는 스캔 패턴, 스캔 섹션 또는 기능적 테스트 데이터일 수 있다.Referring to FIG. 50 , a frequency of 25 MHz or less may be used for second sub data in which a test fail hole 5000 is generated. Here, the sub data may be a scan pattern, a scan section, or functional test data.

제1 서브 데이터, 제2 서브 데이터, 제3 서브 데이터가 각각 제1 스캔 패턴, 제2 스캔 패턴 및 제3 스캔 패턴이라고 하자. 제1 스캔 패턴, 제2 스캔 패턴 및 제3 스캔 패턴은 순서대로 테스트 대상 IC 칩의 스캔 경로에 쉬프트된다. 제2 스캔 패턴 또는 제2 스캔 패턴에 포함된 스캔 섹션에 대한 테스트 페일 홀(fail hole)(5000)을 찾는 방법은 쉬프트 주파수 증감을 사용하여 스캔 패턴 또는 스캔 섹션의 사용 가능한 쉬프트 주파수를 찾는 이전 설명한 다양한 방법이 사용될 수 있다.It is assumed that the first sub data, the second sub data, and the third sub data are a first scan pattern, a second scan pattern, and a third scan pattern, respectively. The first scan pattern, the second scan pattern, and the third scan pattern are sequentially shifted in the scan path of the IC chip under test. The method of finding a test fail hole 5000 for a second scan pattern or a scan section included in the second scan pattern is the previously described method of finding an usable shift frequency of a scan pattern or scan section using shift frequency increments and decrements. Various methods can be used.

예를 들면 제2 스캔 패턴의 쉬프트 주파수를 증감하면서 제2 스캔 패턴의 앞 또는 뒤에 위치한 제1 스캔 패턴 또는 제3 스캔 패턴을 스캔 경로에 정상적으로 입력할 수 있는 주파수를 사용하여 쉬프트인한다. 그리고 스캔 테스트 결과를 이용하여 제2 스캔 패턴에 대한 페일 홀(fail hole) 및 사용 가능한 쉬프트 주파수 범위를 찾을 수 있다. 제2 스캔 패턴에 대한 페일 홀(fail hole) 또는 사용 가능한 주파수 범위를 찾을 때 사용하는 제1 스캔 패턴 또는 제3 스캔 패턴의 각 쉬프트 주파수는 서로 동일하거나 상이한 주파수일 수 있다.For example, while increasing or decreasing the shift frequency of the second scan pattern, the first scan pattern or the third scan pattern positioned before or after the second scan pattern is shifted in using a frequency that can be normally input to the scan path. In addition, a fail hole and a usable shift frequency range for the second scan pattern may be found using the scan test result. Each shift frequency of the first scan pattern or the third scan pattern used to find a fail hole or an usable frequency range for the second scan pattern may be the same as or different from each other.

제2 스캔 패턴에 대한 페일 홀(fail hole) 또는 사용 가능한 주파수 범위를 찾기 위한 스캔 테스트 과정에서, 제2 스캔 패턴의 출력 패턴뿐만 아니라 제2 스캔 패턴 앞에 위치한 제1 스캔 패턴의 출력 패턴을 각 예측 패턴과 비교할 수 있다. 이때 제1 스캔 패턴 및 제2 스캔 패턴의 테스트 결과가 모두 정상인 경우에 현 쉬프트 주파수는 제2 스캔 패턴의 사용 가능한 쉬프트 주파수이다. 다른 예로, 제2 스캔 패턴의 뒤에 위치한 스캔 패턴인 제3 스캔 패턴의 출력 패턴과 예측 패턴이 비교될 수 있다. 그리고 제2 스캔 패턴 및 제3 스캔 패턴의 테스트 결과가 모두 정상인 경우에 현 쉬프트 주파수는 제2 스캔 패턴의 사용 가능한 쉬프트 주파수이다.In the scan test process to find a fail hole or usable frequency range for the second scan pattern, not only the output pattern of the second scan pattern but also the output pattern of the first scan pattern located in front of the second scan pattern is predicted pattern can be compared. In this case, when the test results of the first scan pattern and the second scan pattern are both normal, the current shift frequency is the usable shift frequency of the second scan pattern. As another example, the output pattern of the third scan pattern, which is a scan pattern located behind the second scan pattern, and the prediction pattern may be compared. And when the test results of the second scan pattern and the third scan pattern are both normal, the current shift frequency is a usable shift frequency of the second scan pattern.

테스트 페일 홀이 발생되거나 페일 홀의 발생에 영향을 주는 스캔 패턴은 제2 스캔 패턴의 앞 또는 뒤에서 입력되는 제1 스캔 패턴 또는 제3 스캔 패턴일 수 있다. 제2 스캔 패턴의 쉬프트 주파수가 제1 스캔 패턴의 출력 패턴이 쉬프트아웃 될 때 스캔 경로 상의 비트 값에 영향을 줄 수 있기 때문이다. 또한 제2 스캔 패턴 에 의한 테스트 결과가 쉬프트아웃 될 때 스캔 경로 상의 비트 값이 제3 스캔 패턴을 스캔 경로에 쉬프트인하는 쉬프트 주파수에 의해 영향을 받을 수 있기 때문이다. 따라서 특정 스캔 섹션 또는 스캔 패턴에 의한 테스트 결과에 테스트 페일 홀이 있는 경우, 스캔 섹션 또는 스캔 패턴의 앞 또는 뒤에 위치한 스캔 섹션 또는 스캔 패턴이 페일 홀의 발생에 영향을 주는지 파악한다.A scan pattern in which a test fail hole is generated or a fail hole is generated may be a first scan pattern or a third scan pattern inputted before or after the second scan pattern. This is because the shift frequency of the second scan pattern may affect the bit value on the scan path when the output pattern of the first scan pattern is shifted out. This is because, when the test result by the second scan pattern is shifted out, the bit value on the scan path may be affected by the shift frequency that shifts the third scan pattern into the scan path. Therefore, if there is a test fail hole in the test result by a specific scan section or scan pattern, it is determined whether the scan section or scan pattern located before or after the scan section or scan pattern affects the occurrence of the fail hole.

예를 들어, 제2 스캔 패턴에는 스캔 경로에 정상적으로 쉬프트 시킬 수 있는 주파수가 사용되고 제3 스캔 패턴의 쉬프트 주파수를 증가시키면서 테스트 결과를 확인한다. 이때 만약 제2 스캔 패턴에 의한 테스트 결과는 실패이고 제3 스캔 패턴에 의한 테스트 결과는 정상인 경우가 발생한다면, 제3 스캔 패턴의 쉬프트 주파수는 제2 스캔 패턴의 테스트 실패가 발생하지 않는 주파수를 사용한다. 이렇게 함으로써 제2 스캔 패턴의 테스트 결과에 나타나는 페일 홀에 대한 제3 스캔 패턴의 영향을 제거할 수 있다.For example, a frequency that can be normally shifted in the scan path is used for the second scan pattern, and the test result is checked while increasing the shift frequency of the third scan pattern. At this time, if the test result by the second scan pattern fails and the test result by the third scan pattern is normal, the shift frequency of the third scan pattern uses a frequency at which the test failure of the second scan pattern does not occur. do. In this way, the influence of the third scan pattern on the fail hole appearing in the test result of the second scan pattern can be removed.

본 발명의 최소한 하나의 실시예에서, 쉬프트 주파수를 증가 또는 감소하면서 스캔 테스트를 수행한다. 그리고 어떤 특정 쉬프트 주파수에서 페일 홀이 발생되면, 페일 홀에 해당하는 쉬프트 주파수를 사용한 스캔 테스트가 실패인 특정 스캔 패턴을 찾는다. 그리고 특정 스캔 패턴 및 특정 스캔 패턴과 이웃한 앞 또는 뒤의 스캔 패턴에는 페일 홀이 발생된 쉬프트 주파수보다 낮은 쉬프트 주파수를 사용하여 칩 테스트를 수행한다. 즉, 페일 홀에 영향을 줄 수 있는 이웃한 스캔 패턴들에게 페일 홀이 발생한 쉬프트 주파수보다 낮은 쉬프트 주파수를 사용할 수 있다.In at least one embodiment of the present invention, the scan test is performed while increasing or decreasing the shift frequency. And when a fail hole is generated at a specific shift frequency, a scan test using the shift frequency corresponding to the fail hole fails to find a specific scan pattern. In addition, the chip test is performed using a shift frequency lower than the shift frequency at which the fail hole is generated for a specific scan pattern and a scan pattern adjacent to or before the specific scan pattern. That is, a shift frequency lower than the shift frequency at which the fail hole occurs may be used for neighboring scan patterns that may affect the fail hole.

본 발명의 최소한 하나의 실시예에서, 쉬프트 주파수 증감을 사용하여 페일 홀이 발생되는 스캔 섹션 또는 스캔 패턴과 해당 쉬프트 주파수를 찾는다. 그리고 제조 공정 및 테스트 공정 등을 고려한 쉬프트 주파수의 마진 범위 내에서 페일 홀이 발생되지 않는 쉬프트 주파수를 스캔 섹션 또는 스캔 패턴에 사용한다. 예를 들어, 페일 홀이 발생된 쉬프트 주파수보다 높으면서 마진 범위 내에서는 페일 홀이 발생되지 않은 쉬프트 주파수가 사용될 수 있다. 또 다른 예로, 페일 홀이 발생한 스캔 섹션 또는 스캔 패턴과 이웃한 스캔 섹션 또는 스캔 패턴에도 페일 홀이 발생된 쉬프트 주파수보다 높으면서 마진 범위 내에서는 페일 홀이 발생되지 않은 쉬프트 주파수가 사용될 수 있다.In at least one embodiment of the present invention, a scan section or scan pattern in which a fail hole is generated and a corresponding shift frequency are found using shift frequency increment/decrement. In addition, a shift frequency that does not generate a fail hole within a margin range of the shift frequency in consideration of the manufacturing process and the test process is used for the scan section or scan pattern. For example, a shift frequency in which a fail hole is not generated within a margin range while being higher than a shift frequency in which a fail hole is generated may be used. As another example, even in a scan section or scan pattern adjacent to a scan section or scan pattern in which a fail hole is generated, a shift frequency in which a fail hole is not generated may be used within a margin range while being higher than a shift frequency in which a fail hole is generated.

전술된 바와 같이 특정 서브 데이터에 대해 페일 홀이 발생하지 않는 주파수 또는 주파수의 주기를 칩의 양산 테스트 시에 사용하도록 하면, 서브 데이터를 마스킹(masking) 하거나 제거하는 방법으로 인해 IC 칩의 고장 검출율(fault coverage)이 낮아지는 문제가 제거될 수 있다. 또한 고장이 있는(faulty) IC 칩이 필드에 나가게 되는 필드 이스케이프(field escape) 문제가 제거될 수 있다. 페일 홀이 발생하지 않는 특정 주파수 또는 주파수의 주기의 범위를 찾아 칩의 테스트에 사용할 수 있다.As described above, if a frequency or a frequency period in which a fail hole does not occur for specific sub data is used for mass-production testing of the chip, the failure detection rate of the IC chip due to the method of masking or removing the sub data The problem of (fault coverage) being lowered can be eliminated. Also, the field escape problem in which a faulty IC chip leaves the field can be eliminated. It can be used to test the chip by finding a specific frequency or range of frequency periods in which a fail hole does not occur.

도 51은 본 발명의 최소한 하나의 실시예에 따른 테스트 시간 감소 및 수율 개선을 위한 쉬프트 주파수를 찾는 방법을 나타낸 도면이다.51 is a diagram illustrating a method of finding a shift frequency for reducing test time and improving yield according to at least one embodiment of the present invention.

도 51을 참조하면, 적어도 두 개 이상의 스캔 섹션을 칩의 스캔 경로에 서로 다른 쉬프트 주파수를 사용하여 쉬프트하고 칩을 테스트 한다고 가정한다. 이때 두 개 이상의 스캔 섹션에 테스트 결과가 모두 정상인 특정 쉬프트 주파수(5100)를 기준으로 테스트 정상 마진이 작은 제1 스캔 섹션에는 마진이 증가된 쉬프트 주파수가 사용된다. 또는 쉬프트 주파수의 테스트 정상 마진이 큰 제2 스캔 섹션에는 마진이 감소된 쉬프트 주파수가 사용된다.Referring to FIG. 51 , it is assumed that at least two scan sections are shifted using different shift frequencies in the scan path of the chip and the chip is tested. At this time, the shift frequency with the increased margin is used for the first scan section having a small test normal margin based on the specific shift frequency 5100 for which the test results of two or more scan sections are all normal. Alternatively, a shift frequency with a reduced margin is used for the second scan section having a large test normal margin of the shift frequency.

스캔 섹션의 쉬프트 주파수 마진은 스캔 섹션의 테스트 정상 또는 실패 정보를 사용하여 찾거나 결정할 수 있다. 예를 들어 스캔 섹션의 테스트 정상과 실패의 경계가 되는 주파수 또는 주파수의 주기와 특정 쉬프트 주파수(5100) 사이의 간격을 나타내는 마진을 찾거나 결정할 수 있다. 마진이 반영된 쉬프트 주파수를 사용하는 스캔 섹션과 그 앞에 위치하는 스캔 섹션에 의한 테스트 결과가 모두 정상이 되어야 한다.The shift frequency margin of the scan section can be found or determined using the test pass or fail information in the scan section. For example, it is possible to find or determine a margin representing an interval between a frequency or a period of a frequency that is a boundary between test success and failure of a scan section and a specific shift frequency 5100 . The scan section using the shift frequency reflected by the margin and the test results by the scan section located in front of it should all be normal.

쉬프트 주파수의 마진이 작은 스캔 섹션에 대해서 마진을 증가하면 칩의 제조 공정이나 테스트 환경 등의 변이(variation)에 대해 테스트 시 덜 영향을 받게 된다. 따라서 수율 개선의 효과가 있을 수 있다.If the margin of the scan section with a small shift frequency margin is increased, the test is less affected by variations in the manufacturing process of the chip or the test environment. Therefore, there may be an effect of improving the yield.

또한 쉬프트 주파수 또는 쉬프트 주파수 주기의 마진이 큰 스캔 섹션에 대해서 마진을 감소하면 테스트 시간을 줄일 수 있는 효과가 있다.In addition, if the margin is reduced for a scan section having a large shift frequency or a shift frequency period margin, the test time can be reduced.

따라서 수율 개선과 테스트 시간 감소라는 서로 반대되는 효과를 스캔 섹션 별 주파수 마진을 고려함으로써 함께 얻을 수 있다.Therefore, the opposite effects of improving yield and reducing test time can be obtained together by considering the frequency margin for each scan section.

도 51을 참조하면, 제1 스캔 섹션과 제2 스캔 섹션은 명목 쉬프트 주파수(5100) 20MHz 에서 모두 테스트 패스이다. 20MHz를 기준으로 제1 스캔 섹션의 쉬프트 주파수의 마진이 기 설정된 기준 값보다 작으면, 테스트 장치는 제1 스캔 섹션의 쉬프트 주파수의 마진을 증가시켜서 칩의 양산 테스트 시 수율 개선에 도움을 줄 수 있다. 즉 제1 스캔 섹션의 사용 쉬프트 주파수를 기준 값을 만족하도록 20MHz보다 더 작은 값으로 변경한다. 또한 20MHz를 기준으로 제2 스캔 섹션의 쉬프트 주파수 또는 쉬프트 주파수의 주기의 마진이 기준 값보다 크면, 제2 스캔 섹션의 주파수 또는 주파수의 주기의 마진을 감소시켜서 칩의 양산 테스트 시 전체 테스트 시간을 줄일 수 있다. 즉 제2 스캔 섹션의 사용 쉬프트 주파수를 기준 값을 만족하도록 20MHz 보다 더 큰 값으로 변경한다.Referring to FIG. 51 , both the first scan section and the second scan section are test passes at a nominal shift frequency 5100 of 20 MHz. When the margin of the shift frequency of the first scan section on the basis of 20 MHz is less than a preset reference value, the test device increases the margin of the shift frequency of the first scan section to help improve the yield in mass-production testing of the chip. . That is, the shift frequency used in the first scan section is changed to a value smaller than 20 MHz to satisfy the reference value. In addition, if the margin of the shift frequency or period of the shift frequency of the second scan section on the basis of 20 MHz is greater than the reference value, the margin of the frequency or period of the second scan section is reduced to reduce the overall test time during mass-production testing of the chip. can That is, the shift frequency used in the second scan section is changed to a value greater than 20 MHz to satisfy the reference value.

이와 같이, 적어도 두 개 이상의 각각의 스캔 섹션에 대해 최적의 쉬프트 주파수를 찾아 칩 테스트를 수행하는데 있어서, 서로 이웃한 스캔 섹션의 경계 비트의 쉬프트 타이밍이 문제가 되는 경우가 있다.As described above, in performing a chip test by finding an optimal shift frequency for each of at least two or more scan sections, there is a case where the shift timing of boundary bits of adjacent scan sections becomes a problem.

스캔 섹션 S1의 마지막 비트와 그 뒤에서 순차적으로 스캔 경로에 쉬프트인되는 스캔 섹션 S2의 첫번째 비트 사이의 쉬프트 주파수의 주기를 CP_boundary(Clock Period of Boundary Bits)라고 하면, 스캔 섹션 S2의 최적의 최대 쉬프트 주파수의 주기를 찾았을 때 S1과 S2의 제1 CP_boundary와 최적의 쉬프트 주파수의 주기가 결정된 S1과 S2의 제2 CP_boundary는 서로 다를 수 있다. 예를 들어, 제1 CP_boundary 보다 제2 CP_boundary2가 작은 경우 스캔 섹션 S1과 S2를 사용한 스캔 테스트는 정상적인 칩에 대해 고장이 있다고 결정할 가능성이 있다.If the period of the shift frequency between the last bit of the scan section S1 and the first bit of the scan section S2 that is sequentially shifted into the scan path thereafter is called CP_boundary (Clock Period of Boundary Bits), the optimal maximum shift frequency of the scan section S2 When the period of is found, the first CP_boundary of S1 and S2 and the second CP_boundary of S1 and S2 for which the period of the optimal shift frequency is determined may be different from each other. For example, when the second CP_boundary2 is smaller than the first CP_boundary, the scan test using the scan sections S1 and S2 is likely to determine that there is a failure for a normal chip.

이러한 경우에, 서로 이웃한 스캔 섹션의 경계 비트의 쉬프트 타이밍 문제를 해결하기 위해서는 다음과 같은 방법을 사용할 수 있다.In this case, in order to solve the shift timing problem of boundary bits of adjacent scan sections, the following method may be used.

(1) 스캔 섹션 S1의 최적의 최대 쉬프트 주파수가 결정된 경우, 스캔 섹션 S1의 다음에 이어서 쉬프트인되는 스캔 섹션 S2의 최적의 최대 쉬프트 주파수를 찾을 때 스캔 섹션 S1은 S1에 대해 결정된 최적의 쉬프트 주파수를 사용한다.(1) When the optimum maximum shift frequency of the scan section S1 is determined, when the optimum maximum shift frequency of the scan section S2 that is shifted in following the scan section S1 is found, the scan section S1 is the optimum shift frequency determined for S1 use

(2) CDP(Clock Definition Period)의 경계 또는 경계에 근접한 위치에 스캔 비트의 쉬프트 동작이 수행되는 클락 에지가 위치하도록 한다. CDP는 클락의 모양이 정의되는 시간 구간으로, 상기 구간 내에서 클락 신호의 상승 또는 하강 시기 등이 정의된다. CDP는 장비나 테스트 데이터에서 설정될 수 있다.(2) The clock edge on which the scan bit shift operation is performed is positioned at the boundary or close to the boundary of the CDP (Clock Definition Period). The CDP is a time interval in which the shape of the clock is defined, and the rising or falling timing of the clock signal is defined within the interval. CDP can be established in the instrument or test data.

(3) 스캔 섹션 S1의 마지막 비트와 그 뒤에서 순차적으로 스캔 경로에 쉬프트인되는 스캔 섹션 S2의 첫번째 비트 사이의 쉬프트 주파수의 주기 또는 쉬프트 시간 간격을 조정(adjust)한다. 예를 들어 S1과 S2를 포함하는 스캔 패턴을 사용하여 스캔 테스트를 할 경우 정상적인 칩을 정상이라고 결정할 수 있는 쉬프트 주파수의 주기로 조정될 수 있다. 쉬프트 주파수의 주기 또는 쉬프트 시간 간격은 테스트 데이터에서 정의되거나 테스트 장치에서 설정될 수 있다. 예를 들어, 스캔 섹션 S1과 S2 각각에 대해 최적 쉬프트 주파수의 주기가 할당된 새로운 테스트 데이터를 만들 때, 스캔 섹션 S1의 마지막 비트 또는 S2의 첫번째 비트에 대한 타이밍 정보를 새롭게 만들고, 상기 타이밍 정보에는 S1과 S2를 포함하는 스캔 패턴을 사용하여 스캔 테스트를 할 경우 정상적인 칩을 정상이라고 결정할 수 있는 쉬프트 주파수의 주기가 할당될 수 있다. 예를 들면 명목 쉬프트 주파수의 주기 등이 할당될 수 있다.(3) The period or shift time interval of the shift frequency between the last bit of the scan section S1 and the first bit of the scan section S2 that is sequentially shifted in the scan path thereafter is adjusted. For example, when a scan test is performed using a scan pattern including S1 and S2, it can be adjusted to a period of a shift frequency that can determine that a normal chip is normal. The period of the shift frequency or the shift time interval may be defined in the test data or set in the test device. For example, when creating new test data to which the period of the optimal shift frequency is assigned for each of the scan sections S1 and S2, the timing information for the last bit of the scan section S1 or the first bit of S2 is newly created, and the timing information includes When a scan test is performed using a scan pattern including S1 and S2, a shift frequency period for determining that a normal chip is normal may be allocated. For example, the period of the nominal shift frequency, etc. may be assigned.

(4) 서로 이웃한 스캔 섹션의 경계 비트의 쉬프트 타이밍 문제로 인해 정상인 칩에 대해 스캔 테스트 시에 고장이 있다고 결정되는 경우, 해당 경계 비트를 포함하는 스캔 섹션 또는 스캔 패턴의 쉬프트 주파수의 주기를 증가시킨다.(4) If it is determined that there is a failure in the scan test for a normal chip due to a shift timing problem of the boundary bits of adjacent scan sections, increase the period of the shift frequency of the scan section or scan pattern including the boundary bits make it

IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수 또는 타이밍 식별자 개수의 제약이 있는 경우가 있다. 주파수를 제어하는 것은 주파수 값을 변경하거나 해당 전기 신호의 천이시간(transition time) 특성을 변경하는 제어를 포함한다.In some cases, there are restrictions on the number of frequency or timing identifiers that an IC chip test device can set or control. Controlling the frequency includes controlling to change a frequency value or change a transition time characteristic of a corresponding electrical signal.

예를 들어 IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수 또는 타이밍 식별자의 개수가 32개이면 IC 칩 테스트 장치에 의해 서로 독립적으로 설정되거나 제어될 수 있는 주파수 또는 타이밍 식별자의 개수가 32개임을 의미한다. 일 예를 들어 IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수 또는 타이밍 식별자의 개수가 32개이면 IC 칩 테스트 장치의 32개의 주파수 제어부가 서로 독립적으로 주파수를 설정하거나 제어할 수 있으며, 이와 같이 주파수 또는 타이밍 식별자를 설정하거나 제어하는 방법과 이를 위한 주파수 제어부의 구성은 IC 칩 테스트 장치에 따라 다양할 수 있다.For example, if the number of frequency or timing identifiers that can be set or controlled by the IC chip test device is 32, it means that the number of frequency or timing identifiers that can be set or controlled independently of each other by the IC chip test device is 32 do. For example, if the number of frequency or timing identifiers that can be set or controlled by the IC chip test device is 32, the 32 frequency controllers of the IC chip test device can set or control the frequencies independently of each other. Alternatively, the method of setting or controlling the timing identifier and the configuration of the frequency controller for the same may vary depending on the IC chip test apparatus.

도 52 내지 도 59는 IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수 또는 타이밍 식별자의 개수가 입력 스캔 패턴을 분할하는 스캔 섹션의 개수보다 작은 경우에 스캔 섹셕별 사용 가능한 쉬프트 주파수(예를 들어, 최대 쉬프트 주파수)를 찾기 위한 검색용 데이터를 생성하는 방법 및 그 장치에 관한 것이다.52 to 59 show shift frequencies available for each scan section when the number of frequencies or timing identifiers that can be set or controlled by the IC chip test apparatus is smaller than the number of scan sections dividing the input scan pattern (for example, A method and apparatus for generating search data for finding a maximum shift frequency) are provided.

도 52는 본 발명의 실시 예에 따른 테스트 데이터의 일 예를 도시한 도면이다.52 is a diagram illustrating an example of test data according to an embodiment of the present invention.

도 52를 참조하면, 테스트 데이터(5200)에서 서브 테스트 데이터 51의 입력 스캔 패턴(5210)은 4개의 스캔 섹션(5212,5214,5216,5218)으로 분할될 수 있다. 일 실시 예로, IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수의 개수가 5개 이상이면, 도 52의 입력 스캔 패턴 51에 포함된 스캔 섹션별 쉬프트 주파수를 찾는데 사용하는 검색용 데이터는 도 28과 같이 하나의 검색용 데이터로 생성할 수 있다. 그러나 IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수의 개수가 3개 이하이면, 4개의 스캔 섹션(5212,5214,5216,5218)의 최적 쉬프트 주파수를 찾기 위한 검색용 데이터는 도 28과 같은 하나의 검색용 데이터로 생성할 수 없다. 따라서 도 25 내지 도 27과 같이 도 52의 입력 스캔 패턴 51의 각 대상 스캔 섹션(5121,5214,5216,5218)별로 검색용 데이터를 생성하여야 하며, 이 경우 4개의 검색용 데이터가 필요하다. Referring to FIG. 52 , the input scan pattern 5210 of the sub test data 51 in the test data 5200 may be divided into four scan sections 5212 , 5214,5216 , and 5218 . In one embodiment, if the number of frequencies that the IC chip test apparatus can set or control is 5 or more, the search data used to find the shift frequency for each scan section included in the input scan pattern 51 of FIG. 52 is shown in FIG. Together, they can be created as one search data. However, if the number of frequencies that can be set or controlled by the IC chip test device is three or less, the data for searching to find the optimal shift frequency of the four scan sections 5212,5214,5216,5218 is one as shown in FIG. It cannot be created as data for retrieval of Therefore, as in FIGS. 25 to 27 , data for searching should be generated for each target scan section 5121, 5214,5216, and 5218 of the input scan pattern 51 of FIG. 52. In this case, four pieces of data for searching are required.

검색용 데이터의 개수가 많아지면 검색용 데이터를 컴파일하고 메모리에 로딩하는 시간이 길어지게 되어 사용 가능한 쉬프트 주파수를 찾는 전체 과정의 시간이 길어지는 단점이 존재하므로 가능하면 적은 수의 검색용 데이터를 이용하여 스캔 섹션별 최적 쉬프트 주파수를 찾는 것이 바람직하다.If the number of data for search increases, the time for compiling and loading the data for search into memory becomes longer, which increases the time of the entire process of finding a usable shift frequency. Therefore, use as few data for search as possible. Thus, it is desirable to find the optimal shift frequency for each scan section.

IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수의 최대 개수는 제약이 있지만, 입력 스캔 패턴을 분할하는 스캔 섹션의 개수는 사용자에 의해 그 개수가 다양하게 설정될 수 있다. 다만, 이하에서는 설명의 편의를 위하여 IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수의 개수는 3개이고, 입력 스캔 패턴에서 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾고자 하는 대상 스캔 섹션의 개수가 4개인 경우를 가정하여 설명한다. Although the maximum number of frequencies that the IC chip test apparatus can set or control is limited, the number of scan sections dividing the input scan pattern may be variously set by the user. However, in the following, for convenience of explanation, the number of frequencies that the IC chip test device can set or control is three, and the number of target scan sections for which a shift frequency or an optimal shift frequency that can be used in the input scan pattern is found is four. A case is assumed and described.

도 53 및 도 54는 본 발명의 실시 예에 따른 검색용 데이터의 일 예를 도시한 도면이다. 도 53 및 도 54에는 도 52의 입력 스캔 패턴 51에 포함된 4개의 대상 스캔 섹션(5212,5214,5216,5218)에 대해 사용 가능한 쉬프트 주파수 또는 최대 쉬프트 주파수를 찾기 위한 검색용 데이터의 일 예가 도시되어 있다. 53 and 54 are diagrams illustrating an example of search data according to an embodiment of the present invention. 53 and 54 show an example of search data for finding a shift frequency or a maximum shift frequency available for four target scan sections 5212, 5214,5216, 5218 included in the input scan pattern 51 of FIG. has been

도 53 및 도 54를 참조하면, 검색용 데이터(5300,5400)의 입력 스캔 패턴 51은 2 개의 세그먼트(5310,5320)로 분할된다. IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수 또는 타이밍 식별자의 개수가 사용 가능한 쉬프트 주파수 검색 대상 스캔 섹션(5212,5214,5216,5218)의 개수보다 작으므로, 입력 스캔 패턴은 복수 개의 세그먼트(5310,5320)로 구분된다. 각각의 세그먼트(5310,5320)는 또한 복수 개의 스캔 섹션(5212,5214,5216,5218)을 서로 나누어 포함한다. 입력 스캔 패턴을 분할하는 적어도 한 개 이상의 스캔 섹션을 포함하는 세그먼트는 스캔 세그먼트로 불릴 수도 있다.53 and 54 , the input scan pattern 51 of the search data 5300 and 5400 is divided into two segments 5310 and 5320 . Since the number of frequency or timing identifiers that can be set or controlled by the IC chip test device is smaller than the number of available shift frequency search target scan sections 5212,5214,5216,5218, the input scan pattern has a plurality of segments 5310 ,5320). Each segment 5310,5320 also includes a plurality of scan sections 5212,5214,5216,5218 divided from each other. A segment including at least one scan section dividing the input scan pattern may be referred to as a scan segment.

입력 스캔 패턴을 분할하는 세그먼트(5310,5320)의 개수는 IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수 또는 타이밍 식별자의 개수와 입력 스캔 패턴을 분할하는 스캔 섹션의 개수에 의해 결정될 수 있다. 예를 들어, 입력 스캔 패턴을 분할하는 스캔 섹션의 개수를 상기 스캔 섹션들에 대해 IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수 또는 타이밍 식별자의 개수로 나눈 값 보다 큰 정수 이상의 개수를 세그먼트의 개수로 결정할 수 있다. 즉, 예를 들어 입력 스캔 패턴의 스캔 섹션의 개수가 30개이고, 상기 스캔 섹션들에 대해 IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수 또는 타이밍 식별자의 개수가 10개이면, 세그먼트의 개수는 3개 또는 그 이상이 될 수 있다. 본 실시 예는 세그먼트의 개수가 2개인 경우를 가정하여 설명한다.The number of segments 5310 and 5320 dividing the input scan pattern may be determined by the number of frequency or timing identifiers that the IC chip test apparatus can set or control and the number of scan sections dividing the input scan pattern. For example, the number of segments is an integer greater than or equal to a value obtained by dividing the number of scan sections dividing the input scan pattern by the number of frequency or timing identifiers that can be set or controlled by the IC chip test apparatus for the scan sections. can be decided with That is, for example, if the number of scan sections of the input scan pattern is 30 and the number of frequency or timing identifiers that the IC chip test apparatus can set or control for the scan sections is 10, the number of segments is 3 It can be a dog or more. This embodiment will be described on the assumption that the number of segments is two.

입력 스캔 패턴을 분할하는 스캔 섹션들에 대해 IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수 또는 타이밍 식별자의 개수는 IC 칩 테스트 조건 등에 따라 소정의 개수를 제외한 개수일 수 있다. 예를 들어 사용 가능한 쉬프트 주파수 검색 대상 스캔 섹션 이외의 스캔 섹션 등 다른 용도에 사용되는 타이밍 식별자의 개수를 제외한 개수일 수 있다.The number of frequency or timing identifiers that can be set or controlled by the IC chip test apparatus for the scan sections dividing the input scan pattern may be a number excluding a predetermined number according to IC chip test conditions. For example, the number may be the number excluding the number of timing identifiers used for other purposes, such as a scan section other than a scan section to be searched for a usable shift frequency.

도 53 내지 도 55의 실시 예는 세그먼트의 개수가 2개인 경우를 가정하여 설명한다. 53 to 55 will be described on the assumption that the number of segments is two.

입력 스캔 패턴의 복수 개의 대상 스캔 섹션(5212,5214,5216,5218)을 위한 검색용 데이터(5300,5400)는 세그먼트(5310,5320)의 개수만큼 생성될 수 있다. 본 실시 예에서 입력 스캔 패턴 51은 2개의 세그먼트(5310,5320)로 분할되므로, 입력 스캔 패턴 51의 각각의 대상 스캔 섹션(5212,5214,5216,5218)에 대한 사용 가능한 쉬프트 주파수 검색을 위하여 검색용 데이터(5300,5400)는 도 53의 제1 검색용 데이터(5300) 및 도 54의 제2 검색용 데이터(5400)로 생성될 수 있다.Search data 5300 and 5400 for a plurality of target scan sections 5212 , 5214,5216 , and 5218 of the input scan pattern may be generated as many as the number of segments 5310 and 5320 . In this embodiment, since the input scan pattern 51 is divided into two segments 5310 and 5320, a search for available shift frequencies for each target scan section 5212, 5214,5216, 5218 of the input scan pattern 51 is performed. The use data 5300 and 5400 may be generated as the first search data 5300 of FIG. 53 and the second search data 5400 of FIG. 54 .

도 52의 입력 스캔 패턴 51의 대상 스캔 섹션(5212,5214,5216,5218) 4개 중 2개 대상 스캔 섹션(5212,5214)은 도 53의 제1 검색용 데이터(5300)의 입력 스캔 패턴 51에 대상 스캔 섹션(5212,5214)으로서 포함되면, 나머지 2개 대상 스캔 섹션(5216,5218)은 도 54의 제2 검색용 데이터(5400)의 입력 스캔 패턴 51에 대상 스캔 섹션(5216,5218)으로서 나누어져 포함된다.Two of the four target scan sections 5212,5214,5216,5218 of the input scan pattern 51 of FIG. 52 are the input scan pattern 51 of the first search data 5300 of FIG. 53 When included as target scan sections 5212 and 5214 in divided and included as

생성되는 검색용 데이터에 복수 개의 검색 대상 스캔 섹션이 포함되는 경우 각각의 검색 대상 스캔 섹션에 할당되는 타이밍 식별자는 서로 다르다. 또한 검색용 데이터에서 검색 대상 스캔 섹션에 할당되는 타이밍 식별자 이외의 다른 타이밍 식별자는 검색 대상 스캔 섹션에 할당되는 타이밍 식별자와 다르다. When the generated search data includes a plurality of search object scan sections, timing identifiers assigned to each search object scan section are different from each other. Also, in the data for retrieval, timing identifiers other than the timing identifier assigned to the scan section to be retrieved are different from the timing identifier assigned to the scan section to be retrieved in the data for retrieval.

제1 검색용 데이터(5300)에서 제2 세그먼트(5320)에 포함된 모든 스캔 섹션(5216,5218)에는 동일한 타이밍 식별자(T1)가 할당되고, 제1 세그먼트(5310)에 포함된 각 스캔 섹션(5212,5214)에는 서로 다른 타이밍 식별자(Target_T1, Target_T2)가 할당된다. 일 실시 예로 검색용 데이터(5300)를 이용하여 각 대상 스캔 섹션(5212,5214)의 사용 가능한 주파수를 검색하기 위해 제2 세그먼트(5320)의 스캔 섹션에 할당된 타이밍 식별자(T1)는 고정된 쉬프트 주파수로 설정하고, 제1 세그먼트(5310)의 각 대상 스캔 섹션에 할당된 타이밍 식별자(Target_T1, Target_T2)는 사용 가능한 쉬프트 주파수를 찾기 위하여 검색용 데이터(5300)를 이용한 스캔 테스트를 수행할 때마다 쉬프트 주파수(또는 쉬프트 주파수의 주기)가 변경될 수 있다.In the first search data 5300 , the same timing identifier T1 is assigned to all scan sections 5216 and 5218 included in the second segment 5320 , and each scan section included in the first segment 5310 ( Different timing identifiers (Target_T1, Target_T2) are allocated to 5212 and 5214. In an embodiment, the timing identifier T1 assigned to the scan section of the second segment 5320 is a fixed shift in order to search for available frequencies of each target scan section 5212 and 5214 using the search data 5300 . Timing identifiers (Target_T1, Target_T2) assigned to each target scan section of the first segment 5310 shift each time a scan test using the search data 5300 is performed to find an usable shift frequency. The frequency (or period of the shift frequency) may be changed.

따라서 IC 칩 테스트 장치는 제2 스캔 섹션(5214)과 제2 세그먼트(5320)의 타이밍 식별자(Target_T2, T1)의 쉬프트 주파수를 고정한 상태에서 제1 스캔 섹션(5212)에 할당된 타이밍 식별자(Target_T1)의 쉬프트 주파수를 변경해가면서 스캔 테스트를 반복 수행하여 제1 스캔 섹션(5212)에 대한 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 검색한다. 또한 IC 칩 테스트 장치는 제1 스캔 섹션(5212)과 제2 세그먼트(5320)의 타이밍 식별자(Target_T1, T1)의 쉬프트 주파수는 고정한 상태에서 제2 스캔 섹션(5214)에 할당된 타이밍 식별자(Target_T2)의 쉬프트 주파수를 변경해가면서 스캔 테스트를 반복 수행하여 제2 스캔 섹션(5214)에 대한 최적 쉬프트 주파수를 검색한다. 즉, IC 칩 테스트 장치는 제1 검색용 데이터(5300)를 이용하여 입력 스캔 패턴 51에 포함된 제1 스캔 섹션(5212)과 제2 스캔 섹션(5214)을 각각 대상 스캔 섹션으로 순차적으로 지정하여 각각의 최적 쉬프트 주파수를 검색할 수 있다.Accordingly, the IC chip test apparatus fixes the shift frequencies of the timing identifiers (Target_T2, T1) of the second scan section 5214 and the second segment 5320, and the timing identifier (Target_T1) assigned to the first scan section 5212 The scan test is repeatedly performed while changing the shift frequency of to search for an usable shift frequency or an optimal shift frequency for the first scan section 5212 . Also, in the IC chip test apparatus, the timing identifier (Target_T2) assigned to the second scan section 5214 in a state in which shift frequencies of the timing identifiers (Target_T1, T1) of the first scan section 5212 and the second segment 5320 are fixed. The scan test is repeatedly performed while changing the shift frequency of to search for an optimal shift frequency for the second scan section 5214 . That is, the IC chip test apparatus sequentially designates the first scan section 5212 and the second scan section 5214 included in the input scan pattern 51 as target scan sections by using the first search data 5300. Each optimal shift frequency may be searched for.

또한 일 실시 예로, 제1 검색용 데이터(5300)를 이용하여 사용 가능한 최대 주파수 먼저 찾은 제1 스캔 섹션(5212)의 최대 주파수 또는 상기 최대 주파수에 대해 소정의 증감을 한 주파수를 제1 스캔 섹션(5212)의 타이밍 식별자(Target_T1)의 주파수로 고정한 상태에서 다음 검색 대상 스캔 섹션인 제2 스캔 섹션(5214)의 사용 가능한 최대 쉬프트 주파수를 찾을 수 있다. 이와 같이 하면 각각의 대상 스캔 섹션의 사용 가능한 쉬프트 주파수를 검색하는 단계에서 찾은 각 스캔 섹션의 최대 쉬프트 주파수를 해당 스캔 섹션 모두에 적용할 때, 상기 검색 단계에서 찾은 스캔 섹션별 최대 쉬프트 주파수와 코릴레이션(correlation)을 높일 수 있는 장점이 있다.Also, in one embodiment, the maximum frequency available using the first search data 5300 is the maximum frequency of the first scan section 5212 found first, or a frequency obtained by a predetermined increase or decrease with respect to the maximum frequency in the first scan section ( In a state where the frequency of the timing identifier (Target_T1) of 5212 is fixed, the maximum usable shift frequency of the second scan section 5214 that is the next scan section to be searched may be found. In this way, when the maximum shift frequency of each scan section found in the step of searching for available shift frequencies of each target scan section is applied to all of the scan sections, it is correlated with the maximum shift frequency for each scan section found in the search step. It has the advantage of increasing correlation.

입력 스캔 패턴 51의 제3,4 스캔 섹션(5216,5218)의 최적 쉬프트 주파수는 도 54의 검색용 데이터(5400)를 이용하여 찾을 수 있다. 제2 검색용 데이터(5400)에서 제1 세그먼트(5310)에 포함된 모든 스캔 섹션(5212,5214)에는 동일한 타이밍 식별자(T1)가 할당되고, 제2 세그먼트(5320)의 각 스캔 섹션(5216,5218)에는 서로 다른 타이밍 식별자(TargetT1, TargetT2)가 할당된다. IC 칩 테스트 장치는 제1 검색용 데이터(5300)를 이용한 최적 쉬프트 주파수의 검색 과정과 동일하게 제3 및 제4 스캔 섹션(5216,5218)을 각각 대상 스캔 섹션으로 지정하여 타이밍 식별자(TargetT1, TargetT2)의 쉬프트 주파수를 변경해가면서 제3 및 제4 스캔 섹션(5216,5218)에 대한 최적 쉬프트 주파수를 검색할 수 있다.The optimal shift frequencies of the third and fourth scan sections 5216 and 5218 of the input scan pattern 51 may be found using the search data 5400 of FIG. 54 . In the second retrieval data 5400 , all scan sections 5212 and 5214 included in the first segment 5310 are assigned the same timing identifier T1 , and each scan section 5216 of the second segment 5320 , 5218 , different timing identifiers (TargetT1, TargetT2) are allocated. The IC chip test apparatus designates the third and fourth scan sections 5216 and 5218 as target scan sections, respectively, in the same manner as in the search process of the optimal shift frequency using the first search data 5300, and the timing identifiers (TargetT1, TargetT2) ), the optimal shift frequencies for the third and fourth scan sections 5216 and 5218 may be searched while changing the shift frequency.

복수의 검색용 데이터(5300,5400)에서 동일한 타이밍 식별자가 할당되는 세그먼트(5310,5320)의 위치가 서로 다를 수 있다. 예를 들어, 입력 스캔 패턴이 5개의 세그먼트로 분할되고 각각의 세그먼트는 10개의 스캔 섹션을 포함하고, 타이밍 식별자의 개수가 10개라고 가정하자. 이 경우 5개의 검색용 데이터가 생성되고, 제1 검색용 데이터의 제2~5 세그먼트에는 모두 동일한 타이밍 식별자(T1)가 할당되고, 제2 검색용 데이터의 제1, 3~5 세그먼트에는 모두 동일한 타이밍 식별자(T1)가 할당되는 등 각각의 검색용 데이터에서 동일 타이밍 식별자가 할당되는 세그먼트의 위치는 서로 다를 수 있다. IC 칩 테스트 장치는 제1 검색용 데이터의 경우 제1 세그먼트에 포함된 각각의 스캔 섹션에 할당된 서로 다른 타이밍 식별자(TargetT1, TargetT2...)의 주파수를 설정하거나 변경하고, 제2 검색용 데이터의 경우 제2 세그먼트에 포함된 각각의 스캔 섹션에 할당된 서로 다른 타이밍 식별자(TargetT1, TargetT2...)의 주파수를 설정하거나 변경하여 각 세그먼트의 스캔 섹션의 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾을 수 있다.In the plurality of search data 5300 and 5400 , positions of segments 5310 and 5320 to which the same timing identifier is allocated may be different from each other. For example, suppose that the input scan pattern is divided into 5 segments, each segment includes 10 scan sections, and the number of timing identifiers is 10. In this case, five pieces of data for retrieval are generated, the same timing identifier (T1) is allocated to all of the second to fifth segments of the first data for retrieval, and the same timing identifier (T1) is assigned to all of the first and third to fifth segments of the second data for retrieval. The positions of segments to which the same timing identifier is assigned in respective data for search, such as to which the timing identifier T1 is assigned, may be different from each other. The IC chip test apparatus sets or changes the frequencies of different timing identifiers (TargetT1, TargetT2...) assigned to each scan section included in the first segment in the case of the first data for retrieval, and sets or changes the frequency of the data for the second retrieval. Set or change the frequencies of different timing identifiers (TargetT1, TargetT2...) assigned to each scan section included in the second segment to find the available shift frequency or optimal shift frequency of the scan section of each segment. can

도 55 및 도 56은 본 발명의 실시 예에 따른 검색용 데이터의 다른 예를 도시한 도면이다. 도 55 및 도 56에는 입력 스캔 패턴 51에 포함된 4개의 스캔 섹션의 최적 쉬프트 주파수를 찾기 위한 검색용 데이터의 일 예가 도시되어 있다.55 and 56 are diagrams illustrating another example of search data according to an embodiment of the present invention. 55 and 56 show an example of search data for finding an optimal shift frequency of four scan sections included in the input scan pattern 51 .

도 55 및 도 56을 참조하면, 검색용 데이터(5500,5600)의 입력 스캔 패턴은 2 개의 세그먼트(5310,5320)로 분할된다. 도 53 및 도 54의 예는 세그먼트 단위로 동일 타이밍 식별자를 할당한 검색용 데이터(5300,5400)인 반면에, 도 55 및 도 56의 예는 복수의 세그먼트에 존재하는 적어도 하나 이상의 스캔 섹션에 동일한 타이밍 식별자를 할당한 검색용 데이터(5500,5600)이다.55 and 56 , an input scan pattern of search data 5500 and 5600 is divided into two segments 5310 and 5320 . 53 and 54 are data for search 5300 and 5400 to which the same timing identifier is assigned in units of segments, whereas the examples of FIGS. 55 and 56 are identical to at least one scan section existing in a plurality of segments. Search data 5500 and 5600 to which timing identifiers are assigned.

제1 검색용 데이터(5500)는 제1 스캔 섹션(5212) 및 제3 스캔 섹션(5216)의 최적 쉬프트 주파수를 찾기 위한 검색용 데이터이고, 제2 검색용 데이터(5600)는 제2 스캔 섹션(5214) 및 제4 스캔 섹션(5218)의 최적 쉬프트 주파수를 찾기 위한 검색용 데이터이다. The first data for retrieval 5500 is data for retrieval for finding the optimal shift frequency of the first scan section 5212 and the third scan section 5216 , and the second data for retrieval 5600 is the second scan section ( 5214) and data for searching for the optimal shift frequency of the fourth scan section 5218.

제1 검색용 데이터(5500)에서 제1 세그먼트(5310)의 제2 스캔 섹션(5214)과 제2 세그먼트(5320)의 제4 스캔 섹션(5218)에는 모두 동일한 타이밍 식별자(T1)를 할당되고, 제1 세그먼트(5310)의 제1 스캔 섹션(5212)과 제2 세그먼트(5320)의 제3 스캔 섹션(5216)에는 각각 서로 다른 타이밍 식별자(TargetT1, TargetT2)가 할당된다. 반대로, 제2 검색용 데이터(5600)에서 제1 세그먼트(5310)의 제2 스캔 섹션(5214)과 제2 세그먼트(5320)의 제4 스캔 섹션(5218)에는 각각 서로 다른 타이밍 식별자(TargetT1, TargetT2)를 할당되고, 제1 세그먼트(5310)의 제1 스캔 섹션(5212)과 제2 세그먼트(5320)의 제3 스캔 섹션(5216)에는 모두 동일한 타이밍 식별자(T1)가 할당된다.In the first search data 5500, the second scan section 5214 of the first segment 5310 and the fourth scan section 5218 of the second segment 5320 are all assigned the same timing identifier T1, Different timing identifiers TargetT1 and TargetT2 are allocated to the first scan section 5212 of the first segment 5310 and the third scan section 5216 of the second segment 5320 , respectively. Conversely, in the second search data 5600 , the second scan section 5214 of the first segment 5310 and the fourth scan section 5218 of the second segment 5320 have different timing identifiers (TargetT1, TargetT2). ), and the same timing identifier T1 is assigned to both the first scan section 5212 of the first segment 5310 and the third scan section 5216 of the second segment 5320 .

도 53 내지 도 56과 같이 복수 개의 대상 스캔 섹션을 포함할 수 있는 검색용 데이터(5300,5400,5500,5600)를 생성하면, 각 대상 스캔 섹션별 검색용 데이터를 생성하는 도 25 내지 도 27과 비교하여 검색용 데이터 저장 매체의 저장 용량을 절약할 수 있다. 예를 들어, 도 52의 4개의 대상 스캔 섹션(5212,5214,5216,5218)의 최적 쉬프트 주파수를 찾기 위하여 도 25 내지 도 27의 예에서와 같이 하면 각 대상 스캔 섹션별 검색용 데이터가 필요하므로 4개의 검색용 데이터가 필요하다. 그러나 도 53 및 도 54, 또는 도 55 및 도 56의 실시 예에서와 같이 하면 두 개의 검색용 데이터만으로 4개의 대상 스캔 섹션의 최적 쉬프트 주파수를 찾을 수 있으므로 다음과 같은 효과와 장점이 있다.When the search data 5300,5400,5500,5600 that may include a plurality of target scan sections is generated as shown in FIGS. 53 to 56 , FIGS. 25 to 27 for generating search data for each target scan section By comparison, the storage capacity of the data storage medium for retrieval can be saved. For example, in order to find the optimal shift frequency of the four target scan sections 5212, 5214,5216, 5218 of FIG. We need 4 data for retrieval. However, as in the embodiments of FIGS. 53 and 54 or 55 and 56 , since the optimal shift frequencies of four target scan sections can be found only with two pieces of data for search, there are the following effects and advantages.

(1) 저장 매체의 저장 용량을 절약할 수 있다.(1) The storage capacity of the storage medium can be saved.

(2) 각 검색용 데이터를 개별 파일로 만들 경우, 모든 검색용 데이터 파일들을 IC 칩 테스트 장치에서 사용하기 위한 파일로 변환하는 컴파일 시간을 줄일 수 있다.(2) When each search data is made into individual files, it is possible to reduce the compilation time for converting all search data files into files for use in the IC chip test device.

(3) 각 검색용 데이터를 개별 파일로 만들 경우, 검색용 데이터 파일의 개수가 줄어들게 되어 파일 관리가 쉽고 편리해 질 수 있다.(3) If each data for search is made into an individual file, the number of data files for search is reduced, making file management easier and more convenient.

(4) 각 검색용 데이터를 개별 파일로 만들 경우, 검색용 데이터 파일의 개수가 줄어들게 되어 IC 칩 테스트 장치에 검색용 데이터 파일을 로드(load)하는 횟수가 전체 로드(load) 시간을 줄일 수 있게 되어 모든 대상 스캔 섹션의 최적의 쉬프트 주파수를 찾는 전체 과정의 시간을 줄일 수 있다.(4) When each data file for search is made into an individual file, the number of data files for search is reduced, so that the number of times to load the search data file into the IC chip test device can reduce the overall load time. Thus, it is possible to reduce the time of the entire process of finding the optimal shift frequency of all target scan sections.

또한 도 53 내지 도 56과 같이 세그먼트를 사용하면 IC 칩 테스트 장치가 설정하거나 제어가능한 주파수 또는 타이밍 식별자(또는 타이밍 셋, 타이밍 정보)의 개수 제약에 상관없이 입력 스캔 패턴을 많은 대상 스캔 섹션들로 분할하고, 한 개의 검색용 데이터에 복수 개의 대상 스캔 섹션들을 포함할 수 있는 효과와 장점이 잇다.In addition, when segments are used as shown in FIGS. 53 to 56, the input scan pattern is divided into many target scan sections regardless of the number of frequency or timing identifiers (or timing sets, timing information) that the IC chip test device can set or control. And, there is an effect and advantage of being able to include a plurality of target scan sections in one search data.

도 57은 본 발명의 실시 예에 따른 검색용 데이터의 생성 방법의 일 예를 도시한 흐름도이다.57 is a flowchart illustrating an example of a method for generating search data according to an embodiment of the present invention.

도 57을 참조하면, 검색용 데이터 생성장치는 IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수의 개수 또는 타이밍 식별자의 개수가 입력 스캔 패턴을 분할하는 스캔 섹션의 개수보다 작으면, 입력 스캔 패턴을 적어도 하나 이상의 스캔 섹션을 포함하는 적어도 둘 이상의 세그먼트로 구분한다(S5700). 예를 들어, 도 52를 참조하면, 입력 스캔 패턴 51의 대상 스캔 섹션의 개수가 4개이고, IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수의 개수 또는 타이밍 식별자의 개수가 3개이면, 검색용 데이터 생성장치는 도 53과 같이 입력 스캔 패턴을 두 개의 세그먼트로 분할한다. Referring to FIG. 57 , the search data generating device generates the input scan pattern when the number of frequencies or the number of timing identifiers that the IC chip test device can set or control is smaller than the number of scan sections dividing the input scan pattern. It is divided into at least two or more segments including at least one scan section (S5700). For example, referring to FIG. 52 , if the number of target scan sections of the input scan pattern 51 is 4, and the number of frequencies or timing identifiers that the IC chip test apparatus can set or control is 3, The data generating apparatus divides the input scan pattern into two segments as shown in FIG. 53 .

검색용 데이터 생성장치는 세그먼트의 개수에 해당하는 복수 개의 검색용 데이터를 생성한다(S5710). 예를 들어, 도 52의 입력 스캔 패턴 51이 4개의 스캔 섹션으로 구분된 경우에, 검색용 데이터 생성장치는 도 53 및 도 54의 2개의 검색용 데이터를 생성하거나, 또는 도 55 및 도 56의 2개의 검색용 데이터를 생성할 수 있다. The search data generating apparatus generates a plurality of search data corresponding to the number of segments (S5710). For example, when the input scan pattern 51 of FIG. 52 is divided into four scan sections, the search data generating apparatus generates the two search data of FIGS. 53 and 54 , or in FIGS. 55 and 56 . You can create two types of data for retrieval.

복수 개의 검색용 데이터의 각각은 사용 가능한 또는 최대 쉬프트 주파수 검색 대상 스캔 섹션을 포함하는 대상 입력 스캔 패턴에 포함된 복수의 스캔 섹션 중 동일한 타이밍 식별자가 할당된 적어도 둘 이상의 스캔 섹션을 포함한다. 일 실시 예로, 검색용 데이터 생성장치는 세그먼트 단위로 동일한 타이밍 식별자를 할당할 수 있다. 예를 들어, 도 53과 같이 제2 세그먼트(5320)에 포함된 스캔 섹션에 모두 동일한 타이밍 식별자(T1)가 할당될 수 있다. 다른 실시 예로, 검색용 데이터 생성장치는 적어도 둘 이상의 세그먼트에 존재하는 적어도 하나 이상의 스캔 섹션에 대해 동일한 타이밍 식별자를 할 수 있다. 예를 들어, 도 55 및 도 56과 같이 제1 및 제2 세그먼트의 일부 스캔 섹션에 대해 동일한 타이밍 식별자(T1)가 할당될 수 있다. Each of the plurality of search data includes at least two or more scan sections to which the same timing identifier is assigned among a plurality of scan sections included in a target input scan pattern including an available or maximum shift frequency search target scan section. As an embodiment, the search data generating apparatus may allocate the same timing identifier in units of segments. For example, as shown in FIG. 53 , the same timing identifier T1 may be allocated to all scan sections included in the second segment 5320 . In another embodiment, the search data generating apparatus may use the same timing identifier for at least one scan section existing in at least two or more segments. For example, the same timing identifier T1 may be allocated to some scan sections of the first and second segments as shown in FIGS. 55 and 56 .

또한 복수 개의 검색용 데이터는 사용 가능한 쉬프트 주파수를 찾기 위하여 쉬프트 주파수 또는 쉬프트 주파수의 주기가 변경되는 타이밍 식별자(TargetT1, TargetT2)가 할당되는 스캔 섹션의 위치가 서로 상이할 수 있다. 예를 들어, 도 53 및 도 54를 참조하면, 제1 검색용 데이터(5300)에는 쉬프트 주파수의 변경이 가능한 타이밍 식별자(TargetT1, TargetT2)가 제1 세그먼트(5310)의 제1 및 제2 스캔 섹션(5212,5214)에 할당되는 반면, 제2 검색용 데이터(5400)에는 제2 세그먼트(5320)의 제3 및 제4 스캔 섹션(5216,5218)에 각각 할당된다. 다른 예로, 도 55 및 도 56을 참조하면, 제1 검색용 데이터(5500)에는 쉬프트 주파수의 변경이 가능한 타이밍 식별자(TargetT1, TargetT2)가 제1 및 제3 스캔 섹션(5212,5216)에 할당되는 반면, 제2 검색용 데이터(5600)에는 제2 및 제4 스캔 섹션(5214,5218)에 각각 할당된다. Also, the plurality of data for search may have different positions of scan sections to which the shift frequency or timing identifiers (TargetT1, TargetT2) of which the period of the shift frequency is changed in order to find a usable shift frequency are allocated. For example, referring to FIGS. 53 and 54 , in the first search data 5300 , timing identifiers (TargetT1, TargetT2) capable of changing the shift frequency are included in the first and second scan sections of the first segment 5310 . (5212 and 5214), while the second data for retrieval 5400 is assigned to the third and fourth scan sections 5216 and 5218 of the second segment 5320, respectively. As another example, referring to FIGS. 55 and 56 , in the first search data 5500, timing identifiers (TargetT1, TargetT2) capable of changing the shift frequency are allocated to the first and third scan sections 5212 and 5216. On the other hand, the second search data 5600 is allocated to the second and fourth scan sections 5214,5218, respectively.

즉 검색용 데이터 생성장치는 각 검색용 데이터의 입력 스캔 패턴에 존재하는 각각의 사용 가능한 주파수 검색 대상 스캔 섹션에 쉬프트 주파수의 변경이 가능한 타이밍 식별자(TargetT1, TargetT2)를 각각 할당하고 나머지 스캔 섹션에는 모두 동일한 타이밍 식별자(T1)를 할당하되, 복수의 검색용 데이터에 대해 사용 가능한 주파수 검색 대상 스캔 섹션의 위치는 서로 다르게 할 수 있다. 또한 대상 스캔 섹션의 직전 또는 직후에 위치한 스캔 섹션의 타이밍 식별자는 상기 대상 스캔 섹션의 타이밍 식별자와 다르다. That is, the search data generating device allocates timing identifiers (TargetT1, TargetT2) capable of changing the shift frequency to each available frequency search target scan section existing in the input scan pattern of each search data, and assigns them to all other scan sections. The same timing identifier T1 may be allocated, but positions of the frequency search target scan sections usable for a plurality of search data may be different from each other. Also, the timing identifier of the scan section located immediately before or after the target scan section is different from the timing identifier of the target scan section.

또는 검색용 데이터 생성장치는 각 검색용 데이터의 입력 스캔 패턴에 존재하는 각각의 주파수 검색 대상 스캔 섹션에 서로 다른 타이밍 식별자(Target_T1, Target_T2)를 각각 할당하고 나머지 스캔 섹션에는 상기 대상 스캔 색션에 할당된 타이밍 식별자(Target_T1, Target_T2)와 다른 타이밍 식별자를 할당하되, 입력 스캔 패턴의 모든 스캔 섹션에 할당된 타이밍 식별자의 개수는 IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수 또는 타이밍 식별자의 개수 이하가 되게 할 수 있다. 또한 대상 스캔 섹션의 직전 또는 직후에 위치한 스캔 섹션의 타이밍 식별자는 상기 대상 스캔 섹션의 타이밍 식별자와 다르다.Alternatively, the search data generating apparatus allocates different timing identifiers (Target_T1, Target_T2) to each frequency search target scan section existing in the input scan pattern of each search data, and allocates different timing identifiers (Target_T1, Target_T2) to the remaining scan sections to the target scan section. Allocate timing identifiers different from the timing identifiers (Target_T1, Target_T2), so that the number of timing identifiers assigned to all scan sections of the input scan pattern is less than or equal to the number of frequency or timing identifiers that can be set or controlled by the IC chip test apparatus can do. Also, the timing identifier of the scan section located immediately before or after the target scan section is different from the timing identifier of the target scan section.

검색용 데이터에서 테스트 대상 IC 칩에 첫 번째로 입력되는 입력 스캔 패턴이 IC 칩에 입력될 때 IC 칩에서 출력되는 패턴에 대한 예측 패턴으로서 돈케어(Don't-care) 예측 패턴이 검색용 데이터에 포함될 수 있다.In the search data, when the input scan pattern first input to the IC chip under test is input to the IC chip, the Don't-care prediction pattern is the prediction pattern for the pattern output from the IC chip. can be included in

도 22, 도 23, 도 25 내지 도 28, 도 53 내지 도 56은 검색용 데이터의 일 실시 예이며, 검색용 데이터에 사용되는 타이밍 식별자는 원본 테스트 데이터에서 사용된 타이밍 식별자와 다른 타이밍 식별자가 사용될 수도 있다. 예를 들어, 도 52 및 도 53의 타이밍 식별자 T1은 도 51의 원본 테스트 데이터에서 사용된 기존 타이밍 식별자 T1과 다른 타이밍 식별자가 사용될 수도 있다. 22, 23, 25 to 28, and 53 to 56 are examples of data for search, and a timing identifier different from the timing identifier used in the original test data is used for the timing identifier used for the search data. may be For example, a timing identifier different from the existing timing identifier T1 used in the original test data of FIG. 51 may be used for the timing identifier T1 of FIGS. 52 and 53 .

도 22, 도 23, 도 25 내지 도 28, 도 53 내지 도 56은 검색용 데이터의 일 실시 예이며, 검색용 데이터에서 사용 가능한 쉬프트 주파수 검색 대상 스캔 섹션을 포함하는 대상 입력 스캔 패턴(예를 들어, 도 53의 입력 스캔 패턴 51)의 앞 또는 뒤에는 한 개 이상의 입력 스캔 패턴이 포함될 수 있다. 이때 검색용 데이터에 포함되는 전체 입력 스캔 패턴의 개수는 원본 스캔 패턴 집합에 포함된 전체 입력 스캔 패턴의 개수보다 작도록 하는 것이 바람직하며 이 경우 다음과 같은 효과와 장점이 있다.22, 23, 25 to 28, and 53 to 56 are an example of search data, and a target input scan pattern including a shift frequency search target scan section usable in the search data (for example, , one or more input scan patterns may be included before or after the input scan pattern 51 of FIG. 53 . In this case, it is preferable that the number of total input scan patterns included in the search data be smaller than the number of total input scan patterns included in the original scan pattern set. In this case, the following effects and advantages are provided.

(1) 모든 대상 스캔 섹션의 사용 가능한 주파수를 검색하는 시간을 줄일 수 있다.(1) It can reduce the time to search for available frequencies of all target scan sections.

(2) 검색용 데이터 저장 매체의 저장 용량을 절약할 수 있다.(2) The storage capacity of the data storage medium for retrieval can be saved.

(3) 검색용 데이터 파일을 IC 칩 테스트 장치에서 사용하기 위한 파일로 변환하는 컴파일 시간을 줄일 수 있다.(3) It is possible to reduce the compile time for converting the search data file into a file for use in the IC chip test device.

(4) IC 칩 테스트 장치에 검색용 데이터 파일을 로드(load)하는 시간을 줄일 수 있게 되어 모든 대상 스캔 섹션의 최적 쉬프트 주파수를 찾는 전체 과정의 시간을 줄일 수 있다.(4) It is possible to reduce the time for loading the data file for search into the IC chip test device, thereby reducing the time of the entire process of finding the optimal shift frequency of all target scan sections.

도 22, 도 23, 도 25 내지 도 28, 도 53 내지 도 56은 검색용 데이터의 일 실시 예이며, 검색용 데이터에서 사용 가능한 쉬프트 주파수 검색 대상 스캔 섹션을 포함하는 대상 입력 스캔 패턴은 대상 스캔 섹션 외의 스캔 섹션을 한 개 이상 포함할 수 있다.22, 23, 25 to 28, and 53 to 56 are one embodiment of data for search, and the target input scan pattern including the shift frequency search target scan section usable in the search data is the target scan section It may contain one or more scan sections other than

도 22, 도 23, 도 25 내지 도 28, 도 53 내지 도 56은 검색용 데이터의 일 실시 예이며, 검색용 데이터에서 사용 가능한 쉬프트 주파수 검색 대상 스캔 섹션을 포함하는 대상 입력 스캔 패턴 외에 상기 검색용 데이터에 포함된 한 개 이상의 다른 입력 스캔 패턴은 서로 다른 타이밍 식별자가 할당된 복수 개의 스캔 섹션을 포함할 수 있다. 그리고 검색용 데이터에서 대상 스캔 섹션 이외의 스캔 섹션에 할당된 타이밍 식별자는 대상 스캔 섹션의 타이밍 식별자와 다르다. 검색용 데이터에서 IC 칩 테스트 장치에 의해 설정되거나 제어되는 타이밍 식별자의 개수는 IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수 또는 타이밍 식별자의 개수 이하이다.22, 23, 25 to 28, and 53 to 56 are an example of search data, and in addition to a target input scan pattern including a shift frequency search target scan section usable in the search data, the search One or more different input scan patterns included in the data may include a plurality of scan sections assigned different timing identifiers. In addition, a timing identifier assigned to a scan section other than the target scan section in the search data is different from the timing identifier of the target scan section. The number of timing identifiers set or controlled by the IC chip test apparatus in the search data is less than or equal to the number of frequency or timing identifiers that the IC chip test apparatus can set or control.

도 58은 본 발명의 실시 예에 따른 검색용 데이터 파일의 일 예를 도시한 도면이다.58 is a diagram illustrating an example of a data file for search according to an embodiment of the present invention.

도 58을 참조하면, 복수의 검색용 데이터(5812,5822,5832)가 하나의 파일(5800)에 함께 존재한다. 각 검색용 데이터(5812,5822,5832)는 특정 대상 스캔 섹션의 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾기 위해 사용되는 데이터이다. 예를 들어, 도 53 및 도 54의 검색용 데이터(5300,5400)는 하나의 파일로 생성될 수 있다. 하나의 파일에 저장되는 검색용 데이터의 개수는 실시 예에 따라 다양하게 변형 가능하다. 또한 도 58의 일 실시 예에서와 같이 하나의 파일에 저장되는 검색용 데이터는 스캔 섹션의 사용 가능한 쉬프트 주파수를 찾기 위한 다양한 형태의 검색용 데이터가 가능하다.Referring to FIG. 58 , a plurality of search data 5812 , 5822 , and 5832 exist together in one file 5800 . Each of the search data 5812 , 5822 , and 5832 is data used to find an usable shift frequency or an optimal shift frequency of a specific target scan section. For example, the search data 5300 and 5400 of FIGS. 53 and 54 may be generated as one file. The number of search data stored in one file may be variously modified according to an embodiment. In addition, as in the embodiment of FIG. 58 , various types of search data for finding a usable shift frequency of a scan section are available for search data stored in one file.

복수의 검색용 데이터(5812,5822,5832)는 검색용 데이터를 식별하거나 구분하기 위한 식별자(5810,5820,5830)와 함께 파일에 저장될 수 있다. 즉, 식별자(5810,5820,5830)는 특정 검색용 데이터를 사용하여 스캔 테스를 수행하기 위해 사용된다. IC 칩 테스트 장치는 식별자(5810,5820,5830)를 기초로 검색용 데이터가 어느 대상 스캔 섹션의 최적 쉬프트 주파수를 찾기 위한 검색용 데이터인지 파악할 수 있다. IC 칩 테스트 장치는 식별자(5810,5820,5830)를 기초로 파악된 검색용 데이터를 이용하여 반복적으로 스캔 테스트를 수행하면서 검색용 데이터에 포함된 적어도 하나 이상의 대상 스캔 섹션의 사용 가능한 쉬프트 주파수를 찾을 수 있다.The plurality of data for search 5812 , 5822 , and 5832 may be stored in a file together with identifiers 5810 , 5820 , and 5830 for identifying or distinguishing data for search. That is, the identifiers 5810 , 5820 , and 5830 are used to perform a scan test using specific search data. The IC chip test apparatus may determine which data for search is data for search for finding the optimal shift frequency of which target scan section, based on the identifiers 5810, 5820, and 5830. The IC chip test apparatus finds usable shift frequencies of at least one target scan section included in the search data while repeatedly performing a scan test using the search data identified based on the identifiers 5810, 5820, and 5830. can

IC 칩 테스트 장치는 검색용 데이터 파일을 읽어 메모리에 식별자(5810,5820,5830)와 검색용 데이터(5812,5822,5832)에 대한 정보 또는 데이터를 저장할 수 있다. 예를 들어, 메모리에는 제1 식별자(5810) - 제1 검색용 데이터(5812) - 제2 식별자(5820) - 제2 검색용 데이터(5822)에 대한 정보 또는 데이터 등이 순차적으로 저장될 수 있다. The IC chip test apparatus may read the data file for search and store information or data on the identifiers 5810 , 5820 , and 5830 and the search data 5812 , 5822 , and 5832 in a memory. For example, information or data on the first identifier 5810 - the first search data 5812 - the second identifier 5820 - the second search data 5822 may be sequentially stored in the memory. .

일 실시 예로, 식별자(5810,5820,5830)는 IC 칩 테스트 장치가 스캔 테스트를 수행하기 위한 검색용 데이터의 시작 위치와 종료 위치를 파악하기 위해 사용될 수 있다. 예를 들어, 제2 검색용 데이터(5822)를 이용하여 스캔 섹션의 최적 쉬프트 주파수를 찾고자 하는 경우, IC 칩 테스트 장치는 제2 검색용 데이터(5822)의 시작 위치를 나타내는 제2 식별자(5820)를 메모리에서 파악한 후 제2 식별자(5820)의 위치로부터 순차적으로 메모리의 테스트 데이터를 읽어 스캔 테스트를 수행하는 과정을 제3 식별자를 만날 때까지 수행할 수 있다. 즉, 제2 식별자와 제3 식별자는 제2 검색용 데이터의 테스트 시작 위치와 정지 위치 정보 또는 스캔 테스트의 시작과 정지를 위한 테스트 장치 명령으로 사용될 수 있다. According to an embodiment, the identifiers 5810, 5820, and 5830 may be used to identify a start position and an end position of search data for the IC chip test apparatus to perform a scan test. For example, when it is desired to find the optimal shift frequency of the scan section using the second data for retrieval 5822 , the IC chip test apparatus uses a second identifier 5820 indicating a start position of the data for second retrieval 5822 . After finding out from the memory, a process of sequentially reading test data from the memory from the location of the second identifier 5820 to perform a scan test may be performed until the third identifier is met. That is, the second identifier and the third identifier may be used as test start position and stop position information of the second search data or a test device command for starting and stopping the scan test.

다른 실시 예로, 각 식별자(5810,5820,5830)와 함께 검색용 데이터의 존재 구간을 나타내는 정보가 함께 존재할 수 있다. 예를 들어, 각 검색용 데이터의 시작위치와 끝 위치를 나타내는 표식(예를 들어, 시작위치 "{" 끝 위치 "}")이 검색용 데이터(5812,5822,5832)의 앞 뒤에 존재할 수 있다. 이 경우, 제2 검색용 데이터(5822)를 이용하여 스캔 섹션의 최적 쉬프트 주파수를 찾고자 하는 경우, IC 칩 테스트 장치는 제2 식별자(5820)를 기초로 메모리에서 제2 검색용 데이터가 존재하는 영역을 파악한 후 기 정의된 시작 위치에서 끝 위치 사이에 존재하는 테스트 데이터를 읽어 IC 칩의 스캔 테스트 과정을 수행할 수 있다.In another embodiment, information indicating an existence period of data for search may be present together with each identifier 5810 , 5820 , and 5830 . For example, markers indicating the start and end positions of each data for retrieval (eg, start position "{" end position "}") may exist before and after the data for retrieval 5812 , 5822 , and 5832 . . In this case, when it is desired to find the optimal shift frequency of the scan section using the second search data 5822 , the IC chip test apparatus performs an area in the memory where the second search data exists based on the second identifier 5820 . After acknowledging , the scan test process of the IC chip can be performed by reading the test data existing between the predefined start position and the end position.

복수의 검색용 데이터를 하나의 파일로 만들면 다음과 같은 효과와 장점이 있다.Creating multiple search data into one file has the following effects and advantages.

(1) 사용 가능한 주파수 또는 최적 주파수 검색을 위한 검색용 데이터 파일을 IC 칩 테스트 장치의 메모리에 로드(load)하는 횟수가 줄어들어 전체 검색용 데이터 로드시간을 줄일 수 있다.(1) The number of times of loading a search data file for searching an available frequency or an optimal frequency into the memory of the IC chip test device is reduced, so that the entire search data load time can be reduced.

(2) 여러 개의 검색용 데이터 파일에 중복되어 있는 헤더 데이터 등과 같은 중복된 내용이 줄어들게 되어 검색용 데이터 파일의 바이트 크기를 줄일 수 있다. 따라서 검색용 데이터 파일을 저장하기 위한 저장매체의 저장공간을 절약할 수 있다.(2) Duplicate contents such as header data duplicated in multiple search data files are reduced, so that the byte size of the search data file can be reduced. Therefore, it is possible to save the storage space of the storage medium for storing the data file for search.

(3) IC 칩 테스트 장치에서 사용되는 형태로의 파일로 컴파일하는 시간을 줄일 수 있다. 예를 들어, 컴파일러가 여러 개의 개별 파일에 대해 처리하는 중복된 동작 시간을 줄일 수 있으므로 검색용 데이터 파일의 컴파일 시간을 줄일 수 있다. 또한 상기 (2) 번의 이유로 컴파일 시간을 더욱 줄일 수 있다.(3) It is possible to reduce the time for compiling a file in the form used in the IC chip test device. For example, the compilation time of data files for retrieval can be reduced because it can reduce the redundant operation time that the compiler processes for multiple individual files. In addition, the compilation time can be further reduced for the reason of (2) above.

도 59는 본 발명의 실시 예에 따른 검색용 데이터 생성장치의 일 예를 도시한 도면이다.59 is a diagram illustrating an example of an apparatus for generating data for a search according to an embodiment of the present invention.

도 59를 참조하면, 검색용 데이터 생성장치(5900)는 세그먼트생성부(5910), 데이터생성부(5920), 데이터저장부(5930)를 포함한다. 검색용 데이터 생성장치(5900)는 도 2 또는 도 3의 호스트 컴퓨터의 일부로 구현되거나 또는 별개의 컴퓨팅 장치로 구현될 수 있다.Referring to FIG. 59 , the search data generating device 5900 includes a segment generating unit 5910 , a data generating unit 5920 , and a data storage unit 5930 . The search data generating device 5900 may be implemented as a part of the host computer of FIG. 2 or 3 , or may be implemented as a separate computing device.

세그먼트생성부(5910)는 IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수의 개수 또는 타이밍 식별자의 개수가 입력 스캔 패턴을 분할하는 스캔 섹션의 개수보다 작으면, 입력 스캔 패턴을 적어도 하나 이상의 스캔 섹션을 포함하는 적어도 둘 이상의 세그먼트로 구분한다.When the number of frequencies or the number of timing identifiers that can be set or controlled by the IC chip test apparatus is smaller than the number of scan sections dividing the input scan pattern, the segment generator 5910 generates the input scan pattern in at least one scan section. It is divided into at least two or more segments containing

데이터생성부(5920)는 세그먼트의 개수에 해당하는 복수의 검색용 데이터를 생성한다. 검색용 데이터의 생성의 예가 도 53 내지 도 56에 도시되어 있다. 일 예로, 검색용 데이터의 각각은 입력 스캔 패턴에 포함된 복수의 스캔 섹션 중 동일한 타이밍 식별자가 할당된 적어도 둘 이상의 스캔 섹션을 포함하되, 사용 가능한 쉬프트 주파수를 찾기 위하여 검색용 데이터를 이용한 스캔 테스트를 반복 수행할 때 쉬프트 주파수 또는 쉬프트 주파수의 주기가 변경되는 타이밍 식별자가 할당되는 스캔 섹션은 서로 상이하도록 생성될 수 있다.The data generator 5920 generates a plurality of search data corresponding to the number of segments. Examples of generation of data for retrieval are shown in FIGS. 53 to 56 . For example, each of the search data includes at least two or more scan sections to which the same timing identifier is assigned among a plurality of scan sections included in the input scan pattern, and a scan test using the search data is performed to find an usable shift frequency. The scan sections to which the shift frequency or the timing identifier to which the period of the shift frequency is changed during repetition are allocated may be generated to be different from each other.

또한 일 실시 예로, 검색용 데이터의 각각에서 입력 스캔 패턴에 포함된 사용 가능한 쉬프트 주파수 검색 대상 스캔 섹션 각각에 할당되는 타이밍 식별자는 서로 상이하도록 할당되고, 대상 스캔 섹션 이외의 스캔 섹션에 할당되는 타이밍 식별자는 대상 스캔 섹션에 할당되는 타이밍 식별자와 상이하되, 입력 스캔 패턴의 각각의 스캔 섹션에 할당된 서로 다른 타이밍 식별자의 전체 개수는 IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수 또는 타이밍 식별자 개수 이하가 되도록 검색용 데이터가 생성될 수 있다. 그리고 검색용 데이터에서 검색 대상 스캔 섹션의 타이밍 식별자는 검색 대상 스캔 섹션의 직전 또는 직후의 스캔 섹션의 타이밍 식별자와 상이하다. Also, as an embodiment, timing identifiers assigned to each of the available shift frequency search target scan sections included in the input scan pattern in each of the search data are assigned to be different from each other, and a timing identifier assigned to a scan section other than the target scan section is different from the timing identifier assigned to the target scan section, provided that the total number of different timing identifiers assigned to each scan section of the input scan pattern is less than or equal to the number of frequency or timing identifiers that the IC chip test device can set or control. Data for search may be generated as much as possible. And, in the data for retrieval, the timing identifier of the scan section to be searched is different from the timing identifier of the scan section immediately before or after the scan section to be searched.

데이터저장부(5930)는 복수의 검색용 데이터를 각각의 파일로 저장하거나 식별자로 구분하여 하나의 파일로 저장할 수 있다. 복수의 검색용 데이터를 하나의 파일로 저장한 예가 도 58에 도시되어 있다.The data storage unit 5930 may store a plurality of data for retrieval as individual files or may store them as one file by classifying them by identifiers. An example of storing a plurality of data for retrieval as one file is shown in FIG. 58 .

IC 칩을 제조하는 공정이 미세화될수록, 제조 공정 변이(process variation)이나 불안정한 테스트 환경이 수율에 미치는 영향이 커지게 된다. 그 결과로 수율이 불안정해지거나 낮아질 수 있고, 많은 시간과 큰 경제적 손실로 이어질 수 있다. 따라서 가능한 빠른 시간 이내에 수율을 불안정하게 하거나 낮게 하는 원인을 찾고 분석 및 해결을 해야 한다. As the process of manufacturing an IC chip becomes more refined, the influence of a manufacturing process variation or an unstable test environment on yield increases. As a result, the yield may become unstable or low, which may lead to a lot of time and great economic loss. Therefore, it is necessary to find, analyze, and solve the cause of unstable or low yield as quickly as possible.

스캔 섹션별 사용 가능한 쉬프트 주파수 정보에 기초하여 얻은 스캔 쉬프트 주파수 특성 데이터(scan shift frequency characterization data)를 수율을 불안정하게 하거나 낮게 하는 원인을 찾고 분석하는데 활용할 수 있다. 이에 대한 예가 도 60 내지 도 62에 도시되어 있다.The scan shift frequency characterization data obtained on the basis of the available shift frequency information for each scan section may be used to find and analyze the cause of destabilizing or lowering the yield. An example of this is shown in FIGS. 60 to 62 .

도 60은 본 발명의 실시 예에 따른 스캔 섹션별 쉬프트 주파수 정보에 기초하여 IC 칩의 고장 또는 결함 위치 후보를 찾는 방법의 일 예를 도시한 도면이다.60 is a diagram illustrating an example of a method for finding a failure or defect location candidate of an IC chip based on shift frequency information for each scan section according to an embodiment of the present invention.

도 60을 참조하면, 칩 분석 장치는 IC 설계 데이터(6000), 원본 스캔 패턴 데이터(6010), 스캔 쉬프트 주파수 특성 데이터(6020)를 이용하여 IC 칩의 고장 또는 결함 위치 후보를 분석할 수 있다. 칩 분석 장치는 도 2 또는 도 3의 호스트 컴퓨터의 일부로 구현되거나 또는 별개의 컴퓨팅 장치로 구현될 수 있다.Referring to FIG. 60 , the chip analysis apparatus may analyze a failure or defect location candidate of an IC chip using IC design data 6000 , original scan pattern data 6010 , and scan shift frequency characteristic data 6020 . The chip analysis apparatus may be implemented as a part of the host computer of FIG. 2 or 3 or may be implemented as a separate computing device.

먼저 본 실시 예에서 사용하는 데이터에 대해 살펴본다.First, let's look at the data used in this embodiment.

IC 설계 데이터(6000)는 IC 칩의 회로 구조 데이터를 포함하고 있으며 게이트 레벨 수순의 설계 데이터(gate-level netlist design date) 또는 물리적 레이아웃 수준의 설계 데이터(physical layout design date)일 수 있다. The IC design data 6000 includes circuit structure data of the IC chip, and may be gate-level netlist design data or physical layout design data.

원본 스캔 패턴 데이터(6010)는 IC 칩의 테스트를 위해 사용되는 적어도 하나 이상의 스캔 패턴이다. The original scan pattern data 6010 is at least one scan pattern used for testing the IC chip.

스캔 패턴을 분할한 스캔 섹션별 사용 가능한 주파수에 대한 정보는 도 1 내지 도 59에서 살핀 방법으로 획득한 정보일 수 있다. 또는 스캔 섹션별 사용 가능한 주파수는 본 발명에 개시되지 않은 종래의 다양한 방법을 통해 얻은 정보일 수 있다. 다시 말해, 본 실시 예에서 스캔 섹션별 사용 가능한 주파수를 파악하는 방법은 특정 방법으로 한정되는 것은 아니다. 다른 실시 예로, 스캔 섹션별 사용 가능한 쉬프트 주파수에 대한 정보는 미리 정의되어 있을 수 있다.Information on available frequencies for each scan section in which the scan pattern is divided may be information obtained by the salpin method in FIGS. 1 to 59 . Alternatively, the frequency available for each scan section may be information obtained through various conventional methods not disclosed in the present invention. In other words, in the present embodiment, the method of determining the available frequencies for each scan section is not limited to a specific method. In another embodiment, information on usable shift frequencies for each scan section may be predefined.

스캔 쉬프트 주파수 특성 데이터(6020)는 스캔 섹션의 사용 가능한 주파수에 대한 정보 또는 상기 정보에 기초한 분석 및 통계 데이터일 수 있다. 예를 들어, 복수 개의 IC 칩에 대한 스캔 섹션별로 파악한 최소 또는 최대 쉬프트 주파수, 최소 또는 최대 쉬프트 주파수들의 평균, 최소 또는 최대 쉬프트 주파수들의 분산 또는, 최소 또는 최대 쉬프트 주파수들의 표준편차와 같은 통계 데이터일 수 있다. 다른 실시 예로, 스캔 쉬프트 주파수 특성 데이터(6020)는 스캔 테스트 결과가 패스(pass)인 두 쉬프트 주파수 구간 사이에서 발생된 쉬프트 주파수 패일홀(hail hall)에 대한 정보일 수 있다. 또한 쉬프트 주파수 특성 데이터(6020)는 쉬프트 주파수의 주기(즉, 쉬프트 클록의 주기) 값을 사용한 데이터일 수 있다.The scan shift frequency characteristic data 6020 may be information on usable frequencies of the scan section or analysis and statistical data based on the information. For example, statistical data such as the minimum or maximum shift frequency, the average of the minimum or maximum shift frequencies, the variance of the minimum or maximum shift frequencies, or the standard deviation of the minimum or maximum shift frequencies obtained for each scan section for a plurality of IC chips can In another embodiment, the scan shift frequency characteristic data 6020 may be information on a shift frequency hail hall generated between two shift frequency sections in which the scan test result is a pass. Also, the shift frequency characteristic data 6020 may be data using a shift frequency period (ie, shift clock period) value.

IC 고장(fault) 또는 결함(defect)을 발생시킬 수 있는 회로 부분 후보를 파악하기 위하여 사용할 스캔 쉬프트 주파수 특성 데이터는 실시 예에 따라 다양할 수 있다. 칩 분석 장치는 스캔 쉬프트 주파수 특성 데이터(6020)를 기반으로 기 정의된 조건에 해당하는 값을 가진 스캔 섹션을 수율 등에 영향을 미치는 회로 부분의 위치를 찾기 위한 본 실시 예의 관심 스캔 섹션으로 선택할 수 있다. 예를 들어, 칩 분석 장치는 복수 개의 IC 칩에 대해 스캔 섹션별로 파악한 최대 쉬프트 주파수의 표준편차 중에서 가장 큰 값을 가진 스캔 섹션을 관심 스캔 섹션으로 선택할 수 있다. 선택된 관심 스캔 섹션이 IC 설계 상에서 활성화시키는 회로 부분을 공정변이 등에 의해 IC 고장(fault)을 발생시키는 등 수율을 불안정하거나 낮게 할 수 있는 원인이 될 수 있는 회로 위치의 후보로 파악할 수 있다. The scan shift frequency characteristic data to be used to identify a circuit part candidate capable of generating an IC fault or defect may vary according to embodiments. The chip analysis apparatus may select a scan section having a value corresponding to a predefined condition based on the scan shift frequency characteristic data 6020 as the scan section of interest in this embodiment to find the location of the circuit part that affects the yield, etc. . For example, the chip analysis apparatus may select the scan section having the largest value among the standard deviations of the maximum shift frequencies determined for each scan section of the plurality of IC chips as the scan section of interest. The circuit part activated by the selected scan section of interest in the design of the IC may be identified as a candidate for a circuit location that may cause an unstable or low yield, such as an IC fault caused by a process variation or the like.

칩 분석 장치는 스캔 섹션의 쉬프트 주파수 특성 데이터에 기초하여 선택된 관심 스캔 섹션에 의해 IC 설계 상에서 활성화되는 회로의 위치를 시뮬레이션 등을 통해 찾을 수 있다(6030). 예를 들어, IC 칩에서 활성화되는 위치는 스캔 섹션이 입력될 때 비트 값이 바뀌는 회로 부분의 위치일 수 있다. IC 설계 데이터를 기반으로 스캔 패턴(또는 스캔 섹션)이 IC 칩에 입력되었을 때 IC 칩에서 활성화되는 회로 위치를 찾는 종래의 다양한 시뮬레이션 방법이 본 실시 예에 적용될 수 있다. 선택된 스캔 섹션(즉, 관심 스캔 섹션)은 입력 스캔 패턴 또는 입력 스캔 패턴의 일부일 수 있다. The chip analysis apparatus may find a position of a circuit activated on the IC design by the scan section of interest selected based on the shift frequency characteristic data of the scan section through simulation or the like ( 6030 ). For example, the activated position in the IC chip may be a position of a circuit portion in which a bit value is changed when a scan section is input. Various conventional simulation methods for finding a circuit position activated in the IC chip when a scan pattern (or scan section) is input to the IC chip based on the IC design data may be applied to the present embodiment. The selected scan section (ie, the scan section of interest) may be an input scan pattern or part of an input scan pattern.

칩 분석 장치는 관심 스캔 섹션에 의해 활성화되는 IC 칩의 회로 부분의 위치를 데이터 형태 또는 도 61과 같이 시각화 형태로 출력할 수 있다(6040). The chip analysis apparatus may output the position of the circuit part of the IC chip activated by the scan section of interest in the form of data or in the form of visualization as shown in FIG. 61 ( 6040 ).

본 실시 예의 방법을 사용하여, 제조된 IC 칩의 고장 또는 결함 원인 분석을 위해 확인해야 하는 회로 위치의 범위를 줄여나갈 수 있는 효과가 있다. 따라서 고장 원인의 위치를 찾는데 도움을 줄 뿐만 아니라 고장 원인을 파악하고 해결하기 위해 소요되는 시간을 줄일 수 있는 장점이 있다. 또한 다른 다양한 방법을 통해 IC 설계 상에서 많은 고장 위치 후보를 찾은 경우에, 본 실시 예를 함께 사용하면 실제 고장 위치를 보다 정확히 찾기 위한 추가적인 정보를 얻을 수 있는 잇점이 있다.By using the method of the present embodiment, there is an effect that the range of a circuit location to be checked for failure or defect cause analysis of a manufactured IC chip can be reduced. Therefore, it not only helps to locate the cause of the failure, but also has the advantage of reducing the time required to identify and solve the cause of the failure. In addition, when many fault location candidates are found in the IC design through various other methods, there is an advantage in that additional information can be obtained to more accurately find the actual fault location when the present embodiment is used together.

도 61은 본 발명의 실시 예에 따른 스캔 섹션에 의해 활성화되는 IC 칩의 회로 부분을 시각화하여 표시하는 방법의 일 예를 도시한 도면이다.61 is a diagram illustrating an example of a method of visualizing and displaying a circuit part of an IC chip activated by a scan section according to an embodiment of the present invention.

도 61을 참조하면, 칩 분석 장치는 입력 스캔 패턴(6100)에서 관심 스캔 섹션(6110)이 선택되면, 선택된 관심 스캔 섹션(6110)에 의해 활성화되는 IC 칩(6120)의 회로 위치(6130)를 파악한다. 예를 들어, 칩 분석 장치는 IC 설계 데이터를 기초로 관심 스캔 섹션(6110)이 IC 칩(6120)에 입력될 때 비트 값이 바뀌는 회로 부분의 위치를 활성화되는 회로 위치로 파악할 수 있다. 칩 분석 장치는 IC 설계 데이터를 기준으로 활성화되는 회로 부분의 위치(6130)를 시각화하여 표시할 수 있다. Referring to FIG. 61 , when the scan section 6110 of interest is selected in the input scan pattern 6100, the chip analysis device determines the circuit position 6130 of the IC chip 6120 activated by the selected scan section 6110 of interest. figure out For example, the chip analysis apparatus may determine a position of a circuit part in which a bit value is changed when the scan section 6110 of interest is input to the IC chip 6120 based on the IC design data as an activated circuit position. The chip analysis apparatus may visualize and display the position 6130 of the circuit part activated based on the IC design data.

도 62는 본 발명의 실시 예에 따른 칩 분석 장치의 일 예의 구성을 도시한 도면이다.62 is a diagram illustrating a configuration of an example of a chip analysis apparatus according to an embodiment of the present invention.

도 62를 참조하면, 칩 분석 장치(6200)는 데이터분석부(6210), 회로위치파악부(6220) 및 출력부(6230)를 포함한다. Referring to FIG. 62 , the chip analysis apparatus 6200 includes a data analysis unit 6210 , a circuit location determining unit 6220 , and an output unit 6230 .

데이터분석부(6210)는 스캔 섹션별 사용 가능한 쉬프트 주파수에 대한 정보를 분석하여 스캔 쉬프트 주파수 특성 데이터를 파악한다. The data analysis unit 6210 analyzes information on available shift frequencies for each scan section to determine scan shift frequency characteristic data.

회로위치파악부(6220)는 칩의 고장 또는 결함에 영향을 미칠 수 있는 회로 부분을 파악하기 위하여 스캔 쉬프트 주파수 특성 데이터를 기준으로 기 정의된 조건을 만족하는 관심 스캔 섹션을 선택하고, 선택된 관심 스캔 섹션에 의해 활성화되는 IC 칩의 회로 부분의 위치를 IC 설계 데이터를 기초로 파악한다. The circuit location determining unit 6220 selects a scan section of interest that satisfies a predefined condition based on the scan shift frequency characteristic data in order to identify a circuit part that may affect a failure or defect of the chip, and select the selected scan of interest The position of the circuit part of the IC chip activated by the section is determined based on the IC design data.

출력부(6230)는 파악된 회로 부분의 위치를 데이터 형태로 출력하거나 도 61과 같이 시각화하여 출력한다. The output unit 6230 outputs the identified position of the circuit part in the form of data or visualizes and outputs it as shown in FIG. 61 .

본 발명의 수행을 위한 기능 및 본 발명을 수행하여 얻은 스캔 쉬프트 주파수 정보 또는 정보가 반영된 스캔 섹션 정보는 컴퓨터로 읽을 수 있는 기록매체에 컴퓨터가 읽을 수 있는 코드 또는 데이터로서 구현하는 것이 가능하다. 코드의 일 예로서 실행 가능한 컴퓨터 프로그램 또는 소프트웨어 등이 있다. 코드 또는 데이터는 스캔 테스트 장치, 번인 테스트 장치 또는 컴퓨터 등의 장치에서 실행되거나 사용될 수 있다. 컴퓨터가 읽을 수 있는 기록매체는 컴퓨터 시스템에 의하여 읽혀질 수 있는 데이터가 저장되는 모든 종류의 기록장치를 포함한다. 컴퓨터가 읽을 수 있는 기록매체의 예로는 다양한 형태의 ROM, RAM, FLASH 메모리, CD-ROM, 자기 테이프, 플로피디스크, 하드디스크, 광데이터 저장장치 등이 있다.The function for performing the present invention and scan shift frequency information obtained by performing the present invention or scan section information in which information is reflected can be implemented as computer readable codes or data on a computer readable recording medium. An example of the code is an executable computer program or software. The code or data may be executed or used on a device such as a scan test device, burn-in test device, or computer. The computer-readable recording medium includes all types of recording devices in which data readable by a computer system is stored. Examples of the computer-readable recording medium include various types of ROM, RAM, FLASH memory, CD-ROM, magnetic tape, floppy disk, hard disk, and optical data storage device.

또한 컴퓨터가 읽을 수 있는 기록매체는 네트워크로 연결된 컴퓨터 시스템에 분산되어 분산방식으로 컴퓨터가 읽을 수 있는 코드 또는 데이터가 저장되고 실행될 수도 있다. 본 발명의 최소한 하나의 실시예에서, 컴퓨터 프로그램 코드 또는 데이터는 서버 컴퓨터에 저장되고 클라이언트 컴퓨터에서 서버 컴퓨터에 접속하여 코드 또는 데이터를 사용하거나 클라이언트 컴퓨터로 다운로드하여 저장 또는 사용할 수도 있다. 예를 들어, 서버 컴퓨터 또는 클라이언트 컴퓨터에서 프로그램 코드를 실행할 수 있다.In addition, the computer-readable recording medium may be distributed in network-connected computer systems to store and execute computer-readable codes or data in a distributed manner. In at least one embodiment of the present invention, the computer program code or data is stored on a server computer, and the client computer may access the server computer to use the code or data, or download it to the client computer for storage or use. For example, the program code may be executed on a server computer or a client computer.

이제까지 본 발명에 대하여 그 바람직한 실시예들을 중심으로 살펴보았다. 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자는 본 발명이 본 발명의 본질적인 특성에서 벗어나지 않는 범위에서 변형된 형태로 구현될 수 있음을 이해할 수 있을 것이다. 그러므로 개시된 실시예들은 한정적인 관점이 아니라 설명적인 관점에서 고려되어야 한다. 본 발명의 범위는 전술한 설명이 아니라 특허청구범위에 나타나 있으며, 그와 동등한 범위 내에 있는 모든 차이점은 본 발명에 포함된 것으로 해석되어야 할 것이다.So far, the present invention has been looked at with respect to preferred embodiments thereof. Those of ordinary skill in the art to which the present invention pertains will understand that the present invention can be implemented in a modified form without departing from the essential characteristics of the present invention. Therefore, the disclosed embodiments are to be considered in an illustrative rather than a restrictive sense. The scope of the present invention is indicated in the claims rather than the foregoing description, and all differences within the scope equivalent thereto should be construed as being included in the present invention.

Claims (14)

IC 칩 테스트에 사용되는 스캔 섹션의 사용 가능한 쉬프트 주파수를 찾는데 사용되는 검색용 데이터를 생성하는 방법에 있어서,
IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수 또는 타이밍 식별자의 개수가 입력 스캔 패턴을 분할하는 스캔 섹션의 개수보다 작으면, 상기 입력 스캔 패턴을 적어도 하나 이상의 스캔 섹션을 포함하는 적어도 둘 이상의 세그먼트로 구분하는 단계; 및
상기 세그먼트의 개수에 해당하는 복수의 검색용 데이터를 생성하는 단계;를 포함하고,
상기 복수의 검색용 데이터 각각이 포함하는 상기 입력 스캔 패턴은 IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수 또는 타이밍 식별자의 개수 이하의 타이밍 식별자가 할당된 적어도 둘 이상의 스캔 섹션으로 구성되며,
상기 복수의 검색용 데이터 각각에서 상기 입력 스캔 패턴에 포함된 사용 가능한 주파수 검색 대상 스캔 섹션 각각에 할당된 타이밍 식별자는 서로 다르며,
상기 복수의 검색용 데이터 각각에서 상기 입력 스캔 패턴에 포함된 사용 가능한 주파수 검색 대상 스캔 섹션 외의 다른 스캔 섹션에 할당된 타이밍 식별자는 상기 대상 스캔 섹션에 할당된 타이밍 식별자와 다르며,
상기 검색용 데이터에 포함된 스캔 섹션의 쉬프트 주파수 또는 쉬프트 주파수의 주기는 스캔 섹션에 할당된 타이밍 식별자를 사용하여 설정하거나 변경 가능한 것을 특징으로 하는 검색용 데이터 생성 방법.
A method for generating searchable data used to find usable shift frequencies of a scan section used for IC chip testing, the method comprising:
If the number of frequency or timing identifiers that can be set or controlled by the IC chip test device is smaller than the number of scan sections dividing the input scan pattern, the input scan pattern is divided into at least two segments including at least one scan section. distinguishing; and
Including; generating a plurality of data for search corresponding to the number of segments;
The input scan pattern included in each of the plurality of data for retrieval consists of at least two scan sections to which timing identifiers equal to or less than the number of frequencies or timing identifiers that can be set or controlled by the IC chip test apparatus are assigned,
Timing identifiers assigned to each of the available frequency search target scan sections included in the input scan pattern in each of the plurality of search data are different from each other,
In each of the plurality of search data, a timing identifier assigned to a scan section other than the available frequency search target scan section included in the input scan pattern is different from a timing identifier assigned to the target scan section,
A shift frequency or a period of a shift frequency of the scan section included in the data for search can be set or changed using a timing identifier assigned to the scan section.
제 1항에 있어서, 상기 검색용 데이터를 생성하는 단계는,
복수의 세그먼트 중 적어도 하나 이상의 세그먼트에 포함된 스캔 섹션에 모두 동일한 타이밍 식별자를 할당하되, 모두 동일한 타이밍 식별자가 할당되는 세그먼트의 적어도 하나 이상의 위치가 서로 상이한 복수의 검색용 데이터를 생성하는 단계;를 포함하는 것을 특징으로 하는 검색용 데이터 생성 방법.
The method of claim 1, wherein the generating of the search data comprises:
Allocating the same timing identifier to the scan sections included in at least one segment among the plurality of segments, and generating a plurality of search data in which at least one location of the segment to which all the same timing identifier is assigned is different from each other; includes; A method for generating data for search, characterized in that
제 1항에 있어서, 상기 검색용 데이터를 생성하는 단계는,
복수의 세그먼트 중 적어도 둘 이상의 세그먼트의 일부 스캔 섹션에 동일한 타이밍 식별자를 할당하되, 상기 동일한 타이밍 식별자가 할당되는 스캔 섹션 중 적어도 하나 이상의 위치는 서로 상이한 복수의 검색용 데이터를 생성하는 단계;를 포함하는 것을 특징으로 하는 검색용 데이터 생성 방법.
The method of claim 1, wherein the generating of the search data comprises:
Allocating the same timing identifier to some scan sections of at least two or more segments among a plurality of segments, and generating a plurality of data for retrieval in which at least one location of the scan sections to which the same timing identifier is assigned is different from each other; A method of generating data for search, characterized in that.
제 1항에 있어서,
상기 복수의 검색용 데이터는 식별자로 구분되어 하나의 파일로 생성되는 것을 특징으로 하는 검색용 데이터 생성 방법.
The method of claim 1,
The plurality of data for search are divided by identifiers and are generated as one file.
제 1항에 있어서,
상기 검색용 데이터에서 테스트 대상 IC 칩에 첫 번째로 입력되는 입력 스캔 패턴이 상기 IC 칩에 입력될 때 상기 IC 칩에서 출력되는 패턴에 대한 예측 패턴으로서 돈케어(Don't-care) 예측 패턴을 함께 포함하는 것을 특징으로 하는 검색용 데이터 생성 방법.
The method of claim 1,
In the search data, a Don't-care prediction pattern is defined as a prediction pattern for a pattern output from the IC chip when the first input scan pattern input to the test target IC chip is input to the IC chip. A method of generating data for search, characterized in that it is included together.
제 1항에 있어서,
상기 검색용 데이터는 사용 가능한 쉬프트 주파수 검색 대상 스캔 섹션을 포함하는 대상 입력 스캔 패턴과,
상기 대상 입력 스캔 패턴의 직전에 IC 칩에 입력되는 입력 스캔 패턴과,
상기 대상 입력 스캔 패턴의 직후에 IC 칩에 입력되는 입력 스캔 패턴을 포함하되,
원본 스캔 패턴 집합의 전체 입력 스캔 패턴의 개수보다 적은 입력 스캔 패턴을 포함하는 것을 특징으로 하는 검색용 데이터 생성 방법.
The method of claim 1,
The search data includes a target input scan pattern including an available shift frequency search target scan section;
an input scan pattern input to the IC chip immediately before the target input scan pattern;
including an input scan pattern input to the IC chip immediately after the target input scan pattern,
A method for generating data for a search, comprising fewer input scan patterns than the total number of input scan patterns in the original scan pattern set.
복수의 검색용 데이터를 기록한 컴퓨터로 읽을 수 있는 기록매체에 있어서,
한 개의 입력 스캔 패턴을 분할하는 복수의 스캔 섹션 각각의 사용 가능한 쉬프트 주파수를 찾기 위해 사용되는 검색용 데이터의 개수는 IC 칩 테스트 장비가 설정하거나 제어할 수 있는 주파수 또는 타이밍 식별자의 개수와 입력 스캔 패턴을 분할하는 스캔 섹션의 개수의 차이를 기초로 결정된 상기 입력 스캔 패턴의 세그먼트의 수와 동일하고,
상기 복수의 검색용 데이터의 각각은,
IC 칩의 스캔 입력 포트에 순차적으로 입력되도록 구성된 복수의 스캔 섹션으로 구성된 입력 스캔 패턴을 포함하는 제1 데이터; 및
상기 복수의 스캔 섹션에 할당된 복수의 타이밍 식별자에 대한 정보를 포함하는 제2 데이터;를 포함하고,
상기 복수의 검색용 데이터 각각에서 입력 스캔 패턴에 포함된 스캔 섹션에 할당된 서로 다른 타이밍 식별자의 개수는 IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수 또는 타이밍 식별자의 개수 이하이며,
상기 검색용 데이터에서 사용 가능한 쉬프트 주파수를 찾고자 하는 대상 스캔 섹션에 할당된 타이밍 식별자와 상기 대상 스캔 섹션의 앞 또는 뒤에 위치한 스캔 섹션의 타이밍 식별자는 서로 상이하며,
상기 검색용 데이터에 포함된 스캔 섹션의 쉬프트 주파수 또는 쉬프트 주파수의 주기는 스캔 섹션에 할당된 타이밍 식별자를 사용하여 설정하거나 변경 가능한 것을 특징으로 하는 검색용 데이터를 기록한 컴퓨터로 읽을 수 있는 기록매체.
In the computer-readable recording medium on which a plurality of search data are recorded,
The number of retrieval data used to find an usable shift frequency of each of a plurality of scan sections dividing one input scan pattern depends on the number of frequency or timing identifiers that can be set or controlled by the IC chip test equipment and the number of input scan patterns. equal to the number of segments of the input scan pattern determined based on a difference in the number of scan sections dividing
Each of the plurality of search data,
first data including an input scan pattern composed of a plurality of scan sections configured to be sequentially input to a scan input port of the IC chip; and
and second data including information on a plurality of timing identifiers allocated to the plurality of scan sections;
The number of different timing identifiers allocated to the scan section included in the input scan pattern in each of the plurality of search data is less than or equal to the number of frequency or timing identifiers that the IC chip test apparatus can set or control,
A timing identifier assigned to a target scan section for which a shift frequency usable in the search data is to be found and a timing identifier of a scan section located before or after the target scan section are different from each other;
A computer-readable recording medium recording data for search, characterized in that the shift frequency or period of the shift frequency of the scan section included in the data for search can be set or changed using a timing identifier assigned to the scan section.
제 7항에 있어서,
상기 복수의 검색용 데이터는, 복수의 세그먼트 중 적어도 하나 이상의 세그먼트에 포함된 스캔 섹션에 모두 동일한 타이밍 식별자가 할당되고, 모두 동일한 타이밍 식별자가 할당되는 세그먼트 중 적어도 하나 이상의 위치가 서로 상이한 것을 특징으로 하는 검색용 데이터를 기록한 컴퓨터로 읽을 수 있는 기록매체.
8. The method of claim 7,
The plurality of search data is characterized in that the same timing identifier is assigned to all scan sections included in at least one segment among the plurality of segments, and at least one or more positions of the segments to which all the same timing identifier are assigned are different from each other. A computer-readable recording medium on which data for retrieval is recorded.
제 7항에 있어서,
상기 검색용 데이터에서 테스트 대상 IC 칩에 첫 번째로 입력되는 입력 스캔 패턴이 상기 IC 칩에 입력될 때 상기 IC 칩에서 출력되는 패턴에 대한 예측 패턴으로서 돈케어(Don't-care) 예측 패턴을 함께 포함하는 것을 특징으로 하는 검색용 데이터를 기록한 컴퓨터로 읽을 수 있는 기록매체.
8. The method of claim 7,
In the search data, a Don't-care prediction pattern is defined as a prediction pattern for a pattern output from the IC chip when the first input scan pattern input to the test target IC chip is input to the IC chip. A computer-readable recording medium on which data for retrieval is recorded, characterized in that it is included together.
제 7항에 있어서,
상기 복수의 검색용 데이터는 식별자로 구분되어 하나의 파일로 저장되는 것을 특징으로 하는 검색용 데이터를 기록한 컴퓨터로 읽을 수 있는 기록매체.
8. The method of claim 7,
The plurality of data for search are divided by identifiers and stored as a single file. A computer-readable recording medium recording data for search.
제 7항에 있어서,
상기 검색용 데이터는 사용 가능한 쉬프트 주파수 검색 대상 스캔 섹션을 포함하는 대상 입력 스캔 패턴과,
상기 대상 입력 스캔 패턴의 직전에 IC 칩에 입력되는 입력 스캔 패턴과,
상기 대상 입력 스캔 패턴의 직후에 IC 칩에 입력되는 입력 스캔 패턴을 포함하되,
원본 스캔 패턴 집합의 전체 입력 스캔 패턴의 개수보다 적은 입력 스캔 패턴을 포함하는 것을 특징으로 하는 검색용 데이터를 기록한 컴퓨터로 읽을 수 있는 기록매체.
8. The method of claim 7,
The search data includes a target input scan pattern including an available shift frequency search target scan section;
an input scan pattern input to the IC chip immediately before the target input scan pattern;
including an input scan pattern input to the IC chip immediately after the target input scan pattern,
A computer-readable recording medium for recording search data, characterized in that it includes input scan patterns that are less than the total number of input scan patterns in the original scan pattern set.
IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수 또는 타이밍 식별자의 개수가 입력 스캔 패턴을 분할하는 스캔 섹션의 개수보다 작으면, 상기 입력 스캔 패턴을 적어도 하나 이상의 스캔 섹션을 포함하는 적어도 둘 이상의 세그먼트로 구분하는 세그먼트생성부; 및
상기 세그먼트의 개수에 해당하는 복수의 검색용 데이터를 생성하는 데이터생성부;를 포함하고,
상기 복수의 검색용 데이터 각각이 포함하는 상기 입력 스캔 패턴은 IC 칩 테스트 장치가 설정하거나 제어할 수 있는 주파수 또는 타이밍 식별자의 개수 이하의 타이밍 식별자가 할당된 적어도 둘 이상의 스캔 섹션으로 구성되며,
상기 복수의 검색용 데이터 각각에서 상기 입력 스캔 패턴에 포함된 사용 가능한 주파수 검색 대상 스캔 섹션 각각에 할당된 타이밍 식별자는 서로 다르며,
상기 복수의 검색용 데이터 각각에서 상기 입력 스캔 패턴에 포함된 사용 가능한 주파수 검색 대상 스캔 섹션 외의 다른 스캔 섹션에 할당된 타이밍 식별자는 상기 대상 스캔 섹션에 할당된 타이밍 식별자와 다르며,
상기 검색용 데이터에 포함된 스캔 섹션의 쉬프트 주파수 또는 쉬프트 주파수의 주기는 스캔 섹션에 할당된 타이밍 식별자를 사용하여 설정하거나 변경 가능한 것을 특징으로 하는 검색용 데이터 생성장치.
If the number of frequency or timing identifiers that can be set or controlled by the IC chip test device is smaller than the number of scan sections dividing the input scan pattern, the input scan pattern is divided into at least two segments including at least one scan section. segment generating unit to distinguish; and
Including; a data generator for generating a plurality of data for search corresponding to the number of segments;
The input scan pattern included in each of the plurality of data for retrieval consists of at least two scan sections to which timing identifiers equal to or less than the number of frequencies or timing identifiers that can be set or controlled by the IC chip test apparatus are assigned,
Timing identifiers assigned to each of the available frequency search target scan sections included in the input scan pattern in each of the plurality of search data are different from each other,
In each of the plurality of search data, a timing identifier assigned to a scan section other than the available frequency search target scan section included in the input scan pattern is different from a timing identifier assigned to the target scan section,
A shift frequency or a period of a shift frequency of the scan section included in the data for retrieval can be set or changed using a timing identifier assigned to the scan section.
제 12항에 있어서,
상기 복수의 검색용 데이터를 식별자로 구분하여 하나의 파일에 저장하는 데이터저장부;를 더 포함하는 것을 특징으로 하는 검색용 데이터 생성장치.
13. The method of claim 12,
and a data storage unit for classifying the plurality of search data by identifiers and storing the data in a single file.
제 1항 내지 제 6항 중 어느 한 항에 기재된 방법을 수행하기 위한 컴퓨터 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
A computer-readable recording medium recording a computer program for performing the method according to any one of claims 1 to 6.
KR1020210108626A 2021-08-18 2021-08-18 Method and apparatus for generating search-data for searching optimal shift frequency of test data for IC chip scan test KR102373560B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020210108626A KR102373560B1 (en) 2021-08-18 2021-08-18 Method and apparatus for generating search-data for searching optimal shift frequency of test data for IC chip scan test
PCT/KR2021/011562 WO2023022279A1 (en) 2021-08-18 2021-08-28 Method for generating search data for finding usable shift frequencies of test data for ic chip scan test, and device therefor
KR1020220026307A KR20230026931A (en) 2021-08-18 2022-02-28 Method and apparatus for generating search-data for searching optimal shift frequency of test data for IC chip scan test

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020210108626A KR102373560B1 (en) 2021-08-18 2021-08-18 Method and apparatus for generating search-data for searching optimal shift frequency of test data for IC chip scan test

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220026307A Division KR20230026931A (en) 2021-08-18 2022-02-28 Method and apparatus for generating search-data for searching optimal shift frequency of test data for IC chip scan test

Publications (1)

Publication Number Publication Date
KR102373560B1 true KR102373560B1 (en) 2022-03-14

Family

ID=80823902

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020210108626A KR102373560B1 (en) 2021-08-18 2021-08-18 Method and apparatus for generating search-data for searching optimal shift frequency of test data for IC chip scan test
KR1020220026307A KR20230026931A (en) 2021-08-18 2022-02-28 Method and apparatus for generating search-data for searching optimal shift frequency of test data for IC chip scan test

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020220026307A KR20230026931A (en) 2021-08-18 2022-02-28 Method and apparatus for generating search-data for searching optimal shift frequency of test data for IC chip scan test

Country Status (2)

Country Link
KR (2) KR102373560B1 (en)
WO (1) WO2023022279A1 (en)

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120117436A1 (en) * 2009-03-04 2012-05-10 Michele Portolan Method and apparatus for deferred scheduling for jtag systems
US20120137186A1 (en) * 2009-03-04 2012-05-31 Michele Portolan Method and apparatus for position-based scheduling for jtag systems
KR20120102876A (en) 2011-03-09 2012-09-19 삼성전자주식회사 Semiconductor device and test system including the same
US20150058688A1 (en) * 2005-03-21 2015-02-26 Texas Instruments Incorporated System and method for sharing a communications link between multiple communications protocols
KR20160051491A (en) * 2014-10-29 2016-05-11 (주)이노티오 Method for minimizing burn-in test time and apparatus therefor
KR20170123260A (en) * 2016-04-28 2017-11-07 (주)이노티오 Apparatus, method, and system for testing integrated circuit chip
US20180045781A1 (en) * 2016-04-28 2018-02-15 Innotio Inc. Apparatus, method, and system for testing ic chip
US20180348298A1 (en) * 2017-06-01 2018-12-06 Seagate Technology Llc Rapid scan testing of integrated circuit chips

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014001937A (en) * 2012-06-15 2014-01-09 Renesas Electronics Corp Scan test method, program and scan test circuit

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150058688A1 (en) * 2005-03-21 2015-02-26 Texas Instruments Incorporated System and method for sharing a communications link between multiple communications protocols
US20150212153A1 (en) * 2005-03-21 2015-07-30 Texas Instruments Incorporated System and method for sharing a communications link between multiple communications protocols
US20180180673A1 (en) * 2005-03-21 2018-06-28 Texas Instruments Incorporated System and method for sharing a communications link between multiple communications protocols
US20120117436A1 (en) * 2009-03-04 2012-05-10 Michele Portolan Method and apparatus for deferred scheduling for jtag systems
US20120137186A1 (en) * 2009-03-04 2012-05-31 Michele Portolan Method and apparatus for position-based scheduling for jtag systems
KR20120102876A (en) 2011-03-09 2012-09-19 삼성전자주식회사 Semiconductor device and test system including the same
KR20170049357A (en) * 2014-10-29 2017-05-10 (주)이노티오 Method for minimizing chip test time and apparatus therefor
KR20160067815A (en) * 2014-10-29 2016-06-14 (주)이노티오 Method for minimizing chip test time and apparatus therefor
KR20160052348A (en) * 2014-10-29 2016-05-12 (주)이노티오 Method for minimizing chip test time and apparatus therefor
KR20180006480A (en) * 2014-10-29 2018-01-17 (주)이노티오 Apparatus, method, and system for testing integrated circuit chip
KR20160051491A (en) * 2014-10-29 2016-05-11 (주)이노티오 Method for minimizing burn-in test time and apparatus therefor
US20180106859A1 (en) * 2015-10-27 2018-04-19 Innotio Inc. Apparatus, method, and system for testing ic chip
KR20170123260A (en) * 2016-04-28 2017-11-07 (주)이노티오 Apparatus, method, and system for testing integrated circuit chip
US20180045781A1 (en) * 2016-04-28 2018-02-15 Innotio Inc. Apparatus, method, and system for testing ic chip
US20180348298A1 (en) * 2017-06-01 2018-12-06 Seagate Technology Llc Rapid scan testing of integrated circuit chips
US20190339326A1 (en) * 2017-06-01 2019-11-07 Seagate Technology Llc Rapid scan testing of integrated circuit chips

Also Published As

Publication number Publication date
WO2023022279A1 (en) 2023-02-23
KR20230026931A (en) 2023-02-27

Similar Documents

Publication Publication Date Title
KR101923142B1 (en) Apparatus, method, and system for testing integrated circuit chip
TWI629493B (en) Apparatus, method, and system for testing ic chip
JP6209299B1 (en) IC chip test apparatus, IC chip test method, and IC chip test system
US7568139B2 (en) Process for identifying the location of a break in a scan chain in real time
US7870519B2 (en) Method for determining features associated with fails of integrated circuits
US10067186B2 (en) Method and apparatus for generating featured test pattern
Hellebrand et al. FAST-BIST: Faster-than-at-Speed BIST targeting hidden delay defects
JP2018010005A (en) Ic chip test device, ic test chip method and ic chip test system
KR101848480B1 (en) Apparatus, method, and system for testing integrated circuit chip
KR102373560B1 (en) Method and apparatus for generating search-data for searching optimal shift frequency of test data for IC chip scan test
Lee et al. On complete functional broadside tests for transition faults
US6751765B1 (en) Method and system for determining repeatable yield detractors of integrated circuits
TW201625973A (en) Method for minimizing chip test time and apparatus therefor
US11422188B2 (en) Isometric control data generation for test compression
JP2022187741A (en) Analysis program, analysis method and analysis device
KR101034661B1 (en) Method and apparatus for testing memory device using 2 loading memories
KR101618821B1 (en) Method for minimizing scan test time and apparatus therefor
US20070204192A1 (en) Method for detecting defects of a chip
US11232246B2 (en) Layout-friendly test pattern decompressor
US20170010320A1 (en) Reducing test time and system-on-chip (soc) area reduction using simultaneous clock capture based on voltage sensor input
Bernardi et al. About the correlation between logical identified faulty gates and their layout characteristics
Seuring An Introduction to Scan Test for Test Engineers

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant