KR101923142B1 - Apparatus, method, and system for testing integrated circuit chip - Google Patents

Apparatus, method, and system for testing integrated circuit chip Download PDF

Info

Publication number
KR101923142B1
KR101923142B1 KR1020180002993A KR20180002993A KR101923142B1 KR 101923142 B1 KR101923142 B1 KR 101923142B1 KR 1020180002993 A KR1020180002993 A KR 1020180002993A KR 20180002993 A KR20180002993 A KR 20180002993A KR 101923142 B1 KR101923142 B1 KR 101923142B1
Authority
KR
South Korea
Prior art keywords
scan
pattern
shift frequency
test
section
Prior art date
Application number
KR1020180002993A
Other languages
Korean (ko)
Other versions
KR20180006480A (en
Inventor
송재훈
Original Assignee
주식회사 이노티오
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 이노티오 filed Critical 주식회사 이노티오
Publication of KR20180006480A publication Critical patent/KR20180006480A/en
Application granted granted Critical
Publication of KR101923142B1 publication Critical patent/KR101923142B1/en

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • G01R31/2856Internal circuit aspects, e.g. built-in test features; Test chips; Measuring material aspects, e.g. electro migration [EM]
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2896Testing of IC packages; Test features related to IC packages
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318544Scanning methods, algorithms and patterns
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318544Scanning methods, algorithms and patterns
    • G01R31/318547Data generators or compressors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318583Design for test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318594Timing aspects

Abstract

테스트 대상 회로를 포함하는 IC 칩의 스캔 입력 포트를 통해 스캔 경로에 스캔 패턴을 입력해서 스캔 출력 포트를 통해 출력되는 출력 값을 사전 설정된 예측 값과 비교하여 IC 칩의 결함 유무를 검사하는 스캔 테스트를 수행하기 위한 IC 칩 테스트 장치는, 스캔 패턴 집합에 포함된 적어도 두 개 이상의 스캔 섹션 중 사용 가능한 쉬프트 주파수를 검색하고자 하는 대상 스캔 섹션을 스캔 경로로 쉬프트하여 스캔 테스트 결과가 정상 또는 실패인 쉬프트 주파수를 검색하는 쉬프트 주파수 검색부를 구비한다. 쉬프트 주파수 검색부는, 대상 스캔 섹션에 대한 쉬프트 주파수 검색 시에 대상 스캔 섹션의 쉬프트 주파수를 스캔 경로로 쉬프트하는 다른 스캔 섹션 중 최소한 하나의 스캔 섹션과는 다르게 증가 또는 감소시키거나 다른 쉬프트 주파수로 설정하여 스캔 테스트 결과가 정상 또는 실패인 쉬프트 주파수를 검색한다.A scan test is performed in which a scan pattern is input to a scan path through a scan input port of an IC chip including a circuit to be tested and an output value outputted through a scan output port is compared with a predetermined predicted value to check whether or not an IC chip is defective An IC chip testing apparatus for performing a shift test shifts a target scan section to be searched for usable shift frequencies among at least two or more scan sections included in a set of scan patterns by a scan path so that a shift frequency And a shift frequency search unit for searching for the shift frequency. The shift frequency search unit may increase or decrease the shift frequency of the target scan section in the shift frequency search for the target scan section differently from at least one of the other scan sections that shift in the scan path, And searches for a shift frequency whose scan test result is normal or failed.

Description

IC 칩 테스트 장치, IC 칩 테스트 방법, 및 IC 칩 테스트 시스템 {Apparatus, method, and system for testing integrated circuit chip}[0001] The present invention relates to an IC chip testing apparatus, an IC chip testing method, and an IC chip testing system,

본 발명은 IC(Integrated Circuit) 칩 테스트 장치, IC 칩 테스트 방법, 및 IC 칩 테스트 시스템에 관한 것이다.The present invention relates to an IC (Integrated Circuit) chip test apparatus, an IC chip test method, and an IC chip test system.

IC 칩을 테스트하는 가장 일반적인 방법은 IC 칩의 입력에 테스트 데이터를 인가하고 이에 대한 IC 칩의 출력 값을 사전 설정된 예측 값(expected value) 또는 예측 결과(expected result)와 비교하는 것이다 (예를 들어, 특허문헌 1 참조). 그러나 플립플롭(flip-flop)과 같은 저장소자(storage element)를 가진 순차 회로(Sequential Logic)를 포함한 IC 칩의 경우에는 외부에서 IC 칩 내의 플립플롭에 원하는 값을 인가하거나 플립플롭의 값을 외부에서 검지하기가 쉽지 않다.The most common method for testing an IC chip is to apply test data to the input of the IC chip and compare the output value of the IC chip with an expected value or an expected result (for example, , See Patent Document 1). However, in the case of an IC chip including a sequential logic having a storage element such as a flip-flop, a desired value is applied to the flip-flop in the IC chip from the outside or a value of the flip- It is not easy to detect at.

스캔 설계(scan design) 방법은 회로의 제어도(controllability) 및 관측도(observability)를 높이기 위해 이용되는 테스트를 고려한 설계(DFT, design for testability) 방법 중 하나이다. 스캔 설계 방법을 이용하면, 회로의 구조적(structural) 정보를 기초로 테스트 패턴을 자동으로 생성하는 소프트웨어인 ATPG(Automatic Test Pattern Generator)를 이용하여 크기는 작으면서 높은 고장 검출율(fault coverage)을 갖는 테스트 데이터를 얻을 수 있다.The scan design method is one of the design-for-testability (DFT) methods used to increase the controllability and observability of circuits. Using the scan design method, the ATPG (Automatic Test Pattern Generator) is used to automatically generate a test pattern based on the structural information of a circuit. The size of the test pattern is small and has a high fault coverage Test data can be obtained.

다시 말해, 스캔 설계는 스캔 테스트 동안 순차 회로(sequential logic)를 조합 회로(combinational logic)화 하여 칩 외부에서 해당 회로를 쉽게 제어하고 관측할 수 있게 하며, ATPG를 통해 테스트 데이터의 크기를 최소화할 수 있다. 스캔 설계 및 ATPG 소프트웨어를 통해 얻은 테스트 데이터는 적어도 하나 이상의 스캔 패턴으로 구성된다. 스캔 패턴들은 스캔 테스트의 수행에서 순서를 가질 수 있다.In other words, the scan design allows sequential logic to be combinational logic during scan test so that the circuit can be easily controlled and observed outside the chip, and the size of test data can be minimized through ATPG have. The test data obtained through the scan design and the ATPG software are composed of at least one scan pattern. The scan patterns may have an order in performing the scan test.

일반적인 스캔 테스트 과정은 다음과 같다.The general scan test procedure is as follows.

(1) IC 칩의 주 입력 포트에 주 입력 테스트 데이터를 인가한다.(1) Apply the main input test data to the main input port of the IC chip.

(2) 스캔 활성화 포트에 스캔 활성화 신호를 인가하여 IC 칩을 스캔 모드로 설정한다.(2) Set the IC chip to the scan mode by applying a scan enable signal to the scan enable port.

(3) 스캔 입력 포트에 스캔 패턴을 쉬프트인하여 스캔 경로 상의 플립플롭에 스캔 패턴을 로드 한다. 본 명세서에서는 스캔 입력 포트에의 쉬프트인 또는 스캔 출력 포트로부터의 쉬프트아웃을 단지 "쉬프트"라고 통칭하는 경우가 있다. 또한, 스캔 패턴을 쉬프트하는 시간 간격(주기)과 쉬프트 주파수는 역수의 관계이다. 스캔 경로에 로드된 스캔 패턴은 조합회로에 인가된다. 스캔 패턴이 조합회로에 인가된 후 주 출력 포트를 통해 출력되는 결과를 예측된 주 출력 값과 비교하여, 비교결과가 상이하면 IC 칩을 불량으로 판정한다.(3) Load the scan pattern into the flip-flop on the scan path by shifting the scan pattern to the scan input port. In the present specification, there is a case where a shift-in from the scan input port or a shift-out from the scan output port is simply referred to as "shift ". The time interval (period) for shifting the scan pattern and the shift frequency are inversely related. The scan pattern loaded in the scan path is applied to the combinational circuit. After the scan pattern is applied to the combination circuit, the result output through the main output port is compared with the predicted main output value, and if the comparison result is different, the IC chip is judged as defective.

(4) 스캔 활성화 포트에 스캔 비활성화 신호를 인가하여 IC 칩을 스캔 모드에서 기능 모드로 전환한다. 기능 모드에서, 클락 신호가 인가되면 플립플롭은 조합회로의 출력 값을 캡쳐하며, 이러한 동작을 스캔 캡쳐라고 하고, 이때의 모드를 또한 스캔 캡쳐 모드 라고도 부른다.(4) Turn on the scan enable signal to switch the IC chip from scan mode to functional mode. In the functional mode, when a clock signal is applied, the flip-flop captures the output value of the combinational circuit. This operation is called scan capture, and the mode at this time is also referred to as a scan capture mode.

(5) 스캔 활성화 포트에 스캔 활성화 신호를 인가하여 IC 칩을 다시 기능 모드에서 스캔 모드로 전환한다.(5) Scan Activation The scan enable signal is applied to the port to switch the IC chip back from the functional mode to the scan mode.

(6) 그리고, 스캔 경로 상의 플립플롭에 캡쳐된 값을 스캔 출력 포트를 통해 쉬프트아웃하여 언로드한다.(6) Then, the value captured in the flip-flop on the scan path is shifted out and unloaded through the scan output port.

(7) 언로드된 출력 패턴과 미리 알고 있는 예측 패턴을 비교하여 IC 칩의 정상 동작 여부를 파악한다. 여기서, 예측 패턴은 IC 칩이 정상적인 경우 주 입력 테스트 데이터와 스캔 패턴을 인가하고 스캔 캡쳐 동작을 한 후 스캔 출력 포트를 통해 출력되는 스캔 패턴으로서 테스트 전에 미리 알고 있는 값 또는 예측되는 결과 패턴이다. 단계 (3)에서의 비교 결과가 동일하고 단계 (7)에서의 비교 결과가 동일하면, 테스트 결과가 정상(pass)이므로 IC 칩은 양품이며 그렇지 않으면 IC 칩은 불량품이다. 테스트 정상(pass)은 IC 칩에 이상이 없다고(fault-free) 판단되는 경우를 의미하며, 테스트 실패(fail)는 IC 칩에 이상이 있다고 판단되는 경우를 의미한다.(7) The unloaded output pattern is compared with a predicted pattern that is known beforehand to determine whether the IC chip is operating normally. Here, the prediction pattern is a scan pattern that is output through the scan output port after the main input test data and the scan pattern are applied and the scan capture operation is performed when the IC chip is normal, and is a known value or a predicted result pattern before the test. If the comparison result in step (3) is the same and the comparison result in step (7) is the same, the IC chip is good because the test result is pass, otherwise the IC chip is defective. A test pass means a case in which the IC chip is judged to be fault-free, and a test failure means a case in which it is judged that there is an abnormality in the IC chip.

스캔 테스트의 종류는 크게 고착 고장(stuck-at-fault) 테스트와 지연 고장(delay fault) 테스트로 나뉜다. 여기서, 고착 고장은 IC 칩 상의 어느 신호선이 논리 0(logic 0) 또는 논리 1(logic 1) 값으로 의도하지 않게 고착된 상태를 의미하고, 지연고장은 IC 칩 상에서 어느 신호선(line) 또는 경로(path)를 통해 신호 값을 전달할 때 지연 시간으로 인해 IC 칩의 사양을 만족하지 못하는 상태를 의미한다.The types of scan tests are divided into stuck-at-fault test and delay fault test. In this case, a stuck-at fault refers to a state in which any signal line on the IC chip is inadvertently stuck to a logic 0 (logic 0) or logic 1 (logic 1) value, and a delay fault means a signal line or path path) of the IC chip due to the delay time when the signal value is transmitted through the IC chip.

지연 고장 테스트에는 천이 지연(transition delay) 테스트와 경로 지연(path delay) 테스트가 있으며, 엣-스피드(at-speed) 테스트로 불리기도 한다. 천이 지연 테스트는 IC 칩 상의 어느 특정 노드(node) 또는 신호선에 0-to-1 또는 1-to-0 신호 값 천이 지연 시간 문제가 있는지 테스트하는 것이다. 경로 지연 테스트는 IC 칩 상의 어느 특정 신호경로에 0-to-1 또는 1-to-0 신호 값 천이 지연 시간 문제가 있는지 테스트하는 것이다.Delayed fault tests include transition delay tests and path delay tests, also called at-speed tests. The transition delay test is to test whether a specific node or signal line on the IC chip has a 0-to-1 or 1-to-0 signal value transition delay time problem. The path delay test is to test whether a particular signal path on the IC chip has a 0-to-1 or 1-to-0 signal value transition delay time problem.

지연 고장 테스트를 위한 대표적 방법으로 론치-온-캡쳐(Launch-On-Capture) 방법과 론치-온-쉬프트(Launch-On-Shift) 방법이 있으며, 이들 방법 또한 지연 고장 테스트를 위한 스캔 패턴을 스캔 경로 상에 쉬프트인하는 로드 동작과 스캔 경로 상의 플립플롭에 캡쳐된 지연고장 테스트 결과를 쉬프트아웃하는 언로드 동작으로 구성된다.On-Capture and Launch-On-Shift methods are representative methods for delay fault test, and these methods also scan scan patterns for delay fault test And an unload operation for shifting out the delay fault test result captured in the flip-flop on the scan path.

이와 같은 스캔 테스트의 경우, 스캔 경로 상의 플립플롭의 개수만큼 쉬프트하기 위한 클락 펄스의 개수가 필요하다. 따라서 쉬프트인과 쉬프트아웃 동작에 따른 많은 시간이 소요되는 문제점이 있다. 그러나 테스트 시간을 줄이기 위하여 스캔 패턴을 스캔 경로에 쉬프트하기 위한 클락 신호의 주파수, 즉 쉬프트 주파수를 단순히 높일 수는 없다.In the case of such a scan test, the number of clock pulses for shifting by the number of flip-flops in the scan path is required. Therefore, there is a problem that it takes much time to shift-in and shift-out operation. However, in order to reduce the test time, the frequency of the clock signal for shifting the scan pattern to the scan path, that is, the shift frequency, can not simply be increased.

예를 들어, 스캔 쉬프트 주파수를 단순히 높이게 되면 전력 소모 또는 크리티컬 경로(critical path) 지연 시간 문제 등에 의해 양품을 불량품으로 판정하는 오버킬(over kill) 문제점이 발생할 수 있다.For example, when the scan shift frequency is simply increased, there is a problem of over kill in which a good product is determined as a defective product due to a power consumption or a critical path delay time problem.

또한, 딥 서브 마이크론(DSM, Deep Sub-Micron) 미세 제조 공정 및 저전력 제조 공정뿐만 아니라 저전력 설계에 의해 IC 칩은 더욱 저전력화 되어 가면서 IC 칩 동작 주파수에 대한 파워 서플라이 노이즈의 영향은 더욱 높아졌다. 특히 IC 칩은 기능 모드일 때보다 스캔 모드에서 보다 많은 스위칭 동작이 발생하므로, 스위칭 동작에 따른 파워 서플라이 노이즈로 인해 발생하는 신호선의 추가적인 지연이 지연 테스트 오버킬을 발생시킬 수 있어 단순히 쉬프트 주파수를 높이는데 한계가 있다.In addition to the micro-fabrication process and the low-power manufacturing process as well as the low-power design, the IC chip is further reduced in power consumption, and the influence of the power supply noise on the IC chip operating frequency is further increased. In particular, since the IC chip generates more switching operation than the scan mode in the functional mode, additional delay of the signal line due to the power supply noise due to the switching operation can cause delay test overkill, There is a limit to it.

또한, IC 칩 상의 신호선간 간섭(signal crosstalk)에 의한 신호 무결성(signal integrity) 문제는 DSM 미세 공정으로 가면서 더욱 중요해졌다. 스캔 모드에서 많이 발생하는 스위칭 동작으로 인해 신호선간 간섭이 더욱 심해질 수 있다. 따라서 지연 테스트 시에 신호선간 간섭으로 인해 신호선에 발생하는 추가적인 지연이 지연 테스트 오버킬을 발생시킬 수 있다.In addition, the problem of signal integrity due to signal crosstalk on the IC chip has become more important as it goes to DSM microprocessing. Interference among the signal lines can be further exacerbated by the switching operation which occurs frequently in the scan mode. Therefore, additional delay in the signal line due to inter-signal line interference in the delay test may cause delay test overkill.

또한, 스캔 패턴의 파워 소모 값을 토대로 쉬프트 주파수를 찾는 경우, 파워 소모 값이 IC 칩의 사양을 초과하지 않더라도 스캔 테스트 특성 상 IC 칩에 과도한 회로 스위칭 동작과 제조 공정 변이(process variation)의 영향으로 인해 IR-drop 또는 Ground-bounce로 인한 스캔 테스트 오류 문제가 발생할 수 있다.In the case of finding the shift frequency based on the power consumption value of the scan pattern, even if the power consumption value does not exceed the specification of the IC chip, due to an excessive circuit switching operation and process variation This may cause scan test failure problems due to IR-drop or ground-bounce.

예를 들어, 스캔 패턴을 사용한 지연 테스트 시에 IR-drop, 즉 전압 강하(voltage drop)의 영향으로 인해 특정 신호선에 추가적인 지연이 생길 수 있으며 이는 지연 테스트 오버킬을 야기할 수 있다. 또한 반대로 스캔 패턴의 전력소모가 IC 칩의 스펙을 초과하는 경우라도 IC 칩의 제조 공정 및 설계 특성에 의해 IR-drop 또는 Ground-bounce 문제가 발생하지 않을 수 있다. 따라서 단순히 파워 소모 값만으로는 IC 칩에 대한 최적의 쉬프트 주파수를 찾는 데 한계가 있다. 또한, 스캔 패턴의 파워 소모 값만으로 최대 쉬프트 주파수를 찾는 경우에, 파워 소모 값이 IC 칩의 사양을 초과하지 않더라도 증가된 쉬프트 주파수에 의해 스캔 경로 상에 크리티컬 패스(critical path) 타이밍 문제가 발생할 수 있다.For example, in a delay test using a scan pattern, an additional delay may occur in a particular signal line due to the influence of IR-drop, i.e., voltage drop, which may cause a delay test overrun. Conversely, even if the power consumption of the scan pattern exceeds the specification of the IC chip, the IR-drop or ground-bounce problem may not occur due to the manufacturing process and design characteristics of the IC chip. Therefore, there is a limit to finding an optimal shift frequency for the IC chip by simply using the power consumption value. Also, when searching for the maximum shift frequency only by the power consumption value of the scan pattern, a critical path timing problem may occur on the scan path due to the increased shift frequency even if the power consumption value does not exceed the specification of the IC chip have.

또한, 쉬프트 주파수를 높이면 스캔 경로 상에 크리티컬 패스 타이밍 문제가 생길 수 있지만 스캔 패턴에 의한 논리적인 문제는 생기지 않는 경우가 있다. 다시 말해 스캔 경로의 크리티컬 패스 상의 비트 값의 상태에 따라 거짓(false) 크리티컬 패스의 경우가 특정 스캔 쉬프트 사이클에서 생길 수 있다.In addition, if the shift frequency is increased, a critical path timing problem may occur on the scan path, but a logical problem due to the scan pattern may not occur. In other words, depending on the state of the bit value on the critical path of the scan path, a false critical path case may occur in a certain scan shift cycle.

일 예를 들면, 두개의 연속된 논리-0 비트 값이 스캔 경로상의 크리티컬 패스를 이루는 두 플립플롭에 쉬프트되어 저장된 후, 높은 쉬프트 주파수로 쉬프트 동작을 하면 크리티컬 패스의 시작에 있는 플립플롭에 저장되어 있는 논리-0 비트 값에 대한 신호가 다음 플립플롭에 정상적인 시간 내에 도달할 수 없는 크리티컬 패스 지연시간 문제가 발생할 수 있다. 하지만 쉬프트 동작에 의해 크리티컬 패스를 이루는 두 플립플롭에 저장되는 비트 값의 논리적인 문제는 발생하지 않는 거짓(false) 크리티컬 패스의 경우가 생길 수 있다.For example, if two consecutive logical-0 bit values are shifted and stored in two flip-flops forming a critical path on the scan path, then a shift operation with a high shift frequency is stored in the flip-flop at the beginning of the critical path There may be a critical path delay time problem where the signal for the logical-0 bit value can not reach the next flip-flop in the normal time. However, a false critical path may occur in which a logical problem of bit values stored in two flip-flops forming a critical path does not occur due to a shift operation.

또한, 다중 전압 섬(Voltage Island) 또는 전압 지역(Voltage Domain or Region) 기법을 사용하는 저전력 IC 칩의 경우 높은 속도의 성능이 필요한 설계 지역에는 높은 전압을 공급하고 그렇지 않은 지역에는 상대적으로 낮은 전압을 공급하므로, 각 전압 지역별로 허용되는 전력소모가 다르다. In addition, a low-power IC chip using a voltage island or voltage domain technique provides a high voltage in a design area requiring high-speed performance and a relatively low voltage in a non- As a result, the allowable power consumption differs for each voltage region.

특허문헌 1: 한국특허공개공보 제10-2012-0102876호Patent Document 1: Korean Patent Laid-Open Publication No. 10-2012-0102876

본 발명이 이루고자 하는 기술적 과제는, 테스트 데이터의 주파수를 최적화하여 테스트 시간을 줄이고 테스트 품질과 수율을 향상시킬 수 있는 IC 칩 테스트 장치, IC 칩 테스트 방법, 및 IC 칩 테스트 시스템을 제공하는 데 있다.An object of the present invention is to provide an IC chip testing apparatus, an IC chip testing method, and an IC chip testing system capable of reducing test time and improving test quality and yield by optimizing the frequency of test data.

본 발명의 최소한 하나의 실시예에 의하면, 테스트 대상 회로를 포함하는 IC 칩의 스캔 입력 포트를 통해 스캔 경로에 스캔 패턴을 입력해서 스캔 출력 포트를 통해 출력되는 출력 값을 사전 설정된 예측 값과 비교하여 IC 칩의 결함 유무를 검사하는 스캔 테스트를 수행하기 위한 IC 칩 테스트 장치에 있어서, 스캔 패턴 집합에 포함된 적어도 두 개 이상의 스캔 섹션 중 사용 가능한 쉬프트 주파수를 검색하고자 하는 대상 스캔 섹션을 스캔 경로로 쉬프트하여 스캔 테스트 결과가 정상 또는 실패인 쉬프트 주파수를 검색하는 쉬프트 주파수 검색부를 구비하고, 쉬프트 주파수 검색부는, 대상 스캔 섹션에 대한 쉬프트 주파수 검색 시에 대상 스캔 섹션의 쉬프트 주파수를 스캔 경로로 쉬프트하는 다른 스캔 섹션 중 최소한 하나의 스캔 섹션과는 다르게 증가 또는 감소시키거나 다른 쉬프트 주파수로 설정하여 스캔 테스트 결과가 정상 또는 실패인 쉬프트 주파수를 검색하는, IC 칩 테스트 장치를 제공한다.According to at least one embodiment of the present invention, a scan pattern is input to a scan path through a scan input port of an IC chip including a circuit to be tested, and an output value output through a scan output port is compared with a predetermined predicted value An IC chip testing apparatus for performing a scan test to check for the presence or absence of a defect in an IC chip, the IC chip testing apparatus comprising: a shift register for shifting a target scan section, which is intended to search for usable shift frequencies among at least two or more scan sections included in a set of scan patterns, And a shift frequency search unit for searching for a shift frequency in which the scan test result is normal or failed. The shift frequency search unit searches for a shift frequency of the target scan section in the scan frequency, Increase in at least one scan section Or shifts to another shift frequency to search for a shift frequency whose scan test result is normal or failed.

본 발명의 최소한 하나의 실시예에서, 쉬프트 주파수 검색부는, 대상 스캔 섹션에 대해 사용 가능한 쉬프트 주파수 검색 시에, 대상 스캔 섹션의 쉬프트 주파수를 증가 또는 감소시키면서 스캔 테스트 결과가 정상에서 실패로 바뀌거나 실패에서 정상으로 바뀌는 영역의 쉬프트 주파수를 검색한다.In at least one embodiment of the present invention, the shift frequency searcher is configured to determine whether the scan test result is changed from normal to failed, or a failure is detected while the shift frequency of the target scan section is increased or decreased, To search for the shift frequency of the region that changes from normal to normal.

본 발명의 최소한 하나의 실시예에서, 쉬프트 주파수 검색부는, 대상 스캔 섹션에 대해 사용 가능한 쉬프트 주파수 검색 시에, 대상 스캔 섹션에 대해 제1 쉬프트 주파수를 사용해서 얻어진 제1 스캔 테스트 결과와 대상 스캔 섹션 이전의 어느 하나의 스캔 섹션에 대해 제1 쉬프트 주파수와 다른 제2 쉬프트 주파수를 사용해서 얻어진 제2 스캔 테스트 결과가 모두 정상인 경우에 제1 쉬프트 주파수를 대상 스캔 섹션에 대해 사용 가능한 쉬프트 주파수로 결정한다.In at least one embodiment of the present invention, the shift frequency searcher is configured to determine, at a search of the available shift frequency for the target scan section, a first scan test result obtained using the first shift frequency for the target scan section, The first shift frequency is determined as a usable shift frequency for the target scan section when the second scan test results obtained by using the first shift frequency and the second shift frequency for the previous one scan section are all normal .

본 발명의 최소한 하나의 실시예에서, IC 칩은 웨이퍼 상의 칩 또는 패키징된 칩을 포함한다.In at least one embodiment of the invention, the IC chip comprises a chip on a wafer or a packaged chip.

본 발명의 최소한 하나의 실시예에 의하면, 테스트 대상 회로를 포함하는 IC 칩의 스캔 입력 포트를 통해 스캔 경로에 스캔 패턴을 입력해서 스캔 출력 포트를 통해 출력되는 출력 값을 사전 설정된 예측 값과 비교하여 IC 칩의 결함 유무를 검사하는 스캔 테스트를 수행하기 위한 IC 칩 테스트 장치에 있어서, 제1 스캔 섹션을 포함하는 제1 스캔 패턴을 스캔 경로에 쉬프트 해서 테스트를 수행하는 제1 테스트 단계 및 제1 스캔 섹션 이후의 제2 스캔 섹션을 포함하는 제2 스캔 패턴을 스캔 경로에 쉬프트 해서 테스트를 수행하는 제2 테스트 단계를 수행하여 제2 스캔 섹션에 대해 사용 가능한 쉬프트 주파수를 검색하는 쉬프트 주파수 검색부를 구비하고, 쉬프트 주파수 검색부는, 제1 테스트 단계에서 제1 스캔 섹션을 제1 쉬프트 주파수로 스캔 경로에 쉬프트 하고, 제2 테스트 단계에서 제2 스캔 섹션을 제1 쉬프트 주파수와 상이한 제2 쉬프트 주파수로 스캔 경로에 쉬프트 하며, 제2 스캔 섹션에 대해 사용 가능한 쉬프트 주파수 검색 시에, 제1 테스트 단계의 제1 스캔 테스트 결과와 제2 테스트 단계의 제2 스캔 테스트 결과가 모두 정상인 경우에 상 제2 쉬프트 주파수를 제2 스캔 섹션에 대해 사용 가능한 쉬프트 주파수로 결정하는, IC 칩 테스트 장치를 제공한다.According to at least one embodiment of the present invention, a scan pattern is input to a scan path through a scan input port of an IC chip including a circuit to be tested, and an output value output through a scan output port is compared with a predetermined predicted value 1. An IC chip testing apparatus for performing a scan test for checking whether or not an IC chip is defective, comprising: a first test step of performing a test by shifting a first scan pattern including a first scan section to a scan path; And a second test step of performing a test by shifting a second scan pattern including a second scan section after the first scan section to a scan path to search for a usable shift frequency for the second scan section , The shift frequency search unit shifts the first scan section to the scan path at the first shift frequency in the first test step Shifts the second scan section to a scan path at a second shift frequency that is different from the first shift frequency in a second test step and at a shift frequency search that is available for the second scan section, And determines the second shift frequency as a usable shift frequency for the second scan section when the scan test result and the second scan test result of the second test step are both normal.

본 발명의 최소한 하나의 실시예에서, 제1 스캔 섹션은 제1 스캔 패턴이거나 제1 스캔 패턴의 일부이고, 제2 스캔 섹션은 제2 스캔 패턴이거나 제2 스캔 패턴의 일부이다.In at least one embodiment of the invention, the first scan section is a first scan pattern or a portion of a first scan pattern, and the second scan section is a second scan pattern or a portion of a second scan pattern.

본 발명의 최소한 하나의 실시예에서, 쉬프트 주파수 검색부는, 제2 스캔 섹션에 대해 사용 가능한 쉬프트 주파수 검색 시에, 제2 쉬프트 주파수를 스캔 경로로 쉬프트 하는 다른 스캔 섹션 중 최소한 하나의 스캔 섹션과는 다르게 증가 또는 감소시키거나 다른 주파수로 설정하여 제2 스캔 섹션에 대한 쉬프트 주파수를 검색한다.In at least one embodiment of the present invention, the shift frequency searcher is configured to search for a shift frequency that is available for the second scan section, and at least one scan section among other scan sections that shift the second shift frequency to the scan path The shift frequency for the second scan section is retrieved by increasing or decreasing differently or setting it to another frequency.

본 발명의 최소한 하나의 실시예에서, IC 칩은 웨이퍼 상의 칩 또는 패키징된 칩을 포함한다.In at least one embodiment of the invention, the IC chip comprises a chip on a wafer or a packaged chip.

본 발명의 최소한 하나의 실시예에 의하면, 테스트 대상 회로를 포함하는 IC 칩의 스캔 입력 포트를 통해 스캔 경로에 스캔 패턴을 입력해서 스캔 출력 포트를 통해 출력되는 출력 값을 사전 설정된 예측 값과 비교하여 IC 칩의 결함 유무를 검사하는 스캔 테스트를 수행하기 위한 IC 칩 테스트 장치에서 사용되는 IC 칩 테스트 방법 있어서, 스캔 패턴 집합에 포함된 적어도 두 개 이상의 스캔 섹션 중 사용 가능한 쉬프트 주파수를 검색하고자 하는 대상 스캔 섹션을 스캔 경로로 쉬프트하여 스캔 테스트 결과가 정상 또는 실패인 쉬프트 주파수를 검색하는 쉬프트 주파수 검색 과정을 구비하고, 쉬프트 주파수 검색 과정은, 대상 스캔 섹션에 대한 쉬프트 주파수 검색 시에 대상 스캔 섹션의 쉬프트 주파수를 스캔 경로로 쉬프트하는 다른 스캔 섹션 중 최소한 하나의 스캔 섹션과는 다르게 증가 또는 감소시키거나 다른 쉬프트 주파수로 설정하여 스캔 테스트 결과가 정상 또는 실패인 쉬프트 주파수를 검색하는 과정을 포함하는, IC 칩 테스트 방법을 제공한다.According to at least one embodiment of the present invention, a scan pattern is input to a scan path through a scan input port of an IC chip including a circuit to be tested, and an output value output through a scan output port is compared with a predetermined predicted value A method of testing an IC chip for use in an IC chip test apparatus for performing a scan test for checking the presence or absence of a defect in an IC chip, And a shift frequency search step of searching for a shift frequency whose scan test result is normal or failed by shifting a section of the target scan section to a scan path, Of the other scan sections shifting the scan path To one of the scan section and is increased or decreased, or otherwise set to a different shift frequency to provide, IC chip test comprises the step of scanning the test results searches for the normal or fail the shift frequency.

본 발명의 최소한 하나의 실시예에서, 쉬프트 주파수 검색 과정은, 대상 스캔 섹션에 대해 사용 가능한 쉬프트 주파수 검색 시에, 대상 스캔 섹션의 쉬프트 주파수를 증가 또는 감소시키면서 스캔 테스트 결과가 정상에서 실패로 바뀌거나 실패에서 정상으로 바뀌는 영역의 쉬프트 주파수를 검색하는 과정을 포함한다.In at least one embodiment of the present invention, the shift frequency search process is performed in such a way that, upon search of the available shift frequency for the target scan section, the scan test results are changed from normal to failed while increasing or decreasing the shift frequency of the target scan section And searching for a shift frequency of a region that changes from failure to normal.

본 발명의 최소한 하나의 실시예에서, 쉬프트 주파수 검색 과정은, 대상 스캔 섹션에 대해 사용 가능한 쉬프트 주파수 검색 시에, 대상 스캔 섹션에 대해 제1 쉬프트 주파수를 사용해서 얻어진 제1 스캔 테스트 결과와 대상 스캔 섹션 이전의 어느 하나의 스캔 섹션에 대해 제1 쉬프트 주파수와 다른 제2 쉬프트 주파수를 사용해서 얻어진 제2 스캔 테스트 결과가 모두 정상인 경우에 제1 쉬프트 주파수를 대상 스캔 섹션에 대해 사용 가능한 쉬프트 주파수로 결정하는 과정을 포함한다.In at least one embodiment of the present invention, the shift frequency search process includes a first scan test result obtained using the first shift frequency for the target scan section and a second scan test result obtained using the first scan frequency for the target scan section, The first shift frequency is determined as a usable shift frequency for the target scan section when the second scan test result obtained by using the first shift frequency and the second shift frequency for any one of the scan sections before the section is normal .

본 발명의 최소한 하나의 실시예에서, IC 칩은 웨이퍼 상의 칩 또는 패키징된 칩을 포함한다.In at least one embodiment of the invention, the IC chip comprises a chip on a wafer or a packaged chip.

본 발명의 최소한 하나의 실시예에 의하면, 테스트 대상 회로를 포함하는 IC 칩의 스캔 입력 포트를 통해 스캔 경로에 스캔 패턴을 입력해서 스캔 출력 포트를 통해 출력되는 출력 값을 사전 설정된 예측 값과 비교하여 IC 칩의 결함 유무를 검사하는 스캔 테스트를 수행하기 위한 IC 칩 테스트 장치에서 사용되는 IC 칩 테스트 방법 있어서, 제1 스캔 섹션을 포함하는 제1 스캔 패턴을 스캔 경로에 쉬프트 해서 테스트를 수행하는 제1 테스트 단계 및 제1 스캔 섹션 이후의 제2 스캔 섹션을 포함하는 제2 스캔 패턴을 스캔 경로에 쉬프트 해서 테스트를 수행하는 제2 테스트 단계를 수행하여 제2 스캔 섹션에 대해 사용 가능한 쉬프트 주파수를 검색하는 쉬프트 주파수 검색 과정을 구비하고, 쉬프트 주파수 검색 과정은, 제1 테스트 단계에서 제1 스캔 섹션을 제1 쉬프트 주파수로 스캔 경로에 쉬프트 하고, 제2 테스트 단계에서 제2 스캔 섹션을 제1 쉬프트 주파수와 상이한 제2 쉬프트 주파수로 스캔 경로에 쉬프트 하는 과정 및 제2 스캔 섹션에 대해 사용 가능한 쉬프트 주파수 검색 시에, 제1 테스트 단계의 제1 스캔 테스트 결과와 제2 테스트 단계의 제2 스캔 테스트 결과가 모두 정상인 경우에 상 제2 쉬프트 주파수를 제2 스캔 섹션에 대해 사용 가능한 쉬프트 주파수로 결정하는 과정을 포함하는, IC 칩 테스트 방법을 제공한다.According to at least one embodiment of the present invention, a scan pattern is input to a scan path through a scan input port of an IC chip including a circuit to be tested, and an output value output through a scan output port is compared with a predetermined predicted value A method of testing an IC chip for use in an IC chip testing apparatus for performing a scan test for checking the presence or absence of a defect in an IC chip, the method comprising: a first step of performing a test by shifting a first scan pattern including a first scan section A second test step of performing a test by shifting a second scan pattern including a test step and a second scan section after the first scan section to a scan path is performed to search for a usable shift frequency for the second scan section And a shift frequency search process, wherein the shift frequency search process is performed in a first test step, Shifting the second scan section to a scan path at a second shift frequency different from the first shift frequency in a second test step and shifting the second scan section to a scan path at a shift frequency search available for the second scan section, And determining the second shift frequency as a usable shift frequency for the second scan section when the first scan test result of the first test step and the second scan test result of the second test step are both normal , And an IC chip test method.

본 발명의 최소한 하나의 실시예에서, 제1 스캔 섹션은 제1 스캔 패턴이거나 제1 스캔 패턴의 일부이고, 제2 스캔 섹션은 제2 스캔 패턴이거나 제2 스캔 패턴의 일부이다.In at least one embodiment of the invention, the first scan section is a first scan pattern or a portion of a first scan pattern, and the second scan section is a second scan pattern or a portion of a second scan pattern.

본 발명의 최소한 하나의 실시예에서, 쉬프트 주파수 검색 과정은, 제2 스캔 섹션에 대해 사용 가능한 쉬프트 주파수 검색 시에, 제2 쉬프트 주파수를 스캔 경로로 쉬프트 하는 다른 스캔 섹션 중 최소한 하나의 스캔 섹션과는 다르게 증가 또는 감소시키거나 다른 주파수로 설정하여 제2 스캔 섹션에 대한 쉬프트 주파수를 검색하는 과정을 포함한다.In at least one embodiment of the present invention, the shift frequency search process comprises at least one scan section of the other scan sections shifting the second shift frequency to the scan path during search of the available shift frequency for the second scan section, Includes searching for a shift frequency for the second scan section by increasing or decrementing the scan frequency differently or setting it to another frequency.

본 발명의 최소한 하나의 실시예에서, IC 칩은 웨이퍼 상의 칩 또는 패키징된 칩을 포함한다.In at least one embodiment of the invention, the IC chip comprises a chip on a wafer or a packaged chip.

본 발명의 최소한 하나의 실시예에 의하면, IC 회로의 스캔 테스트를 제어하기 위한 테스터 본체, 테스터 본체에 내장되거나 별도로 구비되어 프로세서를 포함하는 호스트 컴퓨터, 테스트 본체에 전기적으로 연결되고, IC 회로에 테스트 데이터 신호를 입력하기 위한 테스트 헤드, 및 제1 항, 제2 항, 제5 항, 제6 항의 어느 한 항에 기재된 IC 칩 테스트 장치를 구비하는, IC 칩 테스트 시스템을 제공한다.According to at least one embodiment of the present invention, there is provided a method of testing a semiconductor device, comprising: a tester body for controlling a scan test of an IC circuit; a host computer embedded in or separately from the tester body; A test head for inputting a data signal, and an IC chip test apparatus according to any one of claims 1, 2, 5, and 6.

본 발명의 최소한 하나의 실시예에서, 호스트 컴퓨터가 IC 칩 테스트 장치를 포함한다.In at least one embodiment of the present invention, the host computer includes an IC chip test apparatus.

본 발명의 최소한 하나의 실시예에 의하면, 본 발명의 최소한 하나의 실시예에 따른 IC 칩 테스트 방법을 수행하기 위한 프로그램을 기록한, 컴퓨터로 읽을 수 있는 기록매체를 제공한다.According to at least one embodiment of the present invention, there is provided a computer-readable recording medium having recorded thereon a program for performing an IC chip testing method according to at least one embodiment of the present invention.

본 발명의 최소한 하나의 실시예에 의하면, 본 발명의 최소한 하나의 실시예에 따른 IC 칩 테스트 방법을 수행하여 대상 스캔 섹션 각각에 대해 사용 가능한 쉬프트 주파수로 결정된 쉬프트 주파수에 관한 정보를 기록한, 컴퓨터로 읽을 수 있는 기록매체를 제공한다.According to at least one embodiment of the present invention, a method of testing an IC chip according to at least one embodiment of the present invention, comprising the steps of: A recording medium which can be read is provided.

본 발명의 최소한 하나의 실시예에 의하면, 본 발명의 최소한 하나의 실시예에 따른 IC 칩 테스트 방법을 수행하여 대상 스캔 섹션 각각에 대해 사용 가능한 쉬프트 주파수를 검색하기 위해 사용되는, 대상 스캔 섹션을 포함하는 테스트 데이터를 기록한, 컴퓨터로 읽을 수 있는 기록매체를 제공한다.According to at least one embodiment of the present invention there is provided a method of testing an IC chip according to at least one embodiment of the present invention, The test data is recorded on a computer-readable recording medium.

본 발명의 최소한 하나의 실시예에 의하면, 스캔 테스트에 사용 가능한 쉬프트 주파수를 검색하고자 하는 대상 스캔 섹션의 비트 패턴 정보를 포함하는 제1 데이터를 기록매체에 저장하는 단계, 대상 스캔 섹션의 앞에 위치하는 제1 스캔 섹션의 비트 패턴 정보를 포함하는 제2 데이터를 기록매체에 저장하는 단계, 및 대상 스캔 섹션과 제1 스캔 섹션의 타이밍 정보를 포함하는 제3 데이터를 기록매체에 저장하는 단계를 구비하고, 대상 스캔 섹션의 타이밍 정보와 제1 스캔 섹션의 타이밍 정보가 서로 다른, IC 칩 테스트 방법을 제공한다.According to at least one embodiment of the present invention, there is provided a method for controlling a shift register, comprising the steps of: storing, in a recording medium, first data including bit pattern information of a target scan section for which a shift frequency usable for a scan test is sought; Storing second data including bit pattern information of the first scan section on a recording medium and storing third data including a target scan section and timing information of the first scan section on a recording medium And the timing information of the target scan section and the timing information of the first scan section are different from each other.

본 발명의 최소한 하나의 실시예에서, 타이밍 정보는 비트 패턴의 쉬프트 주파수 또는 쉬프트 주파수의 주기를 나타내는 정보이거나, 쉬프트 주파수 또는 쉬프트 주파수의 주기를 제어하고자 하는 비트 패턴을 식별하기 위한 정보이다.In at least one embodiment of the present invention, the timing information is information indicating the shift frequency of the bit pattern or the period of the shift frequency, or information for identifying a bit pattern for controlling the shift frequency or the cycle of the shift frequency.

본 발명의 최소한 하나의 실시예에 의하면, 스캔 테스트에 사용 가능한 쉬프트 주파수를 검색하고자 하는 대상 스캔 섹션의 비트 패턴 정보를 포함하는 제1 데이터, 대상 스캔 섹션의 앞에 위치하는 제1 스캔 섹션의 비트 패턴 정보를 포함하는 제2 데이터, 및 대상 스캔 섹션과 제1 스캔 섹션의 타이밍 정보를 포함하는 제3 데이터가 기록되고, 대상 스캔 섹션의 타이밍 정보와 제1 스캔 섹션의 타이밍 정보가 서로 다른, 컴퓨터로 읽을 수 있는 기록매체를 제공한다.According to at least one embodiment of the present invention, there is provided a method for searching a bitstream of a first scan section, which includes first data including bit pattern information of a target scan section for which a shift frequency usable for a scan test is searched, And a third data including a target scan section and timing information of the first scan section are recorded and the timing information of the target scan section and the timing information of the first scan section are different from each other, A recording medium which can be read is provided.

본 발명의 최소한 하나의 실시예에서, 타이밍 정보는 비트 패턴의 쉬프트 주파수 또는 쉬프트 주파수의 주기를 나타내는 정보이거나, 쉬프트 주파수 또는 쉬프트 주파수의 주기를 제어하고자 하는 비트 패턴을 식별하기 위한 정보이다.In at least one embodiment of the present invention, the timing information is information indicating the shift frequency of the bit pattern or the period of the shift frequency, or information for identifying a bit pattern for controlling the shift frequency or the cycle of the shift frequency.

본 발명에 따르면, IC 칩 테스트 시에 스캔 패턴, 스캔 섹션 또는 섹션 그룹별로, 전력 소모 또는 크리티컬 경로(critical path) 지연 시간만을 고려하여 쉬프트 주파수를 높이는 경우에 오버 쉬프트 주파수로 인해 양품을 불량품으로 판정하는 오버킬(over kill) 문제점을 해결하면서 스캔 테스트 시간을 줄일 수 있는 최적의 쉬프트 주파수를 제공할 수 있다.According to the present invention, when shifting frequency is increased in consideration of power consumption or critical path delay time only in scan pattern, scan section or section group at the time of IC chip test, good product is judged as defective due to overshift frequency It is possible to provide an optimal shift frequency that can reduce the scan test time while solving the over kill problem.

또한, IC 칩 테스트 시에 파워 서플라이 노이즈의 영향, 신호선 간 간섭 영향을 고려하여 최적의 쉬프트 주파수를 제공할 수 있다.In addition, it is possible to provide an optimum shift frequency in consideration of influence of power supply noise and influence of interference between signal lines at the time of IC chip test.

또한, IC 칩 테스트 시에 스캔 테스트에 의한 과도한 회로 스위칭 동작, 제고 공정 변이(manufacturing process variation), 미세 제조 공정, 저전력 제조 공정 또는 저전력 설계의 영향으로 야기될 수 있는 IR-drop 또는 Ground-bounce의 영향을 반영하여 최적의 쉬프트 주파수를 제공할 수 있다.In addition, IR-drop or ground-bounce, which can be caused by excessive circuit switching operation by scan test during IC chip test, manufacturing process variation, microfabrication process, low power manufacturing process or low power design The optimum shift frequency can be provided by reflecting the influence.

또한, IC 칩 테스트 시에 쉬프트 주파수의 증가시에 발생할 수 있는 스캔 경로상의 크리티컬 패스 타이밍 영향을 고려하여 최적의 쉬프트 주파수를 제공할 수 있다.In addition, it is possible to provide an optimum shift frequency in consideration of the influence of the critical path timing on the scan path that may occur when the shift frequency is increased during the IC chip test.

또한, IC 칩 테스트 시에 스캔 경로 상의 비트 값에 따라 스캔 경로의 크리티컬 패스가 거짓(false) 크리티컬 패스 상태가 될 경우에는 크리티컬 타이밍 제약을 무시하고 IC 칩이 정상적으로 동작할 수 있는 범위 내에서 스캔 쉬프트 주파수를 최대한 높여 테스트 시간을 최소화할 수 있다.When the critical path of the scan path becomes a false critical path state according to the bit value on the scan path during the IC chip test, the critical timing constraint is ignored, and the scan shift By maximizing the frequency, the test time can be minimized.

또한, IC 칩 테스트 시에 스캔 패턴 집합 상의 돈-케어(don't-care) 비트들에 의해 더욱 높은 쉬프트 주파수 사용을 가능하게 할 수 있다. 돈-케어 비트는 스캔 테스트의 결과에 영향을 주지 않는 비트를 의미한다.In addition, higher-shift frequencies can be enabled by don't-care bits on the scan pattern set during IC chip testing. The money-care bits are bits that do not affect the outcome of the scan test.

또한, IC 칩 테스트 시에 다중 전압 섬(voltage island) 또는 전압 지역(voltage domain or region) 기법을 사용하는 저전력 IC 칩의 경우 각 전압 섬 또는 전압 지역 별로 허용되는 전력소모를 반영하여 최적의 쉬프트 주파수를 제공할 수 있다.Also, in the case of a low power IC chip using a voltage island or a voltage domain or region technique at the time of IC chip test, the optimum shift frequency Can be provided.

또한, IC 칩 테스트 시에 스캔 패턴 또는 스캔 섹션의 최적 쉬프트 주파수를 찾는데 있어서 IC 칩의 회로 설계 정보가 필요 없으므로, 칩의 회로 설계 정보가 없어도 칩과 스캔 패턴 집합만으로 스캔 패턴별 또는 스캔 섹션별 최적의 쉬프트 주파수를 제공할 수 있다.In addition, since the circuit design information of the IC chip is not required in finding the optimal shift frequency of the scan pattern or the scan section at the time of IC chip test, it is possible to obtain optimal Of the shift frequency.

또한, IC 칩 테스트 시에 초기에 명목 쉬프트 주파수와 같은 기 설정된 일정 쉬프트 주파수를 모든 스캔 섹션에 할당한 후 각 스캔 섹션의 전력 소모 또는 전류 소모가 일정 이상인 스캔 패턴 또는 스캔 섹션에 대해서 최적의 쉬프트 주파수를 찾는 과정을 수행하는 경우 전체 스캔 패턴 또는 스캔 섹션 각각에 대해 최적의 쉬프트 주파수를 찾는 방법에 비해 처리 시간을 줄일 수 있다.In the IC chip test, a predetermined constant shift frequency such as a nominal shift frequency is initially allocated to all scan sections, and an optimal shift frequency for a scan pattern or a scan section in which power consumption or current consumption of each scan section is more than a certain level The processing time can be reduced as compared with the method of finding the optimal shift frequency for each of the entire scan patterns or scan sections.

또한, IC 칩 테스트 시에 테스트 패스이어야 하는 쉬프트 주파수의 범위 내에서 비정상적인 테스트 페일이 발생하는 페일 홀(fail hole) 문제를 해결하기 위해 테스트 시간이 증가되는 것을 억제할 수 있다. 페일 홀 문제를 해결하기 위해 칩의 고장 검출율(fault coverage)이 낮아지거나 필드 이스케이프(field escape) 문제가 발생하는 것을 억제할 수 있다.In addition, it is possible to suppress the increase of the test time to solve the fail hole problem in which abnormal test fail occurs within the range of the shift frequency which should be the test path in the IC chip test. It is possible to suppress the fault coverage of the chip or the occurrence of the field escape problem in order to solve the fail hole problem.

또한, 칩의 노후화를 보다 가속화 시키는 스트레스 또는 번인(burn-in) 테스트에서 테스트 시간을 줄이고 테스트 품질을 높일 수 있다. 뿐만 아니라, 스트레스 또는 번인 테스트에 필요한 시간을 정확하게 예측할 수 있으며, 스트레스 또는 번인 테스트의 품질에 대해서도 정확하게 예측 할 수 있다.In addition, stress or burn-in testing, which accelerates chip aging, can reduce test time and improve test quality. In addition, the time required for stress or burn-in testing can be accurately predicted and the quality of the stress or burn-in test can be accurately predicted.

또한, IC 칩 테스트를 통해 수율 향상을 위한 정보를 찾거나 수율을 향상시킬 수 있다.In addition, the IC chip test can search for information for improving the yield or improve the yield.

도 1은 스캔 설계 방법이 적용된 IC 칩의 일 예를 도시한 개념도이다.
도 2 및 도 3은 본 발명의 최소한 하나의 실시예에 따른 칩 테스트 시스템의 구성을 도시한 블록도이다.
도 4는 본 발명의 최소한 하나의 실시예에 따른 스캔 패턴의 일 예를 도시한 개념도이다.
도 5 내지 도 9는 본 발명의 최소한 하나의 실시예에 따른 테스트 데이터의 분할 방법을 도시한 개념도이다.
도 10은 본 발명의 최소한 하나의 실시예에 따른 스캔 섹션의 개수와 스캔 테스트 시간 감소율 사이의 관계를 나타낸 그래프이다.
도 11은 본 발명의 최소한 하나의 실시예에 따른 칩 테스트의 시간을 최소화하기 위하여 각 스캔 섹션별로 쉬프트 주파수를 할당한 일 예를 도시한 개념도이다.
도 12는 본 발명의 최소한 하나의 실시예에 따른 칩 테스트의 시간을 최소화하기 위하여 쉬프트 주파수를 찾는 방법의 일 예를 도시한 개념도이다.
도 13 내지 도 15는 본 발명의 최소한 하나의 실시예에 따른 쉬프트 주파수 결정을 위하여 스캔 경로에 입력되는 패턴의 일 예를 도시한 개념도이다.
도 16은 본 발명의 최소한 하나의 실시예에 따른 스캔 패턴의 사용 가능한 쉬프트 주파수를 찾는 방법의 일 예를 나타낸 그래프이다.
도 17은 본 발명의 최소한 하나의 실시예에 따른 최적 쉬프트 주파수를 찾고자 하는 스캔 패턴의 쉬프트 주파수를 증감할 때 다른 스캔 패턴의 테스트 결과가 실패인 경우를 나타낸 그래프이다.
도 18 내지 도 20은 본 발명의 최소한 하나의 실시예에 따른 최적의 쉬프트 주파수를 찾기 위해 필요한 스캔 패턴, 스캔 섹션 및 쉬프트 주파수 정보의 구성에 대한 일 예를 도시한 개념도이다.
도 21 내지 28은 본 발명의 최소한 하나의 실시예에 따른 검색용 데이터를 생성하는 방법의 다양한 예를 도시한 개념도이다.
도 29는 본 발명의 최소한 하나의 실시예에 따른 칩 테스트의 시간을 최소화하는 방법의 일 예를 도시한 흐름도이다.
도 30은 본 발명의 최소한 하나의 실시예에 따른 칩 테스트의 시간을 최소화하기 위하여 각 스캔 섹션별 최적의 쉬프트 주파수를 결정하는 방법의 다른 일 예를 도시한 흐름도이다.
도 31은 본 발명의 최소한 하나의 실시예에 따른 칩 테스트의 시간을 최소화하는 방법의 보다 구체적인 과정의 일 예를 도시한 흐름도이다.
도 32는 본 발명의 최소한 하나의 실시예에 따른 칩 테스트의 시간을 최소화하는 방법에서 테스트의 정상 여부를 파악하는 구체적인 과정의 일 예를 도시한 흐름도이다.
도 33은 본 발명의 최소한 하나의 실시예에 따른 칩 테스트의 시간을 최소화하는 방법의 다른 일 예를 도시한 흐름도이다.
도 34는 본 발명의 최소한 하나의 실시예에 따른 칩 테스트 시간 최소화 장치의 구성을 도시한 블록도이다.
도 35는 본 발명의 최소한 하나의 실시예에 따라 복수의 스캔 섹션의 최적의 쉬프트 주파수를 병렬로 찾거나 결정하는 방법의 일 예를 도시한 개념도이다.
도 36은 본 발명의 최소한 하나의 실시예에 따른 칩 테스트의 시간을 최소화하기 위하여 스캔 패턴을 재배치하는 방법의 일 예를 도시한 개념도이다.
도 37 및 도 38은 본 발명의 최소한 하나의 실시예에 따른 번인 테스트 시스템의 구성을 도시한 블록도이다.
도 39는 본 발명의 최소한 하나의 실시예에 따라 단일 스캔 쉬프트 주파수를 이용하여 번인 테스트를 수행하는 경우에 IC 칩에 미치는 온도 영향의 일 예를 도시한 개념도이다.
도 40은 본 발명의 최소한 하나의 실시예에 따라 스캔 패턴별 최적의 쉬프트 주파수를 이용하여 번인 테스트를 수행하는 경우에 IC 칩에 미치는 온도 영향의 일 예를 도시한 개념도이다.
도 41은 스캔 섹션별 쉬프트 주파수를 최적화하지 않은 경우와 최적화한 경우의 스캔 쉬프트 동작 시 IC 칩의 발열 상태를 도시한 써멀 이미지이다.
도 42는 테스트 데이터의 전력 소모가 조정되기 전에 번인 테스트 동안 발생하는 전력 소모의 일 예를 나타낸 그래프이다.
도 43은 테스트 데이터의 전력 소모가 조정된 후의 번인 테스트 동안 발생하는 전력 소모의 일 예를 나타낸 그래프이다.
도 44는 본 발명의 최소한 하나의 실시예에 따른 번인 테스트의 시간을 최소화하기 위하여 각 스캔 섹션별 최적의 쉬프트 주파수를 찾는 방법의 일 예를 도시한 흐름도이다.
도 45는 본 발명의 최소한 하나의 실시예에 따른 번인 테스트 시간 최소화 장치의 일 예를 도시한 블록도이다.
도 46은 각 스캔 패턴에 대해 IC 칩의 임계 전력 소모에 근접할 때의 쉬프트 주파수와 쉬프트 주파수 증감 방법을 통해 최적화한 쉬프트 주파수에 대한 실험 결과를 비교하여 도시한 표이다.
도 47은 IC 칩 테스트시 발생할 수 있는 테스트 페일 홀(fail hole)의 일 예를 나타낸 그래프이다.
도 48은 본 발명에 따라 테스트 페일 홀 문제를 해결하는 방법의 일 예를 나타낸 그래프이다.
도 49는 본 발명의 최소한 하나의 실시예에 따른 페일 홀 문제를 해결하는 방법의 흐름도이다.
도 50은 본 발명의 최소한 하나의 실시예에 따른 페일 홀 문제를 해결하는 방법의 다른 예를 나타낸 그래프이다.
도 51은 본 발명의 최소한 하나의 실시예에 따른 테스트 시간 감소 및 수율 개선을 위한 쉬프트 주파수를 찾는 방법을 나타낸 그래프이다.
1 is a conceptual diagram showing an example of an IC chip to which a scan design method is applied.
Figures 2 and 3 are block diagrams illustrating configurations of a chip test system in accordance with at least one embodiment of the present invention.
4 is a conceptual diagram illustrating an example of a scan pattern according to at least one embodiment of the present invention.
5 to 9 are conceptual diagrams showing a method of dividing test data according to at least one embodiment of the present invention.
10 is a graph illustrating the relationship between the number of scan sections and the scan test time reduction rate in accordance with at least one embodiment of the present invention.
11 is a conceptual diagram illustrating an example of assigning a shift frequency to each scan section in order to minimize the time of a chip test according to at least one embodiment of the present invention.
12 is a conceptual diagram illustrating an example of a method for finding a shift frequency in order to minimize the time of a chip test according to at least one embodiment of the present invention.
13 to 15 are conceptual diagrams illustrating an example of a pattern input to a scan path for determining a shift frequency according to at least one embodiment of the present invention.
16 is a graph illustrating an example of a method for finding a usable shift frequency of a scan pattern according to at least one embodiment of the present invention.
17 is a graph illustrating a case where a test result of another scan pattern fails when increasing or decreasing a shift frequency of a scan pattern to search for an optimal shift frequency according to at least one embodiment of the present invention.
FIGS. 18 to 20 are conceptual diagrams showing an example of a configuration of a scan pattern, a scan section, and shift frequency information necessary for finding an optimal shift frequency according to at least one embodiment of the present invention.
21 to 28 are conceptual diagrams showing various examples of a method for generating search data according to at least one embodiment of the present invention.
29 is a flow chart illustrating an example of a method for minimizing the time of a chip test according to at least one embodiment of the present invention.
30 is a flowchart illustrating another example of a method for determining an optimal shift frequency for each scan section in order to minimize the time of a chip test according to at least one embodiment of the present invention.
31 is a flow chart illustrating an example of a more specific process of a method for minimizing the time of a chip test according to at least one embodiment of the present invention.
FIG. 32 is a flowchart illustrating an example of a concrete process of determining whether a test is normal in a method of minimizing a time of a chip test according to at least one embodiment of the present invention.
33 is a flow chart illustrating another example of a method for minimizing the time of a chip test according to at least one embodiment of the present invention.
34 is a block diagram illustrating the configuration of an apparatus for minimizing chip test time according to at least one embodiment of the present invention.
35 is a conceptual diagram illustrating an example of a method for finding or determining an optimal shift frequency of a plurality of scan sections in parallel in accordance with at least one embodiment of the present invention.
36 is a conceptual diagram showing an example of a method of rearranging scan patterns in order to minimize the time of a chip test according to at least one embodiment of the present invention.
37 and 38 are block diagrams showing the configuration of a burn-in test system according to at least one embodiment of the present invention.
FIG. 39 is a conceptual diagram showing an example of the temperature effect on the IC chip when the burn-in test is performed using a single scan shift frequency according to at least one embodiment of the present invention.
FIG. 40 is a conceptual diagram showing an example of a temperature effect on an IC chip when a burn-in test is performed using an optimal shift frequency for each scan pattern according to at least one embodiment of the present invention.
FIG. 41 is a thermal image showing the heat generation state of the IC chip during the scan shift operation when the shift frequency for each scan section is not optimized and when the shift frequency is optimized.
FIG. 42 is a graph showing an example of power consumption that occurs during the burn-in test before the power consumption of the test data is adjusted.
FIG. 43 is a graph showing an example of power consumption that occurs during the burn-in test after the power consumption of the test data is adjusted.
44 is a flowchart illustrating an example of a method for finding an optimal shift frequency for each scan section in order to minimize the time of the burn-in test according to at least one embodiment of the present invention.
45 is a block diagram illustrating an example of a burn-in test time minimization apparatus in accordance with at least one embodiment of the present invention.
FIG. 46 is a table showing a comparison between the shift frequency at the time of approaching the threshold power consumption of the IC chip for each scan pattern and the experimental result for the optimized shift frequency through the shift frequency increasing / decreasing method.
47 is a graph showing an example of a test fail hole that can be generated in the IC chip test.
48 is a graph showing an example of a method for solving the test fail hole problem according to the present invention.
Figure 49 is a flow diagram of a method for solving the fail hole problem in accordance with at least one embodiment of the present invention.
50 is a graph illustrating another example of a method for solving the fail-hole problem according to at least one embodiment of the present invention.
51 is a graph illustrating a method for finding a shift frequency for test time reduction and yield improvement in accordance with at least one embodiment of the present invention.

이하에서, 첨부된 도면들을 참조하여 본 발명에 따른 스캔 테스트 시간 최소화 방법 및 그 장치에 대해 상세히 설명한다.Hereinafter, a method and apparatus for minimizing scan test time according to the present invention will be described in detail with reference to the accompanying drawings.

도 1은 스캔 설계 방법이 적용된 IC 칩의 일 예를 도시한 도면이다.1 is a view showing an example of an IC chip to which a scan design method is applied.

도 1의 예에서, IC 칩(100)은 조합회로(110)와 순차 회로(Sequential Logic)를 포함한다. 순차 회로(Sequential Logic)는 복수 개의 플립플롭(120,130,140)으로 구성된다. 각각의 플립플롭(120,130,140)은 멀티플렉서(Multiplexer, MUX) 방식의 스캔 플립플롭을 포함한 다양한 방식으로 구현될 수 있다.In the example of Fig. 1, the IC chip 100 includes a combination circuit 110 and a sequential logic. The sequential logic is composed of a plurality of flip-flops 120, 130 and 140. Each of the flip-flops 120, 130, and 140 may be implemented in various ways including a multiplexer (MUX) type scan flip-flop.

IC 칩(100)은 주 입력(PI, Primary Input) 포트(150), 주 출력(PO, Primary Output) 포트(152), 스캔활성화(SE, Scan Enable) 포트(160), 스캔 입력 포트(162), 클락(Clock) 입력 포트(164), 스캔 출력 포트(166) 등을 포함한다. 스캔활성화 포트(160)와 클락입력 포트(164)는 플립플롭(120,130,140)과 연결된다. 각 플립플롭(120,130,140)은 조합회로(110)와 연결되어 각 플립플롭에 저장된 값을 조합회로로 출력하고, 조합회로로부터 출력된 값을 입력 받을 수 있다.The IC chip 100 includes a primary input port (PI) 150, a primary output port 152, a scan enable (SE) port 160, a scan input port 162 A clock input port 164, a scan output port 166, and the like. The scan enable port 160 and the clock input port 164 are connected to the flip-flops 120, 130 and 140. Each of the flip-flops 120, 130, and 140 is connected to the combinational circuit 110 to output the value stored in each flip-flop to the combinational circuit, and can receive the value output from the combinational circuit.

주 입력 포트(150) 및 주 출력 포트(152)는 각각 IC 칩의 정상적인 동작 과정에서 데이터를 입력하고 출력하기 위한 포트이다.The main input port 150 and the main output port 152 are ports for inputting and outputting data during normal operation of the IC chip, respectively.

스캔활성화 포트(160)는 스캔 활성화 신호 또는 스캔 비활성화 신호를 입력하기 위한 포트로, 스캔 활성화 신호 또는 스캔 비활성화 신호에 따라 IC 칩은 정상적인 동작을 수행하는 노멀(normal) 모드 (또는 기능(functional) 모드)가 되거나 IC 칩을 테스트하는 스캔 모드가 된다.The scan enable port 160 is a port for inputting a scan enable signal or a scan inactivate signal. The scan enable signal or the scan inactivation signal is applied to a normal mode (or a functional mode ) Or a scan mode in which the IC chip is tested.

스캔 입력 포트(162)는 IC 칩(100)의 테스트를 위하여 스캔 패턴을 입력하기 위한 포트이고, 스캔 출력 포트(166)는 스캔 패턴에 의한 테스트 결과를 출력하기 위한 포트이다. 스캔 출력 포트를 통해 출력되는 비트 패턴을 출력 스캔 패턴, 출력 패턴 또는 스캔 테스트 결과 패턴이라고 한다.The scan input port 162 is a port for inputting a scan pattern for testing the IC chip 100 and the scan output port 166 is a port for outputting a test result based on a scan pattern. The bit pattern output through the scan output port is referred to as an output scan pattern, an output pattern, or a scan test result pattern.

클락입력 포트(164)는 스캔 입력 포트(162)를 통해 입력되는 스캔 패턴을 플립플롭(120,130,140)에 쉬프트(shift)하여 로드하거나, 조합회로(110)의 출력을 캡쳐하여 플립플롭(120,130,140)에 저장할 수 있도록 트리거링(triggering)을 위한 클락 신호를 입력하기 위한 포트이다. 예를 들어, 플립플롭(120,130,140)은 클락입력 포트(164)를 통해 입력되는 클락 신호의 상승 에지(rising edge) 또는 하강 에지(falling edge)에 의해 트리거링 된다.The clock input port 164 shifts the scan pattern input through the scan input port 162 to the flip-flops 120, 130 and 140 or captures the output of the combinational circuit 110 and outputs the scan pattern to the flip-flops 120, 130 and 140 It is a port for inputting a clock signal for triggering so that it can be stored. For example, the flip-flops 120, 130, and 140 are triggered by a rising edge or a falling edge of a clock signal input through the clock input port 164.

스캔 입력 포트(162)로부터 복수 개의 플립플롭(120,130,140)을 거쳐 스캔 출력 포트(166)로 연결되는 경로(점선 경로)를 스캔 체인(Scan Chain) 또는 스캔 경로(Scan Path)라고 한다. 도 1에서는 단일 스캔 경로를 도시하였으나, 복수 개의 스캔 경로가 사용될 수 있다.A path (dotted line path) connected from the scan input port 162 to the scan output port 166 through the plurality of flip flops 120, 130 and 140 is referred to as a scan chain or a scan path. Although FIG. 1 illustrates a single scan path, a plurality of scan paths may be used.

기능 모드의 경우, 조합 회로(110)는 주 입력 포트(150)를 통해 데이터를 입력 받고 주 출력 포트(152)를 통해 결과를 출력하는 동작을 수행한다. 이와 더불어, 기능 모드에서 플립플롭(120,130,140)은 클락 신호에 따라 조합회로(110)의 출력 값을 입력 받으며, 스캔 테스트 시에는 이러한 동작을 스캔 캡쳐(scan capture)라고 한다.In the functional mode, the combinational circuit 110 receives data via the main input port 150 and performs the operation of outputting the result through the main output port 152. In addition, in the functional mode, the flip-flops 120, 130 and 140 receive the output value of the combinational circuit 110 according to the clock signal, and during the scan test, this operation is called scan capture.

스캔 모드에서, 스캔 패턴의 각 비트들은 클락 신호에 따라 스캔 경로 상에 존재하는 플립플롭(120,130,140) 들에 순차적으로 쉬프트인(Shift-In) 되고, 또한 순차적으로 스캔 출력 포트(166)를 통해 쉬프트아웃(Shift-Out) 된다. 여기서, 플립플롭(120,130,140)에 스캔 패턴이 쉬프트인된 상태를 로드(load)라고 하며, 플립플롭(120,130,140)에 저장된 값이 스캔 출력 포트(166)를 통해 쉬프트아웃 된 상태를 언로드(unload)라고 한다.In the scan mode, each bit of the scan pattern is sequentially shifted to the flip-flops 120, 130 and 140 existing in the scan path according to the clock signal, and sequentially shifted through the scan output port 166 Out (Shift-Out). A state in which the scan patterns are shifted to the flip-flops 120, 130 and 140 is referred to as a load and a state in which the values stored in the flip-flops 120, 130 and 140 are shifted out through the scan output port 166 is referred to as unload do.

예를 들어, IC 칩 내 스캔 경로 상의 플립플롭(120,130,140)의 수가 3개라면, 각 스캔 패턴의 길이는 스캔 경로 상의 플립플롭 수와 동일한 3 비트의 길이로 구성되고, 3 비트의 스캔 패턴은 클락 신호에 따라 순차적으로 스캔 경로 상의 플립플롭(120,130,140)에 쉬프트인된다.For example, if the number of the flip-flops 120, 130, 140 on the scan path in the IC chip is three, the length of each scan pattern is made up of the same 3-bit length as the number of flip- And are sequentially shifted to the flip-flops 120, 130, and 140 on the scan path according to the signals.

즉, 클락 신호의 상승 에지에서 플립플롭에 값이 저장된다고 할 때, 첫 번째 클락 신호의 상승 에지에서 첫 번째 플립플롭(140)에 스캔 패턴의 첫 번째 비트가 입력되어 저장되고, 두 번째 클락 신호의 상승 에지에서 첫 번째 플립플롭(140)의 출력 값은 두 번째 플립플롭(130)에 저장되고, 첫 번째 플립플롭(140)에는 스캔 패턴의 두 번째 비트 값이 저장된다. 세 번째 클락 신호의 상승 에지에서 두 번째 플립플롭(130)의 출력 값은 세 번째 플립플롭(120)에 저장되고, 첫 번째 플립플롭(140)의 출력 값은 두 번째 플립플롭(130)에 저장되고, 첫 번째 플립플롭(140)에는 스캔 패턴의 세 번째 비트 값이 저장된다. 따라서 세 번의 클락 신호로 하나의 스캔 패턴이 스캔 경로 상의 플립플롭(120,130,140)에 로드된다. 마찬가지로 세 번의 클락 신호로 스캔 경로 상의 플립플롭(120,130,140)의 값이 스캔 출력 포트(166)를 통해 언로드된다.That is, when the value is stored in the flip-flop at the rising edge of the clock signal, the first bit of the scan pattern is stored and input to the first flip-flop 140 at the rising edge of the first clock signal, The output value of the first flip-flop 140 is stored in the second flip-flop 130 and the second bit value of the scan pattern is stored in the first flip-flop 140. At the rising edge of the third clock signal, the output value of the second flip-flop 130 is stored in the third flip-flop 120 and the output value of the first flip-flop 140 is stored in the second flip- And the third bit value of the scan pattern is stored in the first flip-flop 140. Thus, one scan pattern is loaded into the flip-flops 120, 130, and 140 on the scan path with three clock signals. Similarly, the values of the flip-flops 120, 130, and 140 on the scan path are unloaded through the scan output port 166 with three clock signals.

스캔 테스트 과정을 보다 구체적으로 살펴보면 다음과 같다.The scan test process will be described in more detail as follows.

(1) IC 칩(100)의 주 입력 포트(150)에 주 입력 테스트 데이터를 인가한다.(1) Main input test data is applied to the main input port 150 of the IC chip 100.

(2) 스캔 활성화 포트(160)에 스캔 활성화 신호를 인가하여 IC 칩(100)을 스캔 모드로 설정한다.(2) The scan activation signal is applied to the scan activation port 160 to set the IC chip 100 to the scan mode.

(3) 스캔 입력 포트(162)에 스캔 패턴을 쉬프트인하여 스캔 경로 상의 플립플롭(120,130,140)에 스캔 패턴을 로드한다. 스캔 경로에 로드된 스캔 패턴은 조합회로(110)에 인가된다. 스캔 패턴이 조합회로에 인가된 후 주 출력 포트(152)를 통해 출력되는 결과를 예측된 주 출력 값과 비교하여, 비교결과가 상이하면 IC 칩을 불량으로 판정한다.(3) The scan pattern is shifted to the scan input port 162 to load the scan pattern into the flip flops 120, 130, and 140 on the scan path. The scan pattern loaded in the scan path is applied to the combinational circuit 110. After the scan pattern is applied to the combination circuit, the result output through the main output port 152 is compared with the predicted main output value, and if the comparison result is different, it is determined that the IC chip is defective.

(4) 스캔 활성화 포트(160)에 스캔 비활성화 신호를 인가하여 IC 칩(100)을 스캔 모드에서 기능 모드로 전환한다. 기능 모드에서, 클락 신호가 인가되면 플립플롭(120,130,140)은 조합회로(110)의 출력 값을 캡쳐하며, 이러한 동작을 스캔 캡쳐라고 하고, 이때의 모드를 또한 스캔 캡쳐 모드 라고도 부른다.(4) A scan inactivation signal is applied to the scan enable port 160 to switch the IC chip 100 from the scan mode to the functional mode. In the functional mode, when a clock signal is applied, the flip-flops 120, 130 and 140 capture the output value of the combinational circuit 110, and this operation is referred to as a scan capture, which is also referred to as a scan capture mode.

(5) 스캔 활성화 포트(160)에 스캔 활성화 신호를 인가하여 IC 칩을 다시 기능 모드에서 스캔 모드로 전환한다.(5) The scan enable signal is applied to the scan enable port 160 to switch the IC chip back from the functional mode to the scan mode.

(6) 그리고, 스캔 경로 상의 플립플롭(120,130,140)에 캡쳐된 값을 스캔 출력 포트(166)를 통해 쉬프트아웃하여 언로드한다.(6) Then, the values captured in the flip-flops 120, 130 and 140 on the scan path are shifted out and unloaded through the scan output port 166.

(7) 언로드된 출력 패턴과 미리 알고 있는 예측 패턴을 비교하여 IC 칩의 정상 동작 여부를 파악한다. 여기서, 예측 패턴은 IC 칩이 정상적인 경우 주 입력 테스트 데이터와 스캔 패턴을 인가하고 스캔 캡쳐 동작을 한 후 스캔 출력 포트(166)를 통해 출력되는 스캔 패턴으로서 테스트 전에 미리 알고 있는 값 또는 예측되는 결과 패턴이다. 단계 (3)에서의 비교 결과가 동일하고 단계 (7)에서의 비교 결과가 동일하면, 테스트 결과가 정상(pass)이므로 IC 칩은 양품이며 그렇지 않으면 IC 칩은 불량품이다. 테스트 정상(pass)은 IC 칩에 이상이 없다고(fault-free) 판단되는 경우를 의미하며, 테스트 실패(fail)는 IC 칩에 이상이 있다고 판단되는 경우를 의미한다.(7) The unloaded output pattern is compared with a predicted pattern that is known beforehand to determine whether the IC chip is operating normally. Here, the prediction pattern is a scan pattern output through the scan output port 166 after applying the main input test data and the scan pattern when the IC chip is normal and performing the scan capture operation, to be. If the comparison result in step (3) is the same and the comparison result in step (7) is the same, the IC chip is good because the test result is pass, otherwise the IC chip is defective. A test pass means a case in which the IC chip is judged to be fault-free, and a test failure means a case in which it is judged that there is an abnormality in the IC chip.

도 2 및 도 3은 본 발명이 적용되는 ATE(Automatic Test Equipment)라고 불리는 IC 칩 테스트 시스템의 일 실시 예의 구성을 각각 도시한 블록도이다.2 and 3 are block diagrams respectively showing configurations of an embodiment of an IC chip test system called ATE (Automatic Test Equipment) to which the present invention is applied.

도 2 및 도 3을 참조하면, 칩 테스트 시스템은 호스트 컴퓨터(200,300), 테스터 본체(210,310), 테스트 헤드(220,320), 및 인터페이스 보드(230,330)를 포함한다. 테스트를 위해 인터페이스 보드에 위치하는 테스트 대상 디바이스(DUT, Device Under Test)(240,340)는 웨이퍼 상의 IC 또는 패키징된 IC 칩 등이다. DUT가 웨이퍼 상의 IC 칩인 경우 프로버(350)를 더 포함할 수 있다. 이하 IC 회로, 웨이퍼 상의 IC 칩 또는 패키징된 IC 칩을 설명의 편의상 통칭하여 IC 칩 또는 칩이라고 한다.2 and 3, the chip test system includes a host computer 200, 300, a tester body 210, 310, a test head 220, 320, and an interface board 230, 330. A device under test (DUT) (240, 340) located on an interface board for testing is an IC on a wafer or a packaged IC chip. If the DUT is an IC chip on the wafer, it may further include a prober 350. Hereinafter, an IC circuit, an IC chip on a wafer, or a packaged IC chip is collectively referred to as an IC chip or a chip for convenience of explanation.

테스터 본체(210,310)는 스캔 테스트를 전체적으로 제어한다. 예를 들어, 테스터 본체는 DUT 테스트를 위한 셋업, DUT 테스트를 위한 전기적 신호의 발생, DUT 테스트 결과 신호의 관측 및 측정 등의 전반적인 과정을 제어한다. 테스터 본체(210,310)는 중앙처리장치(CPU), 메모리, 하드 디스크, 사용자 인터페이스 등을 포함하는 컴퓨터로 구현될 수 있으며, 실시 예에 따라 DUT(240,340)에 전원을 공급하는 디바이스 파워 공급장치(Device Power Supply)를 더 포함할 수도 있다.The tester bodies 210 and 310 control the scan test as a whole. For example, the tester body controls overall processes such as setup for DUT testing, generation of electrical signals for DUT testing, observation and measurement of DUT test result signals, and the like. The tester bodies 210 and 310 may be implemented as a computer including a central processing unit (CPU), a memory, a hard disk, a user interface, and the like, and may include a device power supply device Power Supply).

또한, 테스터 본체(210,310)는 각종 디지털 신호를 처리하는 신호처리 프로세서(DSP, Digital Signal Processor)(미도시)와 테스트 헤드(220,320)를 제어하고, DUT(240,340)로 신호를 인가하는 제어기 및 신호 생성기 등의 전용 하드웨어, 소프트웨어 또는 펌웨어 등을 포함할 수 있다. 테스터 본체(210,310)는 메인 프레임 또는 서버라고 불리기도 한다.The tester main bodies 210 and 310 include a controller for controlling a signal processing processor (DSP) (not shown) for processing various digital signals and the test heads 220 and 320, a controller for applying a signal to the DUTs 240 and 340, Dedicated hardware, such as a generator, software or firmware, and the like. The tester bodies 210 and 310 may also be referred to as a mainframe or a server.

호스트 컴퓨터(200,300)는 퍼스널 컴퓨터, 워크스테이션 등과 같은 컴퓨터일 수 있으며, 사용자가 테스트 프로그램을 실행시키고 테스트 과정을 제어하며 테스트 결과를 분석할 수 있도록 하는 장치이다. 일반적으로 호스트 컴퓨터(200,300)는 중앙 처리장치, 메모리 또는 하드 디스크와 같은 저장장치, 사용자 인터페이스 등을 포함할 수 있으며, 테스터 본체(210,310)와 유선 또는 무선 통신으로 연결될 수 있다. 호스트 컴퓨터(200,300)는 테스트를 제어하기 위한 전용 하드웨어, 소프트웨어 또는 펌웨어 등을 포함할 수 있다. 본 실시 예는 호스트 컴퓨터와 테스터 본체를 구분하여 도시하였으나, 호스트 컴퓨터(200,300)와 테스터 본체(210,310)는 하나의 장치로 구현될 수도 있다.The host computer 200, 300 can be a computer such as a personal computer, a workstation, or the like, and is a device that enables a user to execute a test program, control a test process, and analyze test results. In general, the host computers 200 and 300 may include a central processing unit, a storage device such as a memory or a hard disk, a user interface, and the like, and may be connected to the tester bodies 210 and 310 through wired or wireless communication. The host computer 200, 300 may include dedicated hardware, software, or firmware for controlling the test. Although the host computer and the tester main body are shown separately in this embodiment, the host computers 200 and 300 and the tester main bodies 210 and 310 may be implemented as a single device.

테스터 본체(210,310) 또는 호스트 컴퓨터(200,300)의 메모리의 일 예로 DRAM, SRAM, 플래쉬 메모리 등이 사용될 수 있으며, 메모리에는 DUT 테스트를 수행하기 위한 프로그램과 데이터가 저장될 수 있다.An example of the memory of the tester main body 210 or 310 or the host computer 200 or 300 may be a DRAM, an SRAM, a flash memory, or the like, and the memory may store programs and data for performing the DUT test.

테스터 본체(210,310) 또는 호스트 컴퓨터(200,300)의 소프트웨어 또는 펌웨어는 스캔 테스트를 위한 디바이스 드라이버 프로그램, 운영체제(OS, Operating System) 프로그램, DUT 테스트를 수행하는 프로그램으로써, DUT 테스트를 위한 셋업, DUT 테스트를 위한 신호의 발생, DUT 테스트 결과 신호의 관측 분석 등의 수행을 위한 명령 코드(instruction code) 형태로 메모리에 저장되어 중앙 처리장치에 의해 수행될 수 있다. 따라서 스캔 패턴은 이러한 프로그램에 의해 DUT로 인가될 수 있다. 또한 DUT 테스트 및 테스트 결과에 대한 리포팅 및 분석 데이터를 프로그램을 통해 자동 수행하여 얻을 수 있다. 프로그램에 사용되는 언어는 C, C++, 자바(java) 등 다양한 언어가 사용될 수 있다. 프로그램은 하드디스크, 자기 테이프 또는 플래시 메모리 등과 같은 저장장치에 저장될 수 있다.Software or firmware of the tester main body 210 or 310 or the host computer 200 or 300 is a device driver program, an operating system (OS) program for a scan test, a program for performing a DUT test, a setup for a DUT test, a DUT test And the like, and may be stored in a memory in the form of an instruction code for performing observation analysis of the DUT test result signal, and may be performed by the central processing unit. Therefore, the scan pattern can be applied to the DUT by such a program. It is also possible to obtain the DUT test and the reporting and analysis data of the test result automatically through the program. The language used in the program can be a variety of languages such as C, C ++, and Java. The program may be stored in a storage device such as a hard disk, magnetic tape or flash memory.

테스터 본체(210,310) 또는 호스트 컴퓨터(200,300)의 중앙 처리장치는 프로세서(processor)로서, 메모리에 저장된 소프트웨어 또는 프로그램의 코드를 실행한다. 예를 들어, 키보드나 마우스 등과 같은 사용자 인터페이스를 통해 사용자 명령을 받으면, 중앙 처리장치는 사용자의 명령을 분석하고 이를 소프트웨어 또는 프로그램을 통해 수행한 후 그 결과를 스피커, 프린터, 모니터 등의 사용자 인터페이스를 통해 사용자에게 제공한다.The central processing unit of the tester main body 210 or 310 or the host computer 200 or 300 is a processor and executes the code of the software or program stored in the memory. For example, when receiving a user command through a user interface such as a keyboard or a mouse, the central processing unit analyzes the user's command and executes the command through a software or a program, and outputs the result to a user interface such as a speaker, To the user.

테스터 본체(210,310) 또는 호스트 컴퓨터(200,300)의 사용자 인터페이스는 사용자와 장치 간에 정보를 주고받고 명령을 전달할 수 있도록 해준다. 예를 들어, 키보드, 터치 스크린, 마우스 등과 같은 사용자 입력을 위한 인터페이스 장치와, 스피커, 프린터, 모니터 등과 같은 출력 인터페이스 장치 등이 있다.The user interface of the tester main body 210, 310 or the host computer 200, 300 allows information to be communicated between the user and the device and to communicate commands. For example, there are an interface device for user input such as a keyboard, a touch screen, a mouse and the like, and an output interface device such as a speaker, a printer, and a monitor.

테스트 헤드(220,320)는 테스터 본체(210,310)와 DUT(240,340) 사이에 전기적 신호 전송을 위한 채널 등을 포함한다. 테스트 헤드(220,320) 상부에는 인터페이스 보드(230,330)가 구비된다. 패키징된 IC 칩 테스트에 사용되는 인터페이스 보드를 일반적으로 로드 보드(load board)라고 하며, 웨이퍼 상의 IC 칩 테스트에 사용되는 인터페이스 보드를 일반적으로 프로브 카드(probe card)라고 한다.The test heads 220 and 320 include channels for electrical signal transmission between the tester main bodies 210 and 310 and the DUTs 240 and 340. Interface boards 230 and 330 are provided on the test heads 220 and 320, respectively. The interface board used to test the packaged IC chip is generally referred to as a load board. The interface board used for IC chip testing on a wafer is generally referred to as a probe card.

본 발명의 최소한 하나의 실시예에서, 호스트 컴퓨터(200,300)는 IC 칩 테스트 장치(250,360)를 포함한다.In at least one embodiment of the present invention, the host computer 200, 300 includes an IC chip test apparatus 250, 360.

본 발명의 최소한 하나의 실시예에 있어서, IC 칩 테스트 장치(250,360)는 스캔 패턴 집합에 포함된 적어도 두 개 이상의 스캔 섹션 중 사용 가능한 쉬프트 주파수를 검색하고자 하는 대상 스캔 섹션을 스캔 경로로 쉬프트하여 스캔 테스트 결과가 정상 또는 실패인 쉬프트 주파수를 검색하는 쉬프트 주파수 검색부(251,361)를 구비한다.In at least one embodiment of the present invention, the IC chip testing apparatus (250, 360) shifts the target scan section, which is intended to search for usable shift frequencies among at least two or more scan sections included in the set of scan patterns, And shift frequency search units 251 and 361 for searching shift frequencies whose test results are normal or failed.

쉬프트 주파수 검색부(251,361)는, 대상 스캔 섹션에 대한 쉬프트 주파수 검색 시에 대상 스캔 섹션의 쉬프트 주파수를 스캔 경로로 쉬프트하는 다른 스캔 섹션 중 최소한 하나의 스캔 섹션과는 다르게 증가 또는 감소시키거나 다른 쉬프트 주파수로 설정하여 스캔 테스트 결과가 정상 또는 실패인 쉬프트 주파수를 검색한다.The shift frequency search sections 251 and 361 may increase or decrease the shift frequency of the target scan section in the shift frequency search for the target scan section differently from at least one of the other scan sections that shift in the scan path, Set the frequency to search for the shift frequency where the scan test result is normal or failed.

쉬프트 주파수 검색부(251,361)는, 대상 스캔 섹션에 대해 사용 가능한 쉬프트 주파수 검색 시에, 대상 스캔 섹션의 쉬프트 주파수를 증가 또는 감소시키면서 스캔 테스트 결과가 정상에서 실패로 바뀌거나 실패에서 정상으로 바뀌는 영역의 쉬프트 주파수를 검색한다.The shift frequency search units 251 and 361 search the available scan frequency for the target scan section to determine whether the shift frequency of the target scan section is increased or decreased while the scan test result is changed from normal to failed Search for the shift frequency.

쉬프트 주파수 검색부(251,361)는, 대상 스캔 섹션에 대해 사용 가능한 쉬프트 주파수 검색 시에, 대상 스캔 섹션에 대해 제1 쉬프트 주파수를 사용해서 얻어진 제1 스캔 테스트 결과와 대상 스캔 섹션 이전의 어느 하나의 스캔 섹션에 대해 제1 쉬프트 주파수와 다른 제2 쉬프트 주파수를 사용해서 얻어진 제2 스캔 테스트 결과가 모두 정상인 경우에 제1 쉬프트 주파수를 대상 스캔 섹션에 대해 사용 가능한 쉬프트 주파수로 결정한다.The shift frequency search units 251 and 361 are configured to perform a shift frequency search for a target scan section by using a first scan test result obtained using a first shift frequency for a target scan section and a scan The first shift frequency is determined as a usable shift frequency for the target scan section when the second scan test result obtained by using the second shift frequency different from the first shift frequency for the section is normal.

본 발명의 최소한 하나의 실시예에 있어서, IC 칩 테스트 장치(250,360)는 제1 스캔 섹션을 포함하는 제1 스캔 패턴을 스캔 경로에 쉬프트 해서 테스트를 수행하는 제1 테스트 단계 및 제1 스캔 섹션 이후의 제2 스캔 섹션을 포함하는 제2 스캔 패턴을 스캔 경로에 쉬프트 해서 테스트를 수행하는 제2 테스트 단계를 수행하여 제2 스캔 섹션에 대해 사용 가능한 쉬프트 주파수를 검색하는 쉬프트 주파수 검색부(251,361)를 구비한다.In at least one embodiment of the present invention, the IC chip testing apparatus 250, 360 includes a first test step of performing a test by shifting a first scan pattern including a first scan section to a scan path, And a second test step of performing a test by shifting a second scan pattern including a second scan section of the first scan section to a scan path to search for a usable shift frequency for the second scan section Respectively.

쉬프트 주파수 검색부(251,361)는, 제1 테스트 단계에서 제1 스캔 섹션을 제1 쉬프트 주파수로 스캔 경로에 쉬프트 하고, 제2 테스트 단계에서 제2 스캔 섹션을 제1 쉬프트 주파수와 상이한 제2 쉬프트 주파수로 스캔 경로에 쉬프트 하며, 제2 스캔 섹션에 대해 사용 가능한 쉬프트 주파수 검색 시에, 제1 테스트 단계의 제1 스캔 테스트 결과와 제2 테스트 단계의 제2 스캔 테스트 결과가 모두 정상인 경우에 상 제2 쉬프트 주파수를 제2 스캔 섹션에 대해 사용 가능한 쉬프트 주파수로 결정한다.The shift frequency search sections 251 and 361 shift the first scan section to the scan path at the first shift frequency in the first test step and shift the second scan section to the second shift frequency at the second shift frequency And when the first scan test result of the first test step and the second scan test result of the second test step are both normal at the search of the available shift frequency for the second scan section, The shift frequency is determined to be a shift frequency usable for the second scan section.

본 발명의 최소한 하나의 실시예에서, 제1 스캔 섹션은 제1 스캔 패턴이거나 제1 스캔 패턴의 일부이고, 제2 스캔 섹션은 제2 스캔 패턴이거나 제2 스캔 패턴의 일부이다.In at least one embodiment of the invention, the first scan section is a first scan pattern or a portion of a first scan pattern, and the second scan section is a second scan pattern or a portion of a second scan pattern.

쉬프트 주파수 검색부(251,361)는, 제2 스캔 섹션에 대해 사용 가능한 쉬프트 주파수 검색 시에, 제2 쉬프트 주파수를 스캔 경로로 쉬프트 하는 다른 스캔 섹션 중 최소한 하나의 스캔 섹션과는 다르게 증가 또는 감소시키거나 다른 주파수로 설정하여 제2 스캔 섹션에 대한 쉬프트 주파수를 검색한다.The shift frequency search sections 251 and 361 may increase or decrease the second shift frequency differently from at least one of the other scan sections shifting the scan frequency in the scan path at the search of the available shift frequency for the second scan section The shift frequency for the second scan section is searched for by setting to another frequency.

도 2 및 도 3에서는 IC 칩 테스트 장치(250,360)가 호스트 컴퓨터(200,300)에 포함되는 것으로 설명하고 있으나, 이는 단지 하나의 예일 뿐, 프로세서를 가진 별도의 컴퓨터에 포함되어 호스트 컴퓨터(200,300) 또는 테스터 본체(210,310)에 연결되어 기능을 수행할 수도 있다.2 and 3, the IC chip testing apparatuses 250 and 360 are included in the host computers 200 and 300. However, the IC chip testing apparatuses 250 and 360 may be included in a separate computer having a processor, And may be connected to the bodies 210 and 310 to perform functions.

도 2 및 도 3의 테스트 시스템은 본 발명의 이해를 돕기 위한 하나의 예에 지나지 아니하며 각각의 구성을 통합하여 일체형으로 구현하거나, 하나의 구성을 다수의 구성으로 분리하여 구현할 수 있는 등 실시 예에 따라 다양하게 설계 변형 가능하다.The test system of FIG. 2 and FIG. 3 is merely an example for facilitating the understanding of the present invention, and each of the configurations may be integrated into one unit, or one unit may be divided into a plurality of units. Various design variations are possible.

스캔 패턴은 스캔 테스트를 하기 위해 스캔 경로에 입력되는 비트 패턴을 의미하거나, 스캔 경로로부터 출력되는 비트 패턴을 의미한다.The scan pattern means a bit pattern input to the scan path for performing a scan test, or a bit pattern output from the scan path.

또한 스캔 패턴의 비트 길이는 한 번의 스캔 테스트 동작을 위해 필요한 비트 패턴의 길이이다. 예를 들어, 스캔 패턴의 비트 길이는 스캔 캡쳐 동작을 수행하기 전까지 스캔 경로에 쉬프트되는 비트 패턴의 비트 길이와 같을 수 있다. 또 다른 예로, 스캔 패턴의 비트 길이는 스캔 경로 상의 플립플롭 등과 같은 비트 저장소자(storage element)의 개수와 같을 수 있다. 스캔 패턴의 비트 길이는 이상의 설명에 한정되는 것은 아니며 스캔 테스트 회로에 따라 다양하게 설정될 수 있다.The bit length of the scan pattern is the length of the bit pattern necessary for one scan test operation. For example, the bit length of the scan pattern may be equal to the bit length of the bit pattern shifted in the scan path until the scan capture operation is performed. As another example, the bit length of the scan pattern may be equal to the number of bit storage elements, such as flip flops, on the scan path. The bit length of the scan pattern is not limited to the above description, and may be variously set according to the scan test circuit.

본 발명의 실시 예들은 도 1의 IC 칩뿐만 아니라 스캔 경로에 비트 패턴을 쉬프트인하고 스캔 경로로부터 출력 패턴을 쉬프트아웃하는 다양한 종류의 칩에 모두 적용될 수 있다.The embodiments of the present invention can be applied not only to the IC chip of FIG. 1 but also to various kinds of chips that shift the bit pattern to the scan path and shift out the output pattern from the scan path.

예를 들어, 본 발명의 실시 예들은 스캔 패턴을 스캔 경로에 쉬프트인하는 동작, 스캔 캡쳐 동작, 그리고 캡쳐된 비트 패턴을 쉬프트아웃하는 동작을 수행할 수 있는 회로를 포함하는 다양한 종류의 칩에 모두 적용될 수 있다.For example, embodiments of the present invention may be applied to various types of chips, including circuits that can perform operations to shift scan patterns to a scan path, scan capture operations, and shift out captured bit patterns Can be applied.

도 4는 본 발명의 최소한 하나의 실시예에 따른 칩 테스트에 적용될 수 있는 스캔 패턴의 일 예를 도시한 개념도이다.4 is a conceptual diagram illustrating an example of a scan pattern that may be applied to a chip test according to at least one embodiment of the present invention.

도 4를 참조하면, 스캔 모드에서 쉬프트인 동작과 쉬프트아웃 동작을 각각 수행하는 경우에 소요되는 시간을 줄이기 위하여 쉬프트인과 쉬프트아웃 동작이 동시에 수행된다. 즉 로드와 언로드 동작이 동시에 수행된다.Referring to FIG. 4, shift in and shift out operations are simultaneously performed in order to reduce the time required for performing the shift in operation and the shift out operation in the scan mode, respectively. That is, the load and unload operations are performed simultaneously.

예를 들어, 입력 패턴 K(430)가 스캔 입력 포트를 통해 스캔 경로에 쉬프트인되어 로드될 때, 입력 패턴 K-1(400)에 의한 테스트 결과가 스캔 출력 포트를 통해 동시에 쉬프트아웃 되어 언로드된다. 이때 언로드된 출력 패턴은 입력 패턴 K-1(400)에 대한 예측 패턴 K-1(440)과 비교된다. 일반적으로 입력 패턴 K-1(400)에 대한 예측 패턴 K-1(440)과 입력 패턴 K(430)는 테스트 데이터 또는 파일에서 쌍으로 관리될 수 있다.For example, when the input pattern K 430 is shifted in the scan path via the scan input port, the test result by the input pattern K-1 400 is simultaneously shifted out through the scan output port and unloaded . At this time, the unloaded output pattern is compared with the predicted pattern K-1 (440) for the input pattern K-1 (400). Generally, the predicted pattern K-1 440 and the input pattern K 430 for the input pattern K-1 400 can be managed in pairs in the test data or the file.

본 발명의 최소한 하나의 실시예에서, 쉬프트인과 쉬프트아웃 동작을 중첩(overlapping)시켜 스캔 테스트를 하기 위하여, 스캔 입력 포트를 통해 쉬프트인되는 입력 패턴 K(430)와 입력 패턴 K-1(400)에 대한 예측 패턴 K-1(440)을 쌍으로 관리한다. 이와 같이, 스캔 패턴들은 서로 순서를 가질 수 있다. 실시 예에 따라, 스캔 패턴들은 순서 없이 다양한 방법으로 재배치될 수 있다.In at least one embodiment of the present invention, the input pattern K 430 shifted through the scan input port and the input pattern K-1 400 (FIG. 4) are shifted in order to scan test by overlapping the shift- (440) are managed as a pair. As such, the scan patterns can have an order with respect to each other. Depending on the embodiment, the scan patterns may be rearranged in various ways in an unordered manner.

본 발명의 최소한 하나의 실시예에서, 첫 번째 스캔 패턴을 스캔 경로에 쉬프트인 할 때 동시에 쉬프트아웃 되는 출력 패턴은 돈케어(Don't-care) 패턴이거나 테스트 대상 칩의 리셋에 의한 스캔 경로 상태 값일 수 있다.In at least one embodiment of the present invention, the output pattern that is shifted out simultaneously when shifting the first scan pattern to the scan path may be a don't-care pattern or a scan path state by reset of the chip under test Lt; / RTI >

스캔 테스트 시간을 최소화하기 위한 또 다른 방법으로 스캔 테스트를 위한 전체 스캔 패턴의 개수를 줄이는 방법과 스캔 쉬프트 속도를 높이는 방법이 있다.Another way to minimize scan test time is to reduce the total number of scan patterns for the scan test and to increase the scan shift speed.

여기서, 스캔 쉬프트 속도를 높이는 것은 스캔 패턴의 쉬프트인 또는 쉬프트아웃의 쉬프트 주파수를 높이거나 쉬프트 주파수의 주기를 감소하는 것을 의미한다. 스캔 쉬프트 속도를 낮추는 것은 쉬프트 주파수를 낮추거나 쉬프트 주파수의 주기를 증가하는 것을 의미한다. 또한 스캔 쉬프트 속도를 최적화하는 것은 쉬프트 주파수를 최적화하거나 쉬프트 주파수의 주기를 최적화하는 것을 의미한다.Here, increasing the scan shift speed means increasing the shift frequency of the scan pattern shift or shift-out, or decreasing the cycle of the shift frequency. Lowering the scan shift speed means lowering the shift frequency or increasing the cycle of the shift frequency. Also, optimizing the scan shift speed means optimizing the shift frequency or optimizing the shift frequency period.

쉬프트 주파수의 증가나 감소 각각은 쉬프트 주파수의 주기의 감소나 증가와 실질적으로 동일하므로, 이하에서는 설명의 편의를 위해 주로 쉬프트 주파수의 증감 관점에서 스캔 테스트 시간을 최소화하는 방법에 대해 설명한다. 따라서 이하에서 명시적인 기재가 없다고 하여도, 주파수의 증가나 감소는 주파수의 주기의 감소나 증가로 해석될 수 있고, 또한 주파수의 주기의 감소나 증가는 주파수의 증가나 감소로 해석될 수 있다. 또한 주파수의 주기는 단순히 주기로 불릴 수도 있으며 입력되는 클락의 클락 주기라고 불릴 수도 있다.Each of the increase and decrease of the shift frequency is substantially the same as the decrease or increase in the cycle of the shift frequency. Therefore, for convenience of explanation, a method of minimizing the scan test time will be described mainly from the viewpoint of increasing or decreasing the shift frequency. Therefore, even if there is no explicit description below, the frequency increase or decrease can be interpreted as a decrease or increase in the cycle of the frequency, and a decrease or increase in the frequency cycle can be interpreted as an increase or decrease in the frequency. The period of the frequency may also be referred to simply as the period and may be referred to as the clock period of the input clock.

도 5 내지 도 9는 본 발명의 최소한 하나의 실시예에 따른 칩 테스트의 시간을 최소화하기 위하여 테스트 데이터를 적어도 하나 이상의 스캔 섹션으로 분할하는 방법의 다양한 예를 도시한 개념도이다.5 to 9 are conceptual diagrams illustrating various examples of a method for dividing test data into at least one scan section in order to minimize the time of a chip test according to at least one embodiment of the present invention.

도 5를 참조하면, IC 칩의 테스트를 위하여 스캔 경로에 쉬프트되는 테스트 데이터(500)의 비트 패턴을 복수의 스캔 섹션(510,512,514,516,518)으로 분할하고, 각 스캔 섹션(510,512,514,516,518)별 최적의 쉬프트 주파수를 찾아 스캔 테스트 시에 적용하여 스캔 테스트 시간을 절약할 수 있다.5, the bit pattern of the test data 500 shifted in the scan path is divided into a plurality of scan sections 510, 512, 514, 516 and 518 for testing the IC chip, and an optimal shift frequency for each scan section 510, 512, 514, 516 and 518 is found It can be applied during scan test to save time for scan test.

본 발명의 최소한 하나의 실시예에서, 테스트 데이터의 비트 패턴(500)은 도 6과 같은 복수 개의 스캔 패턴으로 구성될 수 있다.In at least one embodiment of the present invention, the bit pattern 500 of test data may be composed of a plurality of scan patterns as shown in FIG.

도 6을 참조하면, IC 칩의 테스트를 위하여 복수 개의 스캔 패턴이 사용될 수 있다. 스캔 섹션은 적어도 하나 이상의 스캔 패턴으로 구성되거나 스캔 패턴의 일부로 구성될 수 있으며, 스캔 섹션별로 최적의 쉬프트 주파수를 찾아 스캔 테스트 시에 적용함으로써 스캔 테스트 시간을 보다 더 절약할 수 있다.Referring to FIG. 6, a plurality of scan patterns may be used for testing an IC chip. The scan section may be constituted by at least one scan pattern or a part of the scan pattern, and an optimal shift frequency may be found for each scan section to apply the scan frequency during the scan test, thereby further saving the scan test time.

제1 실시 예로, 스캔 섹션(600)은 하나의 스캔 패턴으로 구성되며 스캔 패턴과 일대일 대응될 수 있다. 즉 스캔 패턴이 곧 스캔 섹션이 될 수 있다.In the first embodiment, the scan section 600 includes one scan pattern and may correspond to a scan pattern one-to-one. That is, the scan pattern may be a scan section.

제2 실시 예로, 스캔 섹션(610)은 두 개의 스캔 패턴을 포함할 수 있다. 스캔 섹션에 포함되는 스캔 패턴의 개수는 실시 예에 따라 다양하게 변경 가능하다.In a second embodiment, the scan section 610 may include two scan patterns. The number of scan patterns included in the scan section may be variously changed according to the embodiment.

제3 실시 예로, 스캔 섹션(620)은 제1 스캔 패턴의 일부와 제2 스캔 패턴의 일부로 구성될 수 있다.In the third embodiment, the scan section 620 may be composed of a part of the first scan pattern and a part of the second scan pattern.

제4 실시 예로, 스캔 섹션(630)은 하나의 스캔 패턴의 일부로 구성될 수 있다.In the fourth embodiment, the scan section 630 may be configured as a part of one scan pattern.

제5 실시 예로, 하나의 스캔 패턴이 두 개의 스캔 섹션(640,650)으로 분할될 수 있다. 하나의 스캔 패턴에 포함되는 스캔 섹션의 개수는 실시 예에 따라 다양하게 변경 가능하다.In a fifth embodiment, one scan pattern may be divided into two scan sections 640, 650. The number of scan sections included in one scan pattern can be variously changed according to the embodiment.

테스트 데이터는 앞서 살핀 여러 가지 실시 예(600,610,620,630,640,650) 중 어느 하나의 방법으로 분할될 수 있을 뿐만 아니라, 이들 실시 예를 두 가지 이상 적용하여 분할될 수 있다. 예를 들어, 도 6의 N개의 스캔 패턴으로 구성된 테스트 데이터는 하나의 스캔 패턴을 포함하는 제1 스캔 섹션(600), 두 개의 스캔 패턴을 포함하는 제2 스캔 섹션(610), 하나의 스캔 패턴의 일부를 포함하는 제3,4 스캔 섹션(640,650)으로 분할될 수 있다.The test data can be divided by any one of the above-mentioned various embodiments (600, 610, 620, 630, 640, and 650) as well as two or more of these embodiments. For example, the test data composed of N scan patterns shown in FIG. 6 includes a first scan section 600 including one scan pattern, a second scan section 610 including two scan patterns, And third and fourth scan sections 640 and 650, which include a portion of the first and second scan sections 640 and 650, respectively.

도 7을 참조하면, 테스트 데이터(700)의 비트 패턴에서 동일하고 연속된 비트 값을 갖는 구간이 스캔 섹션(702,704,706,708,710)으로 분할될 수 있다. 동일한 비트 값이 연속하여 스캔 경로에 쉬프트되면 스캔 경로의 비트 값 스위칭 활동(switching activities)이 줄어들어 전력 소모가 작아지므로, 연속된 비트 값을 갖는 스캔 섹션에 높은 쉬프트 주파수가 할당될 수 있다.Referring to FIG. 7, an interval having the same and continuous bit values in the bit pattern of the test data 700 may be divided into scan sections 702, 704, 706, 708, and 710. If the same bit value is successively shifted to the scan path, the bit value switching activity of the scan path is reduced and the power consumption is reduced, so that a high shift frequency can be assigned to the scan section having successive bit values.

예를 들어, 테스트 데이터(700)의 비트 패턴에서 비트 값이 0에서 1, 또는 1에서 0으로 바뀌는 경계를 기준으로, 테스트 데이터(700)는 적어도 하나 이상의 스캔 섹션(702,704,706,708,710)으로 분할될 수 있다. 또는 0 또는 1의 비트 값이 연속되는 비트 패턴의 구간(710) 내에서 M(M은 정수) 개의 비트를 묶어 스캔 섹션(720,722)으로 분할할 수 있다.For example, the test data 700 may be divided into at least one or more scan sections 702, 704, 706, 708, and 710, based on the boundary where the bit values in the bit pattern of the test data 700 change from 0 to 1 or from 1 to 0 . Or M (M is an integer) bits within a section 710 of a continuous bit pattern of 0 or 1 bit values may be grouped into the scan sections 720 and 722. [

또 다른 예로, 테스트 데이터의 비트 패턴에서 동일하고 연속된 비트 값을 갖는 구간의 길이가 일정 길이보다 짧으면, 이 구간을 스캔 섹션으로 분할하지 않고 적어도 두 개 이상의 구간(702,704)을 묶어 하나의 스캔 섹션(703)으로 분할할 수 있다.As another example, if the length of the section having the same and continuous bit values in the bit pattern of the test data is shorter than a predetermined length, the section is divided into the scan sections and the at least two sections 702 and 704 are grouped into one scan section (703).

도 8을 참조하면, 스캔 섹션(810)은 다시 복수 개의 서브 스캔 섹션(sub scan section)(812,814)으로 분할될 수 있다. 예를 들어, 스캔 섹션(810,820)별로 찾은 최적 쉬프트 주파수들 중 상대적으로 낮은 최적 쉬프트 주파수를 갖는 스캔 섹션(810)을 다시 복수의 서브 스캔 섹션(812,814)으로 나누고, 나누어진 서브 스캔 섹션(812,814)에 대해 다시 최적 쉬프트 주파수를 찾을 수 있다.Referring to FIG. 8, the scan section 810 may be divided into a plurality of sub scan sections 812 and 814 again. For example, the scan section 810 having a relatively low optimal shift frequency among the optimal shift frequencies found for the scan sections 810 and 820 is divided again into a plurality of sub scan sections 812 and 814, divided sub scan sections 812 and 814, The optimal shift frequency can be found again.

도 9를 참조하면, 테스트 데이터(900,910)의 각 스캔 섹션에 적용할 최적 쉬프트 주파수를 찾는데 소요되는 예상시간(이하, '예상소요시간'이라 함)을 고려하여 테스트 데이터를 분할할 스캔 섹션의 개수를 결정할 수 있다. 스캔 섹션의 개수가 많아질수록 전체 스캔 섹션의 최적 쉬프트 주파수를 찾는데 소요되는 예상소요시간이 늘어난다. 예상소요시간은 스캔 섹션의 개수와 예상소요시간의 관계를 나타내는 기 설정된 공식에 의해 산출될 수 있다.Referring to FIG. 9, the number of scan sections to divide the test data into the test data (900, 910) in consideration of the estimated time (hereinafter referred to as "expected time") required for finding the optimal shift frequency to be applied to each scan section Can be determined. As the number of scan sections increases, the estimated time required to find the optimal shift frequency of the entire scan section increases. The estimated elapsed time can be calculated by a predetermined formula indicating the relationship between the number of scan sections and the estimated elapsed time.

도 9의 예에서, 최적 쉬프트 주파수를 찾는데 사용할 수 있는 A 시간의 제약 조건이 있다면, 예상소요시간이 A 시간 이하가 될 수 있도록 테스트 데이터(900)를 분할할 스캔 섹션의 개수 N이 결정된다. 최적 쉬프트 주파수를 찾는데 사용할 수 있는 B 시간(A>B)의 제약 조건이 있다면, 예상소요시간이 B 시간 이하가 될 수 있도록 테스트 데이터(910)를 분할할 스캔 섹션의 개수 M(N>M)이 결정된다.In the example of FIG. 9, if there is a constraint condition of A time that can be used to find the optimal shift frequency, the number N of scan sections to divide the test data 900 is determined so that the expected elapsed time may be less than A time. If there is a constraint of B time (A> B) that can be used to find the optimal shift frequency, the number M (N> M) of scan sections to divide the test data 910, Is determined.

테스트 데이터(900)를 분할할 개수가 N개로 결정되면, 테스트 데이터(900)는 결정된 N 개수의 스캔 섹션으로 분할된다. 예를 들어, 테스트 데이터(900)를 균등한 비트 길이를 가진 N 개의 스캔 섹션으로 분할하는 방법, 도 7과 같이 동일하고 연속된 비트 값을 갖는 구간을 스캔 섹션으로 분할하되, 구간의 개수가 N 개일 때까지만 분할하는 방법 등 다양한 방법이 적용될 수 있다.When the number of test data 900 to be divided is determined to be N, the test data 900 is divided into N determined scan sections. For example, a method of dividing test data 900 into N scan sections having equal bit lengths, a section having the same and continuous bit values as shown in FIG. 7 is divided into scan sections, where the number of sections is N And a method of dividing the image only until it is opened.

예상소요시간을 산출하기 위하여 다음의 정보가 사용될 수 있다.The following information may be used to calculate the estimated time required:

- 최적 쉬프트 주파수를 찾기 위한 시작 주파수- Start frequency for finding the optimum shift frequency

- 최적 쉬프트 주파수를 찾기 위한 종료 주파수- End frequency to find the optimal shift frequency

- 최적 쉬프트 주파수를 찾기 위한 주파수의 증감 단위- Frequency increase / decrease unit for finding the optimum shift frequency

- 최적 쉬프트 주파수를 찾기 위한 주파수의 증감 방법(연속적으로 주파수를 증감 또는 이진 검색 방법으로 증감 등)- Frequency increase / decrease method to search for optimum shift frequency (increase / decrease frequency continuously or increase / decrease by binary search method)

- 테스트 데이터에 포함된 스캔 패턴의 개수(SPN)- Number of scan patterns included in test data (SPN)

- 스캔 패턴의 비트 길이(SBL)- Bit length of scan pattern (SBL)

- 테스트 데이터를 스캔 섹션으로 분할하는 방법이나 기준(일정 비트 길이 단위로 분할, 일정 개수로 분할, 또는 비트 값이 바뀌는 경계를 기준으로 분할 등)- The method of dividing test data into scan sections or criteria (such as dividing by a certain bit length, dividing by a certain number, or dividing by the boundary where bit values change)

- 스캔 섹션의 개수(SSN)- Number of scan sections (SSN)

- 최적 쉬프트 주파수를 찾는 방법이 구현된 장치의 성능(예를 들어, 프로세서 성능(CPU 속도 등), 메모리나 하드 디스크의 용량과 속도 등)- How to find the optimal shift frequency The performance of the implemented device (eg, processor performance (CPU speed, etc.), memory and hard disk capacity and speed, etc.)

- 최적 쉬프트 주파수를 찾는 방법이 구현된 장치의 데이터 입출력 시간 등을 고려한 기타 마진 시간- Finding the optimum shift frequency Other margin time considering the data input / output time of the implemented device

본 발명의 최소한 하나의 실시예에서, 최적 쉬프트 주파수를 찾을 때 시작 주파수부터 종료 주파수까지 일정한 간격으로 순차적으로 증가하는 방법을 사용한다고 가정하면, 예상소요시간을 산출하기 위한 공식의 일 예는 다음 수학식과 같다.In at least one embodiment of the present invention, an example of a formula for calculating the expected time period is given by the following mathematical expression: < RTI ID = 0.0 > It is like the expression.

Figure 112018002784554-pat00001
Figure 112018002784554-pat00001

여기서, SSN은 스캔 섹션의 개수, SPN은 스캔 패턴의 개수, SBL은 스캔 패턴의 비트 길이, SFP는 쉬프트 주파수의 주기, FN은 스캔 섹션당 최적 쉬프트 주파수를 찾기 위한 쉬프트 주파수의 증가 횟수를 나타낸다.Here, SSN is the number of scan sections, SPN is the number of scan patterns, SBL is the bit length of the scan pattern, SFP is the period of the shift frequency, and FN is the number of shift frequencies for finding the optimal shift frequency per scan section.

수학식 1에서, 예상소요시간이 주어지면 이를 만족하여 스캔 섹션의 개수를 결정할 수 있다.In Equation (1), if the expected time is given, the number of scan sections can be determined by satisfying the expected time.

도 10은 본 발명의 최소한 하나의 실시예에 따른 스캔 섹션의 개수와 스캔 테스트 시간 감소율 사이의 관계를 나타낸 그래프이다.10 is a graph illustrating the relationship between the number of scan sections and the scan test time reduction rate in accordance with at least one embodiment of the present invention.

도 10을 참조하면, 스캔 섹션의 개수나 스캔 섹션의 분할 방법에 따른 스캔 테스트 시간 감소율의 추이 정보를 사용하여 테스트 데이터의 스캔 섹션 분할 개수가 결정될 수 있다. 쉬프트 주파수가 최적화된 스캔 섹션의 개수가 증가할수록, 테스트 데이터를 이용한 스캔 테스트의 시간 감소율이 높아질 수 있다.Referring to FIG. 10, the number of scan section divisions of test data can be determined using the number of scan sections or the transition information of the scan test time reduction rate according to the method of dividing scan sections. As the number of scan sections with optimized shift frequencies increases, the time reduction rate of scan tests using test data can be increased.

도 10에서, 세로축은 테스트 데이터 전체에 단일 쉬프트 주파수(constant shift frequency)를 사용할 때 소요되는 스캔 테스트 시간 대비 스캔 섹션별 최적 쉬프트 주파수를 사용할 때 소요되는 스캔 테스트 시간의 감소율이다. 가로축은 쉬프트 주파수가 최적화된 스캔 섹션의 개수를 의미한다.In FIG. 10, the vertical axis represents the reduction rate of the scan test time required when using the optimal shift frequency for each scan section, compared to the scan test time required when using a single shift frequency for all the test data. The abscissa represents the number of scan sections in which the shift frequency is optimized.

테스트 데이터를 분할하는 스캔 섹션의 개수가 증가할수록 스캔 섹션들의 평균 비트 길이는 짧아진다. 그리고 스캔 섹션의 비트 길이가 짧아질수록 최적 쉬프트 주파수는 더욱 높아져 스캔 테스트 시간을 단축할 수 있다.As the number of scan sections dividing the test data increases, the average bit length of the scan sections becomes shorter. As the bit length of the scan section is shortened, the optimum shift frequency becomes higher and the scan test time can be shortened.

이상에서 살핀 스캔 섹션의 다양한 분할 방법은 본 발명의 이해를 돕기 위한 다양한 실시 예일 뿐 본 발명이 도 5 내지 도 10의 각 방법으로 한정되는 것은 아니다. 도 5 내지 도 10에 도시된 방법 이외에도, 테스트 데이터를 분할하는 다양한 방법이 적용될 수 있다.The various division methods of the scan scan section are various embodiments for facilitating understanding of the present invention, but the present invention is not limited to the respective methods of FIGS. In addition to the methods shown in Figs. 5 to 10, various methods of dividing test data can be applied.

도 11은 본 발명의 최소한 하나의 실시예에 따른 스캔 테스트 시간 최소화를 위하여 각 스캔 섹션별로 쉬프트 주파수를 할당한 일 예를 도시한 개념도이다.11 is a conceptual diagram illustrating an example in which a shift frequency is allocated to each scan section in order to minimize a scan test time according to at least one embodiment of the present invention.

도 11을 참조하면, 복수의 쉬프트 주파수들이 각 스캔 섹션에 할당된다. 종래 스캔 테스트의 경우에, 테스트 데이터의 모든 스캔 패턴들을 IC 칩의 스캔 경로에 정상적으로 쉬프트 할 수 있는 단일 쉬프트 주파수가 이용되는데, 이러한 단일 쉬프트 주파수를 명목(nominal) 쉬프트 주파수 라고도 한다.Referring to FIG. 11, a plurality of shift frequencies are allocated to each scan section. In the case of the conventional scan test, a single shift frequency is used which can normally shift all the scan patterns of the test data to the scan path of the IC chip. Such a single shift frequency is also referred to as a nominal shift frequency.

일반적으로 명목 쉬프트 주파수는 ATPG 소프트웨어로 스캔 패턴을 만들 때 사용되는 쉬프트 주파수이거나 이를 기준으로 약간 조정된 쉬프트 주파수일 수 있으며, IC 칩을 테스트하기 위한 모든 스캔 패턴들을 IC 칩의 스캔 경로에 정상적으로 쉬프트 할 수 있는 단일 주파수로서 상당히 낮은 (예를 들어, 약 5 MHz) 주파수이다.In general, the nominal shift frequency may be a shift frequency used when making a scan pattern with the ATPG software, or a shift frequency slightly adjusted based on the shift frequency, and all the scan patterns for testing the IC chip may be normally shifted to the scan path of the IC chip (E. G., About 5 MHz) as a single frequency.

따라서 명목 쉬프트 주파수를 테스트 데이터를 구성하는 수천 내지 수 만개 이상의 스캔 패턴들에 그대로 사용할 경우 스캔 테스트 시간이 상당히 소요되며, 특히 IC 칩의 양산 테스트 시 IC 칩의 원가와 시장진입 시간(time-to-market)에 상당한 영향을 줄 수 있다. 예를 들어, 1개의 IC 칩을 테스트 하는데 2초가 소요된다고 가정하면, 1천 만개의 칩을 순차적으로 테스트하면 약 5,556시간, 즉 약 231일이 소요된다. 고가의 장비를 사용하여 수 개의 칩을 동시에 테스트 하여도 적지 않은 테스트 시간이 소요된다. 통상 IC 칩 테스트 서비스 회사는 사용하는 테스트 장비 수와 테스트 시간에 비례하여 비용을 청구하므로 칩 테스트 소요시간은 칩 원가에 많은 영향을 미칠 수 있다.Therefore, when the nominal shift frequency is used as it is in the thousands to tens of thousands of scan patterns constituting the test data, the scan test time is considerably long. In particular, the IC chip cost and the time-to- market can have a significant impact. For example, assuming that it takes two seconds to test one IC chip, testing sequentially 10 million chips will take about 5,556 hours, or about 231 days. Even if expensive chips are used to test several chips at the same time, it takes a lot of time to test them. Typically, IC chip test service companies charge a fee in proportion to the number of test equipment used and test time, so the chip test time can have a significant impact on chip cost.

그렇다고 명목 쉬프트 주파수를 높게 할 경우, 스캔 패턴을 쉬프트인 또는 쉬프트아웃할 때 발생하는 전력 소모가 IC 칩이 허용하는 전력 소모 범위를 벗어나게 되므로 정상적인 스캔 테스트를 수행할 수 없게 된다. 또한, 오버 쉬프트 주파수로 인해 크리티컬 경로(critical path) 지연 시간 문제, 파워 서플라이 노이즈 영향 심화, 신호선 간 간섭 영향 심화 등으로 인한 양품을 불량품으로 판정하는 오버킬(over kill) 문제가 발생할 수 있다. 이는 IC 칩 양산에 있어서 매우 중요한 수율과 원가에 영향을 미칠 수 있다.However, when the nominal shift frequency is increased, the power consumption occurring when shifting or shifting the scan pattern is out of the power consumption range allowed by the IC chip, so that the normal scan test can not be performed. In addition, due to the overshift frequency, there may occur an over kill problem in which a good product is determined to be a defective product due to a critical path delay time problem, an increase in power supply noise influence, and an increase in influence of interference between signal lines. This can have an important effect on the yield and cost of mass production of IC chips.

따라서 본 실시 예는 전체 스캔 패턴에 대해 명목 쉬프트 주파수와 같은 단일의 쉬프트 주파수를 적용하는 것이 아니라 스캔 섹션 별로 스캔 경로에 정상적으로 쉬프트 될 수 있는 최적의 쉬프트 주파수를 할당한다. 스캔 섹션 별 최적의 쉬프트 주파수를 찾는 과정은 도 12 이하를 참조하여 보다 상세하게 설명한다. 최적 쉬프트 주파수는 스캔 섹션에 대해 사용 가능한 최대 쉬프트 주파수이거나 이보다 작은 쉬프트 주파수를 의미한다.Therefore, the present embodiment does not apply a single shift frequency such as a nominal shift frequency to the entire scan pattern, but allocates an optimal shift frequency that can be normally shifted to the scan path for each scan section. The process of finding the optimal shift frequency for each scan section will be described in more detail with reference to FIG. The optimal shift frequency means a shift frequency that is less than or equal to the maximum shift frequency available for the scan section.

도 11의 예에서, 스캔 섹션 1은 쉬프트 주파수 A를 할당 받고, 스캔 섹션 2는 쉬프트 주파수 B를 할당 받는다. 그리고 스캔 섹션 3은 스캔 섹션 1과 동일한 쉬프트 주파수 A를 할당 받는다. 이와 같이, 각 스캔 섹션은 동일한 쉬프트 주파수를 할당 받거나 서로 다른 쉬프트 주파수를 할당 받을 수 있다.In the example of FIG. 11, the scan section 1 is assigned shift frequency A and the scan section 2 is assigned shift frequency B. And scan section 3 is assigned the same shift frequency A as scan section 1. [ As such, each scan section may be assigned the same shift frequency or may be assigned a different shift frequency.

예를 들어, 하나의 스캔 패턴이 복수의 스캔 섹션으로 분할된 경우에, 하나의 스캔 패턴에 복수의 쉬프트 주파수가 할당될 수 있다. 도 6을 참조하면, 하나의 스캔 패턴에 속한 두 개의 스캔 섹션(640,650)은 서로 다른 쉬프트 주파수를 할당 받을 수 있다. 즉, 하나의 스캔 패턴에 두 개의 쉬프트 주파수가 할당된다.For example, when one scan pattern is divided into a plurality of scan sections, a plurality of shift frequencies may be assigned to one scan pattern. Referring to FIG. 6, two scan sections 640 and 650 belonging to one scan pattern can be assigned different shift frequencies. That is, two shift frequencies are assigned to one scan pattern.

쉬프트 주파수를 할당 받은 각 스캔 섹션은 실시 예에 따라 섹션 그룹으로 통합될 수도 있다. 예를 들어, 두 번째 스캔 섹션과 세 번째 스캔 섹션을 섹션 그룹으로 묶고, 각 스캔 섹션의 쉬프트 주파수 A, B 중 더 작은 쉬프트 주파수 또는 그 이하를 해당 섹션 그룹에 할당할 수 있다.Each scan section assigned shift frequency may be integrated into a section group according to an embodiment. For example, the second scan section and the third scan section may be grouped into a section group, and a smaller shift frequency of the shift frequencies A and B of each scan section or less may be assigned to the corresponding section group.

스캔 테스트 과정에서 주 입력 포트에 주 입력 테스트 데이터 인가 및 스캔 경로에 스캔 패턴 입력 후 주 출력에서의 테스트 결과 관찰은 이하의 실시 예의 칩 테스트 과정에 적용되거나 적용되지 않을 수 있다.The main input test data may be applied to the main input port during the scan test and the test result observation at the main output after the scan pattern input to the scan path may or may not be applied to the chip test process of the following embodiments.

도 12는 본 발명 의 최소한 하나의 실시예 에 따른 스캔 테스트 시간 최소화를 위한 쉬프트 주파수를 찾는 방법의 일 예를 도시한 개념도이다.12 is a conceptual diagram illustrating an example of a method for finding a shift frequency for minimizing a scan test time according to at least one embodiment of the present invention.

먼저, 입력 패턴, 스캔 섹션, 스캔 패턴, 출력 패턴의 관계를 설명한다.First, the relationship between the input pattern, the scan section, the scan pattern, and the output pattern will be described.

입력 패턴(1202,1204,1206)은 스캔 경로(1210)에 입력되는 비트 패턴이다. 도 12에서 현재 쉬프트 주파수 결정 대상인 스캔 섹션 K는 입력 패턴 K(1204)와 일대일 대응된다. 최적의 쉬프트 주파수를 찾거나 결정하고자 하는 스캔 섹션 K(이하, 대상 스캔 섹션 K)를 포함하는 입력 패턴 K(1204)의 앞 또는 뒤에 위치하는 비트 패턴은 대상 스캔 섹션에 대한 보조 스캔 섹션 또는 보조 비트 패턴이라고 불릴 수 있다.The input patterns 1202, 1204, and 1206 are bit patterns that are input to the scan path 1210. In FIG. 12, the scan section K, which is the current shift frequency determination target, corresponds to the input pattern K 1204 in one-to-one correspondence. The bit pattern located before or after the input pattern K 1204 including the scan section K (hereinafter referred to as the target scan section K) for which an optimum shift frequency is sought or to be determined is determined by the auxiliary scan section or the auxiliary bit Pattern.

(스캔 섹션과 스캔 패턴이 일대일 대응되는 경우의 입력 패턴)(An input pattern when the scan section and the scan pattern correspond one-to-one)

대상 스캔 섹션 K(1204)가 스캔 패턴 M과 일대일 대응되는 경우에, 입력 패턴 K-1(1202), 입력 패턴 K(1204) 및 입력 패턴 K+1(1206)은 각각 스캔 패턴 M-1, 스캔 패턴 M 및 스캔 패턴 M+1과 일대일 대응될 수 있다.The input pattern K-1 1202, the input pattern K 1204, and the input pattern K + 1 1206 correspond to the scan pattern M-1, One-to-one correspondence with the scan pattern M and the scan pattern M + 1.

(스캔 섹션과 스캔 패턴이 일대일 대응되는 경우의 출력 패턴 K)(Output pattern K when the scan section and the scan pattern correspond one-to-one)

대상 스캔 섹션 K(1204)가 스캔 패턴 M과 일대일 대응되는 경우에, 대상 스캔 섹션 K(1204)에 대한 스캔 경로(1210)의 출력 패턴은 스캔 패턴 M에 대한 스캔 경로(1210)의 출력 패턴 K(1224)에 해당한다. 출력 패턴 K(1224)는 대상 스캔 섹션 K(1204)에 대한 스캔 캡쳐 결과 패턴이거나 스캔 패턴 M이 스캔 경로로부터 그대로 출력된 패턴일 수 있다.The output pattern of the scan path 1210 for the target scan section K 1204 corresponds to the output pattern K of the scan path 1210 for the scan pattern M when the target scan section K 1204 corresponds one- (1224). The output pattern K 1224 may be a scan capture result pattern for the target scan section K 1204 or a pattern in which the scan pattern M is output as is from the scan path.

(스캔 섹션과 스캔 패턴이 일대일 대응되는 경우의 출력 패턴 K-1)(Output pattern K-1 when the scan section and the scan pattern correspond one-to-one)

대상 스캔 섹션 K(1204)가 스캔 패턴 M과 일대일 대응되는 경우에, 입력 패턴 K-1(1202)에 대한 스캔 경로의 출력 패턴은 스캔 패턴 M-1에 대한 스캔 경로의 출력 패턴 K-1(1222)에 해당한다. 출력 패턴 K-1(1222)은 스캔 패턴 M-1에 대한 스캔 캡쳐 결과이거나 스캔 패턴 M-1이 스캔 경로로부터 그대로 출력된 패턴일 수 있다.The output pattern of the scan path for the input pattern K-1 1202 corresponds to the output pattern K-1 of the scan path for the scan pattern M-1 when the target scan section K 1204 corresponds one- 1222). The output pattern K-1 1222 may be a scan capture result for the scan pattern M-1 or a pattern in which the scan pattern M-1 is directly output from the scan path.

(스캔 섹션과 스캔 패턴이 일대일 대응되는 경우의 출력 패턴 K+1)(Output pattern K + 1 when the scan section corresponds to the scan pattern one-to-one)

대상 스캔 섹션 K(1204)가 스캔 패턴 M과 일대일 대응되는 경우에, 입력 패턴 K+1(1206)에 대한 스캔 경로의 출력 패턴은 스캔 패턴 M+1에 대한 스캔 경로의 출력 패턴 K+1이다. 출력 패턴 K+1은 스캔 패턴 M+1에 대한 스캔 캡쳐 결과 패턴이거나 스캔 패턴 M+1이 스캔 경로로부터 그대로 출력된 패턴일 수 있다.The output pattern of the scan path for the input pattern K + 1 1206 is the output pattern K + 1 of the scan path for the scan pattern M + 1 when the target scan section K 1204 is one-to-one correspondence with the scan pattern M . The output pattern K + 1 may be a scan capture result pattern for the scan pattern M + 1 or a pattern in which the scan pattern M + 1 is directly output from the scan path.

(스캔 섹션이 스캔 패턴의 일부인 경우의 입력패턴 K-1, K+1)(Input patterns K-1 and K + 1 when the scan section is part of the scan pattern)

예를 들어, 도 14를 참조하면, 대상 스캔 섹션 K(1204)가 스캔 패턴 M의 일부분인 경우에, 입력 패턴 K-1(1202)은 스캔 패턴 M-1 및 스캔 패턴 M에서 스캔 섹션 K(1204) 이외의 일부를 포함할 수 있다. 입력 패턴 K+1(1206)은 스캔 패턴 M+1 및 스캔 패턴 M에서 스캔 섹션 K(1204) 이외의 일부를 포함할 수 있다.For example, referring to Fig. 14, when the target scan section K (1204) is a part of the scan pattern M, the input pattern K-1 1202 includes the scan pattern M- 1204). ≪ / RTI > The input pattern K + 1 1206 may include a scan pattern M + 1 and a portion other than the scan section K 1204 in the scan pattern M. [

(스캔 섹션이 스캔 패턴의 일부인 경우의 출력패턴 K)(Output pattern K when the scan section is part of the scan pattern)

대상 스캔 섹션 K(1204)가 도 14와 같이 스캔 패턴 M의 일부분인 경우에, 대상 스캔 섹션 K(1204)에 대한 스캔 경로의 출력 패턴 K(1224)는 대상 스캔 섹션(1204)에 대한 스캔 캡쳐 결과 패턴이거나 스캔 섹션 K가 포함된 스캔 패턴 M에 대한 스캔 캡쳐 결과 패턴일 수 있다. 또는 출력 패턴 K(1224)는 스캔 섹션 K(1204)가 스캔 경로로부터 그대로 출력된 패턴이거나 스캔 섹션 K(1204)가 포함된 스캔 패턴 M이 스캔 경로로부터 그대로 출력된 패턴일 수 있다.14, the output pattern K (1224) of the scan path for the target scan section K (1204) is used as the scan pattern for the target scan section 1204 when the target scan section K (1204) A result pattern, or a scan capture result pattern for a scan pattern M including a scan section K. [ Alternatively, the output pattern K 1224 may be a pattern in which the scan section K 1204 is directly output from the scan path or a pattern in which the scan pattern M including the scan section K 1204 is directly output from the scan path.

(스캔 섹션이 스캔 패턴의 일부인 경우의 출력패턴 K-1, K+1)(Output patterns K-1 and K + 1 when the scan section is part of the scan pattern)

대상 스캔 섹션 K(1204)가 도 14와 같이 스캔 패턴 M의 일부분인 경우에, 입력 패턴 K-1(1202)에 대한 스캔 경로의 출력 패턴 K-1(1222)은 스캔 패턴 M-1에 대한 출력 패턴이거나, 스캔 패턴 M-1 및 스캔 패턴 M의 일부분에 대한 출력 패턴일 수 있다. 또한 입력 패턴 K+1(1206)에 대한 스캔 경로의 출력 패턴 K+1은 스캔 패턴 M+1에 대한 출력 패턴이거나, 스캔 패턴 M+1 및 스캔 패턴 M의 일부분에 대한 출력 패턴일 수 있다. 또 다른 예로, 입력 패턴 K-1(1202) 또는 입력 패턴 K+1(1206)에 포함된 스캔 패턴 M의 일부분에 대한 스캔 경로의 출력 패턴은 대상 스캔 섹션 K(1204)이 포함된 스캔 패턴 M에 대한 스캔 경로의 출력 패턴에 반영될 수 있다. 또 다른 예로, 입력 패턴 K-1(1202) 또는 입력 패턴 K+1(1206)에 대한 출력 패턴은 입력 패턴 K-1(1202) 또는 입력 패턴 K+1(1206)이 스캔 경로로부터 그대로 출력된 패턴일 수 있다.When the target scan section K 1204 is a part of the scan pattern M as shown in FIG. 14, the output pattern K-1 1222 of the scan path for the input pattern K-1 1202 corresponds to the scan pattern M- Output pattern, or an output pattern for a part of the scan pattern M-1 and the scan pattern M. The output pattern K + 1 of the scan path for the input pattern K + 1 1206 may be an output pattern for the scan pattern M + 1, or an output pattern for the scan pattern M + 1 and a portion of the scan pattern M. As another example, the output pattern of the scan path for a part of the scan pattern M included in the input pattern K-1 1202 or the input pattern K + 1 1206 may be a scan pattern M including the target scan section K 1204 May be reflected in the output pattern of the scan path to the scan path. As another example, the output pattern for the input pattern K-1 1202 or the input pattern K + 1 1206 may be such that the input pattern K-1 1202 or the input pattern K + 1 1206 is directly output from the scan path Pattern.

(스캔 섹션이 복수의 스캔 패턴에 걸쳐 있는 경우)(If the scan section spans a plurality of scan patterns)

예를 들어, 도 15를 참조하면, 대상 스캔 섹션 K(1204)가 복수의 스캔 패턴에 걸쳐 있을 수 있다. 이 경우, 입력 패턴 K-1(1202)은 스캔 패턴 M-1에서 대상 스캔 섹션 K(1204)의 부분을 제외한 부분을 포함할 수 있고, 입력 패턴 K+1(1206)은 스캔 패턴 M+1에서 대상 스캔 섹션 K(1204)의 부분을 제외한 부분을 포함할 수 있다. 이 경우에, 각 스캔 패턴에 걸쳐 있는 대상 스캔 섹션 K(1204)의 각 부분에 대해 최적의 쉬프트 주파수를 각각 별도로 파악하고, 대상 스캔 섹션 K(1204)에 대해 할당 가능한 최적 쉬프트 주파수를 결정할 수 있다.For example, referring to FIG. 15, the target scan section K 1204 may span a plurality of scan patterns. In this case, the input pattern K-1 1202 may include a portion excluding the portion of the target scan section K 1204 in the scan pattern M-1, and the input pattern K + 1 1206 may include the scan pattern M + 1 The portion of the target scan section K 1204 may be omitted. In this case, it is possible to separately grasp the optimal shift frequency for each portion of the target scan section K (1204) that spans each scan pattern, and determine the optimal shift frequency that can be assigned to the target scan section K (1204) .

이상은 본 발명의 이해를 돕기 위한 예에 해당하며, 본 발명은 이 예에 한정되지 아니한다. 또한 스캔 패턴은 도 5 내지 도 10에서 설명한 바와 같이 다양한 형태의 스캔 섹션으로 분할될 수 있으며, 스캔 섹션의 분할 형태에 따라 입력 패턴 K와 그 앞뒤에 위치한 입력 패턴 K-1 또는 입력 패턴 K+1의 형태 또한 다양할 수 있다. 즉, 입력 패턴 K-1(1202) 또는 입력 패턴 K+1(1206)은 적어도 하나 이상의 스캔 섹션으로 구성될 수 있다.The present invention is not limited to this example. The scan pattern may be divided into various types of scan sections as described with reference to FIGS. 5 to 10. The scan pattern may be divided into various types of scan sections according to the division pattern of the scan section, the input pattern K, May also vary. That is, the input pattern K-1 1202 or the input pattern K + 1 1206 may include at least one scan section.

도 12는 도 4에서 설명한 쉬프트인과 쉬프트아웃이 중첩하여 수행되는 경우에 스캔 테스트 시간을 최소화하기 위한 방법의 일 예를 설명한다. 도 12는 본 발명에 따른 하나의 예를 설명하고자 함이며, 본 발명은 도 4에서 설명한 쉬프트인과 쉬프트아웃이 동시에 수행되는 경우로 한정되지 아니한다.FIG. 12 illustrates an example of a method for minimizing a scan test time when the shift in and shift-out operations illustrated in FIG. 4 are performed in an overlapping manner. FIG. 12 illustrates one example according to the present invention, and the present invention is not limited to the case where shift in and shift out described in FIG. 4 are simultaneously performed.

IC 칩의 스캔 테스트는 입력 패턴(1200)에 대한 테스트 결과 패턴(1220)을 예측 패턴(1230)과 비교하여 테스트 정상 여부를 판단한다. 즉, 입력 패턴(1200)을 스캔 경로(1210)에 로드 한 후 캡쳐 동작을 수행하여 얻은 결과 패턴(1220)을 언로드하거나 입력 패턴을 로드 후 캡쳐 동작 없이 언로드하고, 예측 패턴(1230)과 언로드된 결과 패턴(1220)을 비교하여 테스트 정상 여부를 판단한다.In the IC chip scan test, the test result pattern 1220 for the input pattern 1200 is compared with the predicted pattern 1230 to determine whether the test is normal. That is, the input pattern 1200 is loaded into the scan path 1210 and then the result pattern 1220 obtained by performing the capture operation is unloaded, or the input pattern is loaded and unloaded without performing the capture operation, and the predicted pattern 1230 and the unloaded The result pattern 1220 is compared to determine whether the test is normal.

본 발명의 최소한 하나의 실시예에서, 스캔 패턴 또는 스캔 섹션에 대한 쉬프트 주파수 최적화를 위해서는 대상 스캔 패턴 또는 대상 스캔 섹션이 스캔 경로에 쉬프트인 될 때 동시에(또는 순차적으로) 쉬프트아웃 되는 출력 패턴 또한 정상인지 확인한다. 예를 들어, 대상 스캔 패턴 또는 대상 스캔 섹션이 증가된 쉬프트 주파수로 스캔 경로에 정상적으로 쉬프트인되더라도, 증가된 쉬프트 주파수로 쉬프트아웃 되는 이전 입력 패턴에 대한 테스트 결과 패턴에 오류가 생길 수도 있기 때문이다.In at least one embodiment of the present invention, for shift frequency optimization for a scan pattern or scan section, the output pattern shifted out simultaneously (or sequentially) when the target scan pattern or the target scan section is shifted to the scan path is also normal . For example, even if the target scan pattern or the target scan section is normally shifted to the scan path at the increased shift frequency, an error may occur in the test result pattern for the previous input pattern shifted out at the increased shift frequency.

도 12의 예에서, 현재 쉬프트 주파수 결정 대상인 스캔 섹션 K(1204)가 특정 쉬프트 주파수로 스캔 경로에 정상적으로 쉬프트인되는지 확인하기 위하여, 입력 패턴 K-1(1202)과 입력 패턴 K+1(1206)을 함께 이용할 수 있다. 즉, 대상 스캔 섹션 K(1204)를 반복적으로 스캔 경로(1210)에 입력하기 전마다, 스캔 경로를 일정한 비트 패턴으로 초기화할 수 있는 입력 패턴 K-1(1202)을 사용할 수 있다. 또한 k번째 스캔 섹션(1204)에 대한 스캔 경로의 출력 패턴이 반복적으로 쉬프트아웃 될 때마다 일정한 비트 패턴으로 스캔 경로에 쉬프트인되는 입력 패턴 K+1(1206)을 사용할 수 있다.In the example of FIG. 12, the input pattern K-1 1202 and the input pattern K + 1 1206 are used to check whether the scan section K 1204, which is the current shift frequency determination object, Can be used together. That is, the input pattern K-1 1202 that can initialize the scan path to a constant bit pattern can be used every time the target scan section K 1204 is repeatedly input to the scan path 1210. Also, the input pattern K + 1 1206 shifted to the scan path by a constant bit pattern can be used whenever the output pattern of the scan path for the k-th scan section 1204 is repeatedly shifted out.

대상 스캔 섹션 K(1204)가 스캔 패턴 M과 일대일 대응되는 경우에, 입력 패턴 K-1(1202)은, 대상 스캔 섹션 K(1204)의 앞에 위치한 실제 스캔 테스트에 사용되는 스캔 패턴 M-1이거나, 스캔 패턴 M-1을 스캔 경로에 로드 한 후 스캔 캡쳐하여 얻는 결과 패턴에 대한 예측 패턴일 수 있다.The input pattern K-1 1202 is the scan pattern M-1 used for the actual scan test located before the target scan section K 1204 when the target scan section K 1204 corresponds one-to-one to the scan pattern M , A scan pattern M-1 is loaded into the scan path, and then a scan pattern is obtained.

또 다른 예로, 대상 스캔 섹션 K(1204)가 도 14와 같이 스캔 패턴 M의 일부인 경우에, 입력 패턴 K-1(1202)은 대상 스캔 섹션 K(1204)의 앞에 위치한 실제 테스트에 사용되는 스캔 패턴 M-1 또는 스캔 패턴 M-1을 스캔 경로에 로드 한 후 스캔 캡쳐하여 얻는 결과 패턴에 대한 예측 패턴을 포함할 수 있다. 또한 입력 패턴 K-1(1202)은 스캔 패턴 M에서 대상 스캔 섹션 K(1204)를 제외한 부분을 포함할 수 있다. 여기서, 스캔 패턴 M에서 대상 스캔 섹션 K를 제외한 부분은 실제 스캔 테스트에 사용되는 비트 패턴의 일부일 수 있다.As another example, when the target scan section K (1204) is a part of the scan pattern M as shown in FIG. 14, the input pattern K-1 1202 includes a scan pattern M-1 or the scan pattern M-1 into the scan path and then scan-captures the scan pattern. Also, the input pattern K-1 1202 may include a portion excluding the target scan section K (1204) in the scan pattern M. [ Here, the portion of the scan pattern M excluding the target scan section K may be a part of a bit pattern used in an actual scan test.

또 다른 예로, 입력 패턴 K-1(1202)은 스캔 경로의 스위칭 동작을 줄이기 위하여 비트 '0' 또는 '1' 위주로 구성되거나 연속된 비트 '0' 또는 '1' 위주로 구성되는 등 기 설정된 임의의 패턴일 수도 있다.As another example, the input pattern K-1 1202 may be configured with a bit '0' or '1' to reduce the switching operation of the scan path, or an arbitrary set of '0' Pattern.

또 다른 예로, 입력 패턴 K-1(1202)은 도 13과 같이 적어도 하나 이상의 스캔 섹션으로 구성될 수 있다.As another example, the input pattern K-1 1202 may be composed of at least one scan section as shown in FIG.

대상 스캔 섹션 K(1204)가 스캔 패턴 M과 일대일 대응되는 경우에, k+1번째 입력 패턴(1206)은 스캔 섹션 K(1204)의 뒤에 위치한 실제 스캔 테스트에 사용되는 스캔 패턴 M+1이거나 스캔 패턴 M+1을 스캔 경로에 로드 한 후 스캔 캡쳐하여 얻는 결과 패턴에 대한 예측 패턴일 수 있다.The k + 1 th input pattern 1206 is the scan pattern M + 1 used for the actual scan test located behind the scan section K 1204, or the scan pattern M + 1 used for the actual scan test located behind the scan section K 1204 when the target scan section K 1204 corresponds one- May be a predictive pattern for the resultant pattern obtained by scanning the pattern after loading the pattern M + 1 into the scan path.

또 다른 예로, 대상 스캔 섹션 K(1204)가 도 14와 같이 스캔 패턴 M의 일부분인 경우에, 입력 패턴 K+1(1206)은 대상 스캔 섹션 K(1204)의 뒤에 위치한 실제 스캔 테스트에 사용되는 스캔 패턴 M+1 등을 포함할 수 있다. 또한 입력 패턴 K+1(1206)은 스캔 패턴 M에서 대상 스캔 섹션 K(1204)를 제외한 부분을 포함할 수 있다. 여기서, 대상 스캔 섹션 K(1204)를 제외한 부분은 실제 스캔 테스트에 사용되는 비트 패턴의 일부일 수 있다.As another example, if target scan section K (1204) is part of scan pattern M as shown in FIG. 14, input pattern K + 1 1206 is used for an actual scan test located after target scan section K (1204) Scan pattern M + 1, and so on. Also, the input pattern K + 1 1206 may include a portion of the scan pattern M excluding the target scan section K (1204). Here, the portion excluding the target scan section K (1204) may be a part of a bit pattern used in an actual scan test.

또 다른 예로, 입력 패턴 K+1(1206)은 스캔 경로상의 스위칭 동작을 줄이기 위하여 비트 '0' 또는 '1' 위주로 구성되거나 연속된 비트 '0' 또는 '1' 위주로 구성되는 등 기 설정된 임의의 패턴일 수 있다.As another example, input pattern K + 1 1206 may be configured with a bit '0' or '1' in order to reduce the switching operation on the scan path or an arbitrary set of '0' Pattern.

또 다른 예로, 입력 패턴 K+1(1206)은 도 13과 같이 적어도 하나 이상의 스캔 섹션으로 구성될 수 있다.As another example, the input pattern K + 1 1206 may be composed of at least one scan section as shown in FIG.

그리고 스캔 테스트에 있어서 첫 번째 스캔 섹션의 앞과 마지막 스캔 섹션의 뒤에 각각 위치하는 입력 패턴은, 스캔 경로의 스위칭 동작을 줄이기 위하여 비트 '0' 또는 '1' 위주로 구성되거나 연속된 비트 '0' 또는 '1' 위주로 구성되는 등 기 설정된 임의의 패턴일 수 있다. 또한 첫 스캔 섹션의 앞에 위치하는 입력 패턴은 테스트 대상 칩이 리셋 상태일 때의 스캔 경로 상의 값일 수도 있다.In order to reduce the switching operation of the scan path, the input patterns located respectively before and after the last scan section of the first scan section in the scan test are composed of bits '0' or '1' Quot; 1 " and " 1 ". The input pattern before the first scan section may also be a value on the scan path when the chip under test is in the reset state.

본 발명의 최소한 하나의 실시예에서, 입력 패턴 K-1(1202) 또는 입력 패턴 K+1(1206)은 각각 하나 이상의 스캔 섹션으로 구성될 수 있으며, 이들 섹션의 쉬프트 주파수는 현재 쉬프트 주파수 결정 대상인 스캔 섹션 K(1204)의 최대 쉬프트 주파수를 찾는데 제약을 주지 않도록 할 수 있다.In at least one embodiment of the present invention, the input pattern K-1 1202 or the input pattern K + 1 1206 may each be comprised of one or more scan sections, and the shift frequency of these sections may be a current shift frequency determination object It is possible not to restrict the search for the maximum shift frequency of the scan section K (1204).

예를 들어, 입력 패턴 K-1(1202)은 최대 30MHz까지 스캔 경로에 정상적으로 쉬프트인 가능하고, 대상 스캔 섹션 K(1204)는 최대 50MHz까지 스캔 경로에 정상적으로 쉬프트인 가능하다고 하자. 쉬프트 주파수를 증가하면서 입력 패턴 K-1(1202)과 대상 스캔 섹션 K(1204)를 동일한 쉬프트 주파수로 스캔 경로에 순차적으로 쉬프트인하면, 대상 스캔 섹션 K(1204)에 대해 찾을 수 있는 최대 쉬프트 주파수는 30MHz로 제약을 받는다. 즉 쉬프트 주파수가 30MHz를 초과하는 경우에 입력 패턴 K-1(1202)에 대한 출력 패턴과 예측 패턴이 상이해질 수 있기 때문이다. 또한, 입력 패턴 K+1(1206)이 최대 30MHz까지 스캔 경로에 정상적으로 쉬프트인 가능할 경우에도, 대상 스캔 섹션 K(1204)에 대해 찾을 수 있는 최대 쉬프트 주파수는 30MHz로 제약을 받을 수 있다.For example, assume that the input pattern K-1 1202 is normally shiftable to the scan path up to 30 MHz and the target scan section K 1204 is normally shiftable to the scan path up to 50 MHz. When the input pattern K-1 1202 and the target scan section K 1204 are sequentially shifted to the scan path with the same shift frequency while increasing the shift frequency, the maximum shift frequency K (1) 1204 found for the target scan section K (1204) Is limited to 30 MHz. That is, when the shift frequency exceeds 30 MHz, the output pattern for the input pattern K-1 1202 may be different from the predicted pattern. Also, even if the input pattern K + 1 1206 is normally shiftable to the scan path up to 30 MHz, the maximum shift frequency that can be found for the target scan section K 1204 may be limited to 30 MHz.

따라서 이러한 제약 상황을 피하기 위하여, 본 발명의 최소한 하나의 실시예에서, 입력 패턴 K-1(1202) 또는 입력 패턴 K+1(1206)의 쉬프트 주파수는 기 설정된 쉬프트 주파수(위 예의 경우, 30MHz)를 초과하지 않도록 할 수 있다.Therefore, in at least one embodiment of the present invention, the shift frequency of input pattern K-1 1202 or input pattern K + 1 1206 is set to a predetermined shift frequency (30 MHz in this example) Can not be exceeded.

예를 들어, 입력 패턴 K-1(1202) 또는 입력 패턴 K+1(1206)의 쉬프트 주파수를 기 설정된 쉬프트 주파수(위 예의 경우, 30MHz 이하)로 고정하고, 대상 스캔 섹션 K(1204)의 쉬프트 주파수만을 증감하여, 대상 스캔 섹션 K(1204)에 사용 가능한 최대 쉬프트 주파수를 찾을 수 있다.For example, the shift frequency of the input pattern K-1 1202 or the input pattern K + 1 1206 is fixed to a predetermined shift frequency (in the above example, 30 MHz or less) By increasing or decreasing only the frequency, the maximum shift frequency usable in the target scan section K (1204) can be found.

또 다른 예로, 입력 패턴 K-1(1202), 대상 스캔 섹션 K(1204), 입력 패턴 K+1(1206)에 대해 기 설정된 쉬프트 주파수(위 예의 경우, 30MHz 이하)까지 모두 함께 쉬프트 주파수의 증감을 적용하고, 기 설정된 쉬프트 주파수를 벗어나는 경우에는 대상 스캔 섹션 K(1204)의 쉬프트 주파수만을 증감할 수 있다.As another example, it is also possible to increase or decrease the shift frequency together with the shift frequency (30 MHz or less in the above example) set for the input pattern K-1 1202, the target scan section K 1204, and the input pattern K + 1 1206 And shifts only the shift frequency of the target scan section K 1204 when the shift frequency is out of the predetermined shift frequency.

다시 말해, 대상 스캔 섹션 K(1204)의 쉬프트 주파수와 나머지 입력 패턴(1202,1206)의 쉬프트 주파수를 서로 다르게 제어할 수 있다. 물론 입력 패턴 K-1(1202) 또는 입력 패턴 K+1(1206)의 최대 허용 쉬프트 주파수가 대상 스캔 섹션 K(1204)의 최대 쉬프트 주파수보다 크다면 대상 스캔 섹션 K(1204)와 나머지 입력 패턴(1202,1206)의 쉬프트 주파수를 동일하게 증감할 수 있다. 여기서, 기 설정된 쉬프트 주파수는 명목 쉬프트 주파수이거나 명목 쉬프트 주파수를 조정한 쉬프트 주파수 또는 프로그램에 의해 테스트 장치에 기 설정된 값 또는 사용자가 기 설정한 값이 될 수 있는 등 실시 예에 따라 다양하게 변경 가능하며 위 예에 반드시 한정되는 것은 아니다.In other words, the shift frequency of the target scan section K (1204) and the shift frequency of the remaining input patterns 1202 and 1206 can be controlled differently. Of course, if the maximum allowable shift frequency of the input pattern K-1 1202 or the input pattern K + 1 1206 is larger than the maximum shift frequency of the target scan section K 1204, the target scan section K 1204 and the remaining input patterns 1202 and 1206 can be increased or decreased equally. Here, the predetermined shift frequency can be variously changed according to the embodiment, such as a nominal shift frequency or a shift frequency adjusted by a nominal shift frequency, or a preset value by a program or a user preset value It is not necessarily limited to the above example.

본 발명의 최소한 하나의 실시예에서, 입력 패턴 K-1(1202) 또는 입력 패턴 K+1(1206)에 대해 본 발명의 실시 예에 따른 방법을 통해 최적의 쉬프트 주파수가 이미 결정된 경우에는 최적 쉬프트 주파수 이하를 적용하여 입력 패턴 K-1(1202) 또는 입력 패턴 K+1(1206)을 스캔 경로에 쉬프트인 할 수도 있다.In at least one embodiment of the present invention, when the optimal shift frequency has already been determined through the method according to an embodiment of the present invention for input pattern K-1 1202 or input pattern K + 1 1206, The input pattern K-1 1202 or the input pattern K + 1 1206 may be shifted to the scan path by applying a frequency or less.

예를 들어, 본 발명에 따른 방법을 스캔 패턴들에 대해 순차적으로 적용하는 경우, 대상 스캔 섹션 K(1204)의 쉬프트 주파수 결정 과정 이전에 입력 패턴 K-1을 구성하는 적어도 하나 이상의 스캔 섹션에 대한 최적의 쉬프트 주파수가 미리 결정될 수 있다. 따라서 스캔 테스트 시간 최소화 장치는 입력 패턴 K-1(1202)의 각 스캔 섹션별 최적의 쉬프트 주파수를 이용하고, 입력 패턴 K+1(1206)에 대해서는 명목 쉬프트 주파수 또는 명목 쉬프트 주파수를 조정한 쉬프트 주파수를 적용할 수 있다.For example, when the method according to the present invention is applied to scan patterns sequentially, at least one scan section constituting the input pattern K-1 before the shift frequency determination process of the target scan section K (1204) The optimum shift frequency can be predetermined. Therefore, the apparatus for minimizing the scan test time uses the optimal shift frequency for each scan section of the input pattern K-1 1202 and uses the shift frequency for the input pattern K + 1 1206, which is the shift frequency adjusted for the nominal shift frequency or the nominal shift frequency Can be applied.

그리고 대상 스캔 패턴 K의 쉬프트 주파수를 증감하면서, 입력 패턴들(1202,1204,1206)을 스캔 경로(1210)에 순차적으로 입력하여 실제 출력 패턴(1220)이 예측 패턴(1230)과 동일한지를 파악한다. 이때 필요시, 입력 패턴들(1202,1204,1206) 중 적어도 하나 이상의 입력 패턴에 대한 스캔 캡쳐 동작이 수행될 수 있다.Then, input patterns 1202, 1204, and 1206 are sequentially input to the scan path 1210 while increasing or decreasing the shift frequency of the target scan pattern K to determine whether the actual output pattern 1220 is the same as the predicted pattern 1230 . At this time, if necessary, a scan capture operation may be performed on at least one of the input patterns 1202, 1204, and 1206.

예를 들어, 스캔 테스트 시간 최소화 장치는 초기 쉬프트 주파수로서 명목 쉬프트 주파수를 사용하고, 스캔 테스트 시간 최소화 장치에 기 설정된 쉬프트 주파수의 변동 단위로 쉬프트 주파수를 증가한다. 즉 입력 패턴 K-1(1202)을 스캔 경로에 명목 주파수와 같은 기 설정된 쉬프트 주파수로 쉬프트인하여 로드 한 후, 대상 스캔 섹션 K(1204)를 "초기 쉬프트 주파수 + 증가 단위"의 쉬프트 주파수로 스캔 경로에 쉬프트인하고, 동시에 입력 패턴 K-1(1202)에 의한 테스트 결과(즉, 출력패턴 K-1)(1222)를 쉬프트아웃하여 미리 알고 있는 예측 패턴 K-1(1232)과 동일한지 비교한다.For example, the scan test time minimizing apparatus uses a nominal shift frequency as an initial shift frequency, and increases the shift frequency in units of a predetermined shift frequency in the scan test time minimizing apparatus. That is, after the input pattern K-1 1202 is shifted to a predetermined shift frequency such as a nominal frequency in the scan path and the target scan section K 1204 is shifted to the scan frequency at the shift frequency of "initial shift frequency + At the same time, shifts out the test result (i.e., the output pattern K-1) 1222 by the input pattern K-1 1202 and compares it with the previously-known predicted pattern K-1 1232 .

이때 입력 패턴 K-1(1202) 또는 입력 패턴 K-1(1202)에 포함된 적어도 하나 이상의 스캔 섹션에 대해 기 설정된 쉬프트 주파수는 대상 스캔 섹션 K(1204)의 초기 쉬프트 주파수와 서로 다를 수 있다. 그리고 다시 입력 패턴 K+1(1206)의 쉬프트인과 동시에, 대상 스캔 섹션 K(1204)에 대한 테스트 결과를 쉬프트아웃하여 얻은 출력 패턴 K(1224)를 미리 알고 있는 예측 패턴 K(1234)와 동일한지 비교한다. 이때 대상 스캔 섹션 K(1204)가 도 14와 같이 스캔 패턴의 일부분인 경우에, 입력 패턴 K-1(1202), 대상 스캔 섹션 K(1204), 입력 패턴 K+1(1206)과 이들 각각에 대한 출력 패턴은 앞서 살펴 본 바와 같다.At this time, the predetermined shift frequency for at least one scan section included in the input pattern K-1 1202 or the input pattern K-1 1202 may be different from the initial shift frequency of the target scan section K 1204. Then, at the same time as shifting of the input pattern K + 1 (1206), the output pattern K (1224) obtained by shifting out the test result for the target scan section K (1204) is equal to the predicted pattern K . At this time, when the target scan section K 1204 is a part of the scan pattern as shown in FIG. 14, the input pattern K-1 1202, the target scan section K 1204, the input pattern K + 1 1206, The output pattern is as described above.

본 발명의 최소한 하나의 실시예에서, 위에서 언급한 기 설정된 쉬프트 주파수는 대상 스캔 섹션 K(1204)의 최적 쉬프트 주파수를 찾는데 제약을 주지 않도록 할 수 있다. 본 발명의 최소한 하나의 실시예에서, 입력 패턴 K-1(1202) 또는 입력 패턴 K+1(1206)의 쉬프트 주파수는 대상 스캔 섹션 K(1204)의 쉬프트 주파수와 함께 증감되지 않도록 하거나 대상 스캔 섹션 K(1204)과 상이한 주파수를 사용할 수 있으며, 이때 입력 패턴 K-1(1202) 또는 입력 패턴 K+1(1206)의 스캔 섹션을 스캔 경로에 정상적으로 입력할 수 있는 쉬프트 주파수를 사용한다.In at least one embodiment of the present invention, the pre-set shift frequency referred to above may be such that there is no restriction on finding the optimal shift frequency of the target scan section K (1204). In at least one embodiment of the present invention, the shift frequency of the input pattern K-1 1202 or the input pattern K + 1 1206 may not be increased or decreased with the shift frequency of the target scan section K 1204, K 1204 and a shift frequency capable of normally inputting the scan section of the input pattern K-1 1202 or the input pattern K +1 1206 to the scan path.

본 발명의 최소한 하나의 실시예에서, 기 설정된 쉬프트 주파수는 명목 쉬프트 주파수 외에 명목 쉬프트 주파수를 조정한 값 또는 프로그램에 의해 장치에 설정된 값 또는 사용자가 설정한 값이 될 수 있는 등 실시 예에 따라 다양하게 변경 가능하며 위 예에 반드시 한정되는 것은 아니다.In at least one embodiment of the invention, the predetermined shift frequency may vary according to the embodiment, such as a nominal shift frequency adjusted value in addition to the nominal shift frequency, or a value set by the program in the device, or a value set by the user And is not necessarily limited to the above example.

출력패턴 K-1(1222)과 예측패턴 K-1(1232)이 동일하고, 출력패턴 K(1224)와 예측패턴 K(1234)가 동일하면, 현 쉬프트 주파수가 대상 스캔 섹션 K(1204)에 대해 사용 가능한 쉬프트 주파수이다. 스캔 테스트 시간 최소화 장치는 대상 스캔 섹션 K(1204)에 대한 쉬프트 주파수를 다시 일정 크기만큼 증가하며, 상기와 같이 다시 입력 패턴 K-1(1202)부터 스캔 경로에 입력하는 과정을 수행하면서 출력 패턴(1220)과 예측 패턴(1230)의 비교과정을 다시 수행한다.If the output pattern K-1 1222 and the predicted pattern K-1 1232 are the same, and the output pattern K 1224 and the predicted pattern K 1234 are the same, the current shift frequency is stored in the target scan section K 1204 Is the available shift frequency. The scan test time minimizing apparatus increases the shift frequency for the target scan section K 1204 by a predetermined amount and inputs the output pattern K-1 1202 to the scan path from the input pattern K-1 1202 again. 1220) and the prediction pattern 1230 are compared with each other.

이와 같이, 대상 스캔 섹션 K(1204)에 대한 쉬프트 주파수를 계속하여 증가하여 출력 패턴(1220)과 예측 패턴(1230)이 달라지는 지점까지 수행하고, 그 지점 이전의 쉬프트 주파수 이하를 대상 스캔 섹션 K(1204)의 최적 쉬프트 주파수로 결정할 수 있다.The shift frequency for the target scan section K 1204 is continuously increased to the point at which the output pattern 1220 and the predicted pattern 1230 are different from each other, 1204, respectively.

앞의 실시 예는 쉬프트 주파수를 증가하여 최적 쉬프트 주파수를 찾는 방법을 주로 설명하고 있으나, 다른 실시 예로, 쉬프트 주파수를 대상 스캔 섹션 K(1204)의 출력 패턴(1220)과 예측 패턴(1230)이 상이한 높은 주파수로부터 반복 감소하여 출력 패턴(1220)과 예측 패턴(1230)이 동일해지는 지점을 찾을 수 있다. 그리고 출력 패턴(1220)과 예측 패턴(1230)이 동일해지는 지점의 쉬프트 주파수 이하를 대상 스캔 섹션 K(1204)의 최적 쉬프트 주파수로 결정할 수도 있다.Although the present embodiment mainly describes a method of finding the optimal shift frequency by increasing the shift frequency, in another embodiment, the shift frequency may be set such that the output pattern 1220 of the target scan section K 1204 and the predicted pattern 1230 are different It is possible to find a point at which the output pattern 1220 and the predicted pattern 1230 become the same by repeatedly decreasing from the high frequency. The optimal shift frequency of the target scan section K (1204) may be determined to be equal to or less than the shift frequency of the point at which the output pattern 1220 and the predicted pattern 1230 become the same.

또한 쉬프트 주파수를 증감하면서 스캔 섹션 또는 스캔 패턴에 대한 출력 패턴을 예측 패턴과 반복적으로 비교 수행할 때 쉬프트 주파수의 증감 범위의 일 실시 예로서, 스캔 테스트 시간 최소화 장치에 설정된 범위 내에서 증감하거나 또는 출력 패턴(1220)과 예측 패턴(1230)이 동일하다가 상이해 지거나 상이하다가 동일해 지는 지점을 찾으면 쉬프트 주파수의 증감을 멈출 수 있다. 이 경우, 스캔 섹션별 사용 가능한 최대 쉬프트 주파수를 찾는데 소요되는 시간을 줄일 수 있다.As an example of the range of increase / decrease of the shift frequency when the output pattern for the scan section or the scan pattern is repeatedly compared with the predicted pattern while increasing / decreasing the shift frequency, the range of increase / decrease within the range set in the scan test time minimizing apparatus, If the pattern 1220 and the prediction pattern 1230 are the same but are different or different, but the same point is found, the increase and decrease of the shift frequency can be stopped. In this case, it is possible to reduce the time required to find the maximum shift frequency usable for each scan section.

실시 예에 따라, 대상 스캔 섹션 K(1204)에 대한 최적의 쉬프트 주파수를 찾기 위한 초기 쉬프트 주파수는 명목 주파수 외에 다양한 값이 설정될 수 있으며, 또한 낮은 쉬프트 주파수에서 증가시키는 것이 아니라 출력 패턴과 예측 패턴이 상이한 높은 쉬프트 주파수에서 시작하여 쉬프트 주파수를 낮춰가면서 출력 패턴과 예측 패턴이 동일해지는 지점의 쉬프트 주파수를 찾을 수도 있다. 또한, 대상 스캔 섹션 K(1204)의 쉬프트 주파수의 변화를 순차적으로 증가 또는 감소시키는 것이 아니라 여러 알고리즘을 통해 다양한 방법으로 변경시켜 보다 빠른 시간에 최적의 쉬프트 주파수를 찾을 수도 있다.According to the embodiment, the initial shift frequency for finding the optimal shift frequency for the target scan section K (1204) may be set to various values other than the nominal frequency, and may not be increased at the lower shift frequency, It is also possible to find the shift frequency at the point where the output pattern and the predicted pattern become equal, starting at these different high shift frequencies and lowering the shift frequency. Also, instead of sequentially increasing or decreasing the shift frequency of the target scan section K (1204), the optimum shift frequency may be found at a faster time by changing the shift frequency in various ways through various algorithms.

본 발명의 최소한 하나의 실시예에서, 이진 검색(binary search) 알고리즘을 사용할 수 있다. 예를 들면, 쉬프트 주파수가 10MHz에서 테스트 정상이고 20MHz에서 테스트 실패이면 다음 쉬프트 주파수는 그 사이인 15MHz를 시도해 본다. 그리고 만약 테스트 정상이면 15MHz와 20MHz 사이를 시도해 보며, 만약 실패하면 10MHz와 15MHz 사이를 시도해 보는 방법이다. 테스트 정상이라 함은 테스트 대상 칩이 양품으로 판정됨을 의미하고, 테스트 실패라 함은 테스트 대상 칩이 불량품으로 판정됨을 의미한다.In at least one embodiment of the present invention, a binary search algorithm may be used. For example, if the shift frequency is test normal at 10 MHz and the test fails at 20 MHz, try the next shift frequency between them, 15 MHz. And if the test is normal, try between 15MHz and 20MHz, and if it fails, try between 10MHz and 15MHz. Test normal means that the chip to be tested is determined to be good, and test failure means that the chip to be tested is determined to be a defective product.

이진 검색을 하면 선형 검색(linear search)을 하는 경우보다 테스트 정상과 실패의 경계가 되는 주파수 또는 테스트 정상인 사용 가능한 주파수 범위를 찾는데 소요되는 시간을 줄일 수 있는 효과가 있다. 예를 들어, 선형 검색을 사용하여 N 번의 주파수 증감 횟수로 테스트 정상인 최대 주파수를 찾았다면, 이진 검색을 사용하면 약 log2(N)의 횟수로 테스트 정상인 최대 주파수를 찾을 수 있다. 이진 검색을 사용하여 테스트 정상인 최대 주파수의 검색 시간 절감 효과는 전체 스캔 섹션의 개수 및 테스트 장비에 의해 증감되는 주파수의 단위 값이 작을수록 선형 검색 방법에 비해 효과적이다.Binary search has the effect of reducing the time required to find the frequency that is the boundary between the test normal and the failure or the usable frequency range that is normal to test, as compared with the case of performing a linear search. For example, if you use a linear search to find the maximum frequency tested with N frequency increments, you can use the binary search to find the maximum test frequency at about log 2 (N) times. Using binary search, the search time savings of the test normal maximum frequency is more effective than the linear search method as the number of whole scan sections and the unit value of the frequency which is increased or decreased by the test equipment becomes smaller.

다른 실시 예로, 테스트 대상 칩에 공급되는 전압(supply voltage)의 변화(variation) 마진을 고려하여 최적의 주파수나 주기를 찾거나 결정할 수 있다. 예를 들어, 다음의 단계를 이용하여 테스트 대상 칩에 공급되는 전압의 범위 내에서 최적의 주파수 또는 주기를 빠르게 찾을 수 있다.In another embodiment, the optimal frequency or cycle can be determined or determined by considering the variation margin of the supply voltage supplied to the chip under test. For example, the following steps can be used to quickly find the optimal frequency or period within the range of voltages supplied to the chip under test.

단계 1Step 1

스캔 테스트 시간 최소화 장치는 테스트 대상 칩에 공급되는 전압을 일정 단위로 변경해 가면서 각 전압별로 테스트 데이터의 테스트 결과가 정상인 최대 쉬프트 주파수 또는 쉬프트 주파수 범위를 찾는다. 즉, 테스트 데이터를 분할한 각 스캔 섹션별로 쉬프트 주파수를 찾는 것이 아니라 테스트 데이터 전체에 사용 가능한 최대 쉬프트 주파수 또는 쉬프트 주파수 범위를 찾는다.Minimizing the scan test time The device finds the maximum shift frequency or shift frequency range in which the test result of the test data is normal for each voltage while changing the voltage supplied to the chip to be tested to a certain unit. That is, instead of finding the shift frequency for each scan section into which the test data is divided, the maximum shift frequency or shift frequency range available for the entire test data is searched.

단계 2Step 2

단계 1의 결과로부터, 스캔 테스트 시간 최소화 장치는 테스트 대상 칩에 공급할 특정 전압을 선택한다. 여기서, 테스트 대상 칩에 공급할 특정 전압은 단계 1에서 찾은 각 전압별 최대 쉬프트 주파수 중 가장 낮은 최대 쉬프트 주파수를 나타내는 전압 또는 그 전압의 인접한 전압이다. 이 외에, 테스트 셋업, 제조 공정(manufacturing process) 또는 테스트 공정(test process) 등을 고려하여 테스트 대상 칩에 공급한 전압을 선택할 수 있다.From the result of Step 1, the scan test time minimizing device selects a specific voltage to be supplied to the chip to be tested. Here, the specific voltage to be supplied to the chip under test is a voltage representing the lowest maximum shift frequency among the maximum shift frequencies for each voltage found in step 1, or a voltage adjacent thereto. In addition, the voltage supplied to the chip to be tested can be selected in consideration of a test setup, a manufacturing process, or a test process.

단계 3Step 3

스캔 테스트 시간 최소화 장치는 단계 2에서 선택한 특정 전압을 테스트 대상 칩에 공급한다. 그리고 스캔 테스트 시간 최소화 장치는 특정 전압을 공급한 상태에서, 각 스캔 섹션별로 쉬프트 주파수를 증가 또는 감소하면서 각 스캔 섹션의 쉬프트 주파수별 테스트 정상 또는 실패를 파악한다.Minimize scan test time The device supplies the specific voltage selected in step 2 to the chip under test. And, the scan test time minimization device increases or decreases the shift frequency for each scan section while supplying a specific voltage, and grasps the test normal or failure of each scan section according to the shift frequency.

단계 4Step 4

스캔 테스트 시간 최소화 장치는 단계 3에서 찾은 각 스캔 섹션별 테스트 정상 또는 실패의 결과가 맵핑 된 쉬프트 주파수 정보를 사용하여 각 스캔 섹션별 최적 쉬프트 주파수를 찾거나 결정한다.The scan test time minimizing device finds or determines the optimum shift frequency for each scan section using the shift frequency information mapped to the result of test normal or failure for each scan section found in step 3.

단계 5Step 5

스캔 테스트 시간 최소화 장치는 테스트 대상 칩에 공급되는 전압(supply voltage)을 변경하면서, 단계 4에서 찾거나 결정한 각 스캔 섹션별 최적 쉬프트 주파수를 이용하여 테스트 결과가 정상인지 확인한다.Minimizing the scan test time The device uses the optimal shift frequency for each scan section found or determined in step 4 to determine if the test result is normal while changing the supply voltage to the chip under test.

본 발명의 최소한 하나의 실시예에서, 단계 5에서 전압의 변경 범위는 단계 1에서의 전압 변경 범위와 동일할 수 있다. 또는 단계 5에서의 전압의 변경 범위는 테스트 셋업, 제조 공정(manufacturing process) 또는 테스트 공정(test process) 등을 고려하여 단계 1에서의 변경 범위를 조정한 범위일 수 있다. 전압의 변경 범위 내에서 전압을 변경해 가면서, 단계 4에서 찾거나 결정된 각 스캔 섹션의 최적 쉬프트 주파수를 사용한 스캔 테스트 결과가 정상인지 확인한다. 각 스캔 섹션이 전압의 변경 범위 내에서 모두 테스트 정상이면 정상적으로 쉬프트 주파수가 최적화된 것이다. 이 외에, 테스트 셋업, 제조 공정(manufacturing process) 또는 테스트 공정(test process) 등을 고려하여 스캔 섹션별 쉬프트 주파수가 정상적으로 최적화된 것으로 보는 다양한 기준이 있을 수 있다. 예를 들면 특정 전압의 경우 테스트 실패를 허용할 수도 있다.In at least one embodiment of the present invention, the voltage change range in step 5 may be the same as the voltage change range in step 1. [ Or the voltage change range in step 5 may be a range in which the range of change in step 1 is adjusted in consideration of a test setup, a manufacturing process, or a test process. While changing the voltage within the change range of the voltage, check whether the scan test result using the optimal shift frequency of each scan section found or determined in step 4 is normal. The shift frequency is normally optimized if each scan section is all tested within the voltage change range. In addition, there may be various criteria that the shift frequency per scan section is normally optimized considering test setup, manufacturing process, or test process. For example, a test failure may be allowed for certain voltages.

테스트 대상 칩에 공급되는 전압(supply voltage)의 변화(variation) 마진을 고려하여 최적의 주파수를 찾아야 하는 경우, 모든 스캔 섹션에 대해 전압과 주파수를 각각 변화시키면서 찾는 방법보다 앞서 든 단계와 같은 방법을 사용하면 최적 쉬프트 주파수 또는 쉬프트 주파수의 주기를 빠르게 찾거나 결정할 수 있는 효과가 있다.If you need to find the optimal frequency in consideration of the variation of the supply voltage supplied to the chip under test, you can use the same method as the previous one to find the voltage and frequency of each scan section by changing the voltage and frequency respectively. When used, there is an effect that a cycle of the optimal shift frequency or the shift frequency can be quickly found or determined.

예를 들어, SN(스캔 섹션의 개수) = 1,000, VN(전압 변경 횟수) = 10, FN(주파수 변경 횟수) = 10 라고 가정한다.For example, assume that SN (number of scan sections) = 1,000, VN (number of voltage changes) = 10, and FN (frequency change number) = 10.

경우 1Case 1

모든 스캔 섹션에 대해 전압과 주파수를 각각 변화시키면서 테스트 정상 또는 실패를 찾기 위해 필요한 검색 횟수 = SN x VN x FN = 100,000Number of searches required to find test normal or failure with varying voltage and frequency for all scan sections = SN x VN x FN = 100,000

경우 2Case 2

앞서 든 단계 1 내지 단계 5를 사용하여 테스트 정상 또는 실패를 찾기 위해 필요한 검색 횟수 = (단계1) VN x FN + (단계3) SN x FN + (단계5) VN = (VN + SN) x FN + VN = 10,110(Step 1) VN x FN + (step 3) SN x FN + (step 5) VN = (VN + SN) x FN + VN = 10, 110

경우 2가 경우 1보다 약 10%로 횟수가 줄어든 것을 알 수 있다.In case 2, the number of times is reduced to about 10% than in case 1.

최적의 쉬프트 주파수를 찾기 위한 스캔 섹션 K(1204)는 도 14와 같이 스캔 패턴 M의 일부로 구성될 수도 있다. 즉 대상 스캔 섹션 K(1204)의 길이가 스캔 경로의 길이보다 짧을 수 있다. 이와 같은 경우 대상 스캔 섹션 K(1204)을 포함하는 스캔 패턴 M에서, 대상 스캔 섹션(1204)을 제외한 부분의 쉬프트 주파수는 대상 스캔 섹션 K(1204)의 최적 쉬프트 주파수를 찾는데 제약을 주지 않도록 한다.The scan section K 1204 for finding the optimal shift frequency may be configured as a part of the scan pattern M as shown in FIG. That is, the length of the target scan section K 1204 may be shorter than the length of the scan path. In this case, in the scan pattern M including the target scan section K (1204), the shift frequency of the portion excluding the target scan section 1204 does not restrict the search for the optimum shift frequency of the target scan section K (1204).

예를 들어, 스캔 패턴 M에서 대상 스캔 섹션 K(1204)를 제외한 부분의 쉬프트 주파수는 대상 스캔 섹션 K(1204)의 쉬프트 주파수와 함께 증감되지 않도록 하거나, 대상 스캔 섹션 K(1204)과 상이한 쉬프트 주파수를 사용할 수 있다. 본 발명의 최소한 하나의 실시예에서, 스캔 패턴 M에서 대상 스캔 섹션 K(1204)을 제외한 부분의 쉬프트 주파수는 대상 스캔 섹션 K(1204)을 제외한 부분을 스캔 경로에 정상적으로 입력할 수 있는 쉬프트 주파수를 사용할 수 있다.For example, the shift frequency of the portion excluding the target scan section K (1204) in the scan pattern M may not be increased or decreased along with the shift frequency of the target scan section K (1204) Can be used. In at least one embodiment of the present invention, the shift frequency of the portion excluding the target scan section K (1204) in the scan pattern M is a shift frequency capable of normally inputting the portion excluding the target scan section K (1204) Can be used.

다른 실시 예로, 스캔 패턴 M에서 대상 스캔 섹션 K(1204)을 제외한 부분에 적용되는 쉬프트 주파수는 명목 쉬프트 주파수 이하가 되거나, 대상 스캔 섹션 K(1204)를 제외한 부분에 대해 본 발명의 실시 예에 따른 방법을 통해 최적의 쉬프트 주파수가 이미 결정된 경우에는 해당 최적의 쉬프트 주파수 이하와 같이 기 설정된 쉬프트 주파수가 사용될 수 있다. 그리고 대상 스캔 섹션 K(1204)에 대해서는 이상에서 설명한 바와 같이 쉬프트 주파수 증감을 통해 최적의 주파수를 찾는다. 기 설정된 쉬프트 주파수는 명목 쉬프트 주파수를 조정한 값이거나 프로그램에 의해 장치에 설정된 값 또는 사용자가 설정한 값이 될 수 있는 등 실시 예에 따라 다양하게 변경 가능하며 위 예에 반드시 한정되는 것은 아니다.In another embodiment, the shift frequency applied to the portion excluding the target scan section K (1204) in the scan pattern M may be equal to or less than the nominal shift frequency, or the portion excluding the target scan section K (1204) The optimum shift frequency may be used as a predetermined shift frequency below the optimum shift frequency. For the target scan section K (1204), an optimal frequency is searched for by increasing or decreasing the shift frequency as described above. The predetermined shift frequency may be a value obtained by adjusting the nominal shift frequency, a value set in the device by the program, or a value set by the user, and the present invention is not limited to the above example.

도 12는 입력 패턴 K-1(1202)을 함께 사용하여 대상 스캔 섹션 K(1204)의 최적 쉬프트 주파수를 찾는 방법을 도시하고 있으나, 반드시 이에 한정되는 것은 아니다. 실시 예에 따라, 대상 스캔 섹션 K(1204) 또는 대상 스캔 섹션 K(1204)를 포함하는 스캔 패턴에 대한 스캔 경로의 출력 패턴만을 예측 패턴과 비교하여 최적의 쉬프트 주파수를 찾거나 결정할 수도 있다.FIG. 12 shows a method of finding the optimum shift frequency of the target scan section K 1204 using the input pattern K-1 1202 together, but the present invention is not limited thereto. According to the embodiment, only the output pattern of the scan path for the scan pattern including the target scan section K 1204 or the target scan section K 1204 may be compared with the predicted pattern to find or determine the optimal shift frequency.

(앞 입력 패턴에 대한 출력패턴의 비교 고려)(Consideration of comparison of output pattern with front input pattern)

본 발명의 최소한 하나의 실시예에서, 대상 스캔 섹션 K(1204)의 최적 쉬프트 주파수를 찾거나 결정하고자 할 때, 대상 스캔 섹션 K(1204) 바로 앞에 위치하는 입력 패턴 K-1(1202)에 대한 출력 패턴 또는 대상 스캔 섹션 K(1204)가 포함된 스캔 패턴 바로 앞에 위치하는 스캔 패턴에 대한 출력 패턴 또한 예측 패턴과 비교할 수 있다.In at least one embodiment of the present invention, when an optimal shift frequency of the target scan section K (1204) is to be found or determined, the input pattern K-1 1202 immediately before the target scan section K (1204) The output pattern for the scan pattern located immediately before the scan pattern including the output pattern or the target scan section K (1204) can also be compared with the predicted pattern.

예를 들어, 대상 스캔 섹션 K(1204)에 대한 스캔 경로의 출력 패턴이 예측 패턴과 동일할 뿐만 아니라 입력 패턴 K-1(1202)에 대한 출력 패턴 또한 예측 패턴과 동일한 경우에, 대상 스캔 섹션 K(1204)를 스캔 경로에 쉬프트 할 때 사용한 쉬프트 주파수는 대상 스캔 섹션 K(1204)의 사용 가능한 쉬프트 주파수로 파악될 수 있다.For example, when the output pattern of the scan path for the target scan section K 1204 is the same as the predicted pattern, and the output pattern for the input pattern K-1 1202 is also the same as the predicted pattern, The shift frequency used when shifting the scan path 1204 to the scan path can be grasped as the usable shift frequency of the target scan section K (1204).

다른 예로, 대상 스캔 섹션 K(1204)가 도 14와 같이 스캔 패턴의 일부인 경우에, 대상 스캔 섹션 K(1204)를 포함하는 스캔 패턴 M에 대한 스캔 경로의 출력 패턴 K(1224)가 예측 패턴 K(1234)와 동일하고, 스캔 패턴 M의 앞에 위치하는 스캔 패턴 M-1에 대한 스캔 경로의 출력 패턴 K-1(1222)이 예측 패턴 K-1(1232)과 동일한 경우에, 대상 스캔 섹션 K(1204)를 스캔 경로에 쉬프트 할 때 사용된 쉬프트 주파수는 대상 스캔 섹션 K(1204)의 사용 가능한 쉬프트 주파수로 파악될 수 있다.As another example, when the target scan section K (1204) is part of the scan pattern as shown in FIG. 14, the output pattern K (1224) of the scan path for the scan pattern M including the target scan section K (1204) And the output pattern K-1 1222 of the scan path for the scan pattern M-1 located before the scan pattern M is the same as the predicted pattern K-1 1232, The shift frequency used when shifting the shift register 1204 to the scan path can be grasped as an available shift frequency of the target scan section K (1204).

이와 같이, 대상 스캔 섹션 K(1204)뿐만 아니라 그 앞에 위치한 입력 패턴(1202)에 대한 출력 패턴(1222)과 예측패턴(1232)을 비교하는 이유는 대상 스캔 섹션 K(1204) 앞에 위치하는 입력 패턴(또는 입력 패턴의 일부)에 대한 스캔 경로의 출력 패턴이 대상 스캔 섹션 K(1204)의 쉬프트인 주파수에 의해 영향을 받을 수 있기 때문이다. 여기서, 입력 패턴에 대한 쉬프트아웃 출력 패턴은 대상 스캔 섹션 K(1204) 앞에 위치하는 입력 패턴(또는 입력 패턴의 일부)이 스캔 경로에 입력된 후 스캔 캡쳐 동작을 수행하여 얻게 되는 패턴이거나 스캔 캡쳐 동작 없이 스캔 경로로부터 출력되는 패턴일 수 있다.The reason why the output pattern 1222 and the predicted pattern 1232 are compared with the target scan section K 1204 and the input pattern 1202 located in front of the target scan section K 1204 is that the input pattern (Or a portion of the input pattern) may be affected by the shift frequency of the target scan section K 1204. Here, the shift-out output pattern for the input pattern is a pattern obtained by performing a scan capture operation after the input pattern (or a part of the input pattern) located in front of the target scan section K 1204 is input to the scan path, Or may be a pattern output from the scan path without the scan path.

도 16은 본 발명 의 최소한 하나의 실시예 에 따라 스캔 패턴의 사용 가능한 쉬프트 주파수를 찾는 방법의 일 예를 나타낸 그래프이고, 도 17은 본 발명 의 최소한 하나의 실시예 에 따라 최적 쉬프트 주파수를 찾고자 하는 스캔 패턴의 쉬프트 주파수를 증감할 때 다른 스캔 패턴의 테스트 결과가 실패인 경우를 나타낸 그래프이다.FIG. 16 is a graph illustrating an example of a method for finding a usable shift frequency of a scan pattern according to at least one embodiment of the present invention, and FIG. 17 is a graph illustrating a method for finding an optimal shift frequency according to at least one embodiment of the present invention And a test result of another scan pattern is failed when the shift frequency of the scan pattern is increased or decreased.

도 16을 참조하면, 제2 스캔 패턴의 최적 쉬프트 주파수를 찾기 위하여, 제1 스캔 패턴, 제2 스캔 패턴, 제3 스캔 패턴이 순차적으로 스캔 경로에 입력된다. 본 발명의 최소한 하나의 실시예에서, 제1 스캔 패턴의 쉬프트인에는 제1 스캔 패턴을 스캔 경로에 정상적으로 입력할 수 있는 쉬프트 주파수(예를 들어, 5MHz)가 사용된다. 다시 말해, 제1 스캔 패턴에 의한 스캔 테스트 결과가 정상이 될 수 있는 쉬프트 주파수가 제1 스캔 패턴의 쉬프팅에 사용된다.Referring to FIG. 16, the first scan pattern, the second scan pattern, and the third scan pattern are sequentially input to the scan path in order to find the optimal shift frequency of the second scan pattern. In at least one embodiment of the present invention, a shift frequency (for example, 5 MHz) capable of normally inputting the first scan pattern in the scan path is used for shifting the first scan pattern. In other words, the shift frequency at which the scan test result by the first scan pattern becomes normal is used for shifting the first scan pattern.

제2 스캔 패턴의 쉬프트 주파수를 5MHz부터 순차적으로 25MHz까지 증가한 경우에, 제1 스캔 패턴 및 제2 스캔 패턴의 테스트 결과는 모두 정상이다. 이 경우, 25MHz 이하의 모든 쉬프트 주파수는 제2 스캔 패턴에 사용 가능한 쉬프트 주파수이다.When the shift frequency of the second scan pattern is increased from 5 MHz to 25 MHz sequentially, the test results of the first scan pattern and the second scan pattern are all normal. In this case, all shift frequencies below 25 MHz are available shift frequencies for the second scan pattern.

도 17을 참조하면, 제2 스캔 패턴의 쉬프트 주파수를 30MHz로 증가하면, 제2 스캔 패턴의 테스트 결과는 정상이지만 제1 스캔 패턴의 테스트 결과는 실패이다. 쉬프트아웃 되는 제1 스캔 패턴의 테스트 결과가 제2 스캔 패턴의 쉬프트 주파수에 의해 영향을 받기 때문이다. 따라서 본 발명의 최소한 하나의 실시예에서, 최적 쉬프트 주파수를 찾고자 하는 제2 스캔 패턴뿐만 아니라 제2 스캔 패턴 앞의 입력 패턴인 제1 스캔 패턴의 테스트 결과 또한 정상일 때의 쉬프트 주파수가 제2 스캔 패턴의 사용 가능한 주파수이다.Referring to FIG. 17, when the shift frequency of the second scan pattern is increased to 30 MHz, the test result of the second scan pattern is normal, but the test result of the first scan pattern is failure. This is because the test result of the first scan pattern shifted out is influenced by the shift frequency of the second scan pattern. Therefore, in at least one embodiment of the present invention, the test result of the first scan pattern, which is the input pattern before the second scan pattern as well as the second scan pattern for which the optimum shift frequency is to be searched, Lt; / RTI >

최적의 쉬프트 주파수를 찾고자 하는 스캔 섹션이 스캔 패턴의 일부일 수 있다. 이때 전술한 바와 마찬가지로, 최적 쉬프트 주파수를 찾고자 하는 대상 스캔 섹션이 포함된 제2 스캔 패턴뿐만 아니라 제1 스캔 패턴의 테스트 결과가 모두 정상일 때의 쉬프트 주파수가 대상 스캔 섹션의 사용 가능한 주파수이다. 대상 스캔 섹션을 제외한 비트 패턴에는 비트 패턴을 스캔 경로에 정상적으로 입력할 수 있는 쉬프트 주파수가 사용된다.The scan section to find the optimal shift frequency may be part of the scan pattern. At this time, the shift frequency when the test results of the first scan pattern are all normal as well as the second scan pattern including the target scan section for which the optimum shift frequency is to be searched is usable frequency of the target scan section. In the bit pattern excluding the target scan section, a shift frequency capable of normally inputting the bit pattern into the scan path is used.

제3 스캔 패턴에는 정상적으로 스캔 경로에 쉬프트인되면서, 동시에 제2 스캔 패턴에 대한 테스트 결과를 정상적으로 쉬프트아웃할 수 있는 쉬프트 주파수가 사용된다.A shift frequency that is normally shifted to the scan path in the third scan pattern and simultaneously shifts out a test result for the second scan pattern is used.

스캔 섹션의 최적 쉬프트 주파수를 찾거나 칩의 양산 테스트 시간을 줄이기 위해 서로 이웃하는 제1 스캔 섹션과 제2 스캔 섹션을 순차적으로 칩의 스캔 경로에 입력할 때, 제1 스캔 섹션의 쉬프트 주파수와 제2 스캔 섹션의 쉬프트 주파수를 서로 다르게 하여 스캔 테스트를 수행할 수 있다. 예를 들어, 두 개의 스캔 섹션에 사용된 서로 다른 각 쉬프트 주파수는 두 개의 스캔 섹션을 사용한 스캔 테스트가 정상이 되는 쉬프트 주파수 값 이하일 수 있다.When the first scan section and the second scan section neighboring to each other are sequentially input to the scan path of the chip in order to find the optimum shift frequency of the scan section or to reduce the chip production test time, Scan test can be performed by setting the shift frequencies of the two scan sections to be different from each other. For example, the different shift frequencies used in the two scan sections may be less than or equal to the shift frequency value at which the scan test using the two scan sections becomes normal.

서로 이웃하는 제1 스캔 섹션과 제2 스캔 섹션에 대해, 제1 스캔 섹션의 쉬프트 주파수보다 제2 스캔 섹션의 쉬프트 주파수를 크게 하거나 작게 하여 스캔 테스트를 수행할 수 있다. 이때 고장이 없는(fault-free) 칩에 대한 테스트 결과가 정상일 때의 이웃한 두 스캔 섹션의 각 쉬프트 주파수 이하를 칩의 양산 테스트 시간을 줄이기 위해 사용한다. 즉 서로 이웃한 스캔 섹션이 스캔 테스트 시에 서로에게 미치는 영향이 고려되어야 한다.The scan test can be performed by setting the shift frequency of the second scan section to be larger or smaller than the shift frequency of the first scan section for the neighboring first scan section and the second scan section. At this time, each shift frequency of two neighboring scan sections when the test result for the fault-free chip is normal is used to reduce the chip production test time. That is, the influence of neighboring scan sections on each other during the scan test must be considered.

예를 들어, 제1 스캔 섹션과 제2 스캔 섹션이 서로 이웃한 스캔 패턴인 경우, 제1 스캔 섹션에 의한 스캔 캡쳐 결과가 쉬프트아웃 될 때 이어서 입력되는 제2 스캔 섹션의 쉬프트 주파수의 영향이 고려되어야 한다. 예를 들어, 스캔 캡쳐 결과 패턴이 쉬프트아웃 될 때 쉬프트 주파수에 따라 결과 패턴의 비트 값이 바뀔 수 있기 때문이다.For example, when the first scan section and the second scan section are neighboring scan patterns, when the scan capture result by the first scan section is shifted out, the influence of the shift frequency of the second scan section input subsequently is considered . For example, when the scan capture result pattern is shifted out, the bit value of the result pattern may be changed according to the shift frequency.

다른 예로, 서로 이웃하는 제1 스캔 섹션과 제2 스캔 섹션이 한 스캔 패턴에 포함된 경우, 제1 스캔 섹션이 쉬프트인 될 때 이어서 쉬프트인되는 제2 스캔 섹션의 쉬프트 주파수의 영향이 고려되어야 한다. 예를 들어, 제2 스캔 섹션의 쉬프트 주파수에 의해 스캔 경로에서 쉬프트되는 제1 스캔 섹션의 비트 값이 바뀔 수 있기 때문이다.As another example, when neighboring first scan sections and second scan sections are included in one scan pattern, the influence of the shift frequency of the second scan section, which is subsequently shifted when the first scan section is shifted, should be considered . For example, the bit value of the first scan section shifted in the scan path may be changed by the shift frequency of the second scan section.

또 다른 예로, 제1 스캔 섹션과 제2 스캔 섹션을 포함하는 제2 스캔 패턴 앞에서 쉬프트인된 제1 스캔 패턴의 스캔 캡쳐 결과가 쉬프트아웃 될 때, 제2 스캔 패턴에 속한 제1 스캔 섹션 및 제2 스캔 섹션의 영향이 고려되어야 한다. 이러한 영향이 고려되지 않으면, 양산 테스트 시에 고장이 없는 칩에 대한 스캔 테스트 결과가 테스트 실패가 될 수 있기 때문이다.As another example, when the scan capture result of the first scan pattern shifted in front of the second scan pattern including the first scan section and the second scan section is shifted out, the first scan section belonging to the second scan pattern, The influence of two scan sections should be considered. If this effect is not taken into account, the scan test results for chips without failures during the mass production test may fail the test.

(최적 쉬프트 주파수를 찾고자 하는 대상 스캔 섹션의 앞 또는 뒤 입력 패턴에 대한 출력 결과 고려)(Consider the output for the input pattern before or after the target scan section for which you want to find the optimal shift frequency)

대상 스캔 섹션의 최적 쉬프트 주파수를 찾거나 결정하고자 할 때, 대상 스캔 섹션뿐만 아니라 그 앞 또는 뒤에 위치한 입력 패턴 또는 대상 스캔 섹션(1204)을 포함하는 스캔 패턴 앞 또는 뒤에 위치한 스캔 패턴에 대한 스캔 경로의 출력 패턴을 예측 패턴과 비교하여 실제로 정상적인 테스트 대상 IC 칩이 정상적인 것으로 테스트 될 수 있는지 파악한다.When an optimal shift frequency of the target scan section is to be sought or determined, the scan path for the scan pattern located before or after the scan pattern including the target scan section as well as the input pattern or target scan section 1204 positioned before or after the target scan section The output pattern is compared with the predicted pattern to determine whether a normal test object IC chip can be tested as normal.

본 발명의 최소한 하나의 실시예에서, 이러한 과정을 쉬프트 주파수를 증감하면서 반복하여 대상 스캔 섹션의 최적 쉬프트 주파수를 찾거나 결정할 수 있다. 이때 테스트 결과가 정상인 쉬프트 주파수는 대상 스캔 섹션의 사용 가능한 쉬프트 주파수이다. 대상 스캔 섹션에 대한 스캔 경로의 출력 패턴은 대상 스캔 섹션을 스캔 경로에 로드 한 후 캡쳐 동작을 수행하여 얻는 패턴이거나 대상 스캔 섹션 또는 대상 스캔 섹션이 포함된 스캔 패턴을 스캔 캡쳐 동작 없이 스캔 경로로부터 출력한 패턴일 수 있다.In at least one embodiment of the invention, this process can be repeated to increase or decrease the shift frequency to find or determine the optimal shift frequency of the target scan section. The shift frequency at which the test result is normal is the available shift frequency of the target scan section. The output pattern of the scan path for the target scan section is a pattern obtained by performing a capture operation after loading the target scan section into the scan path or outputting a scan pattern including the target scan section or the target scan section from the scan path without a scan capture operation It can be one pattern.

(최적 쉬프트 주파수를 찾고자 하는 대상 스캔 섹션의 뒤에 입력되는 입력 패턴에 대한 출력 결과 고려)(Taking into account the output of the input pattern that is input after the target scan section to find the optimal shift frequency)

최적 쉬프트 주파수를 찾거나 결정하기 위하여, 대상 스캔 섹션 뒤에 위치하는 입력패턴 또는 대상 스캔 섹션(1204)을 포함하는 스캔 패턴의 뒤에 위치하는 스캔 패턴에 대한 스캔 경로의 출력 패턴 또한 예측 패턴과 비교하는 단계를 포함할 수 있다.In order to find or determine the optimal shift frequency, an output pattern of a scan path for a scan pattern located after the scan pattern including the input pattern or target scan section 1204 located after the target scan section is also compared with the predicted pattern . ≪ / RTI >

예를 들어, 대상 스캔 섹션의 최적의 쉬프트 주파수를 찾거나 결정하기 위해, 스캔 경로로부터 쉬프트아웃 되는 대상 스캔 섹션에 대한 출력 패턴은 대상 스캔 섹션 바로 뒤에 위치하여 쉬프트인되는 입력 패턴의 비트 값에 영향을 줄 수 있다. 또 다른 예로, 대상 스캔 섹션의 최적의 쉬프트 주파수를 찾거나 결정하기 위해, 스캔 경로로부터 쉬프트아웃 되는 대상 스캔 섹션을 포함한 스캔 패턴에 대한 출력 패턴은 대상 스캔 섹션을 포함하는 스캔 패턴 바로 뒤에 위치하여 쉬프트인되는 스캔 패턴의 비트 값에 영향을 줄 수 있다.For example, in order to find or determine the optimal shift frequency of the target scan section, the output pattern for the target scan section shifted out of the scan path is located immediately after the target scan section and affects the bit value of the shifted input pattern . As another example, in order to find or determine the optimal shift frequency of the target scan section, the output pattern for the scan pattern including the target scan section shifted out of the scan path is located immediately after the scan pattern including the target scan section, Can affect the bit value of the scan pattern.

(뒤 입력 패턴이 최적 쉬프트 주파수를 찾고자 하는 대상 스캔 섹션에 영향을 줄 수 있는 경우)(If the back input pattern can affect the target scan section to find the optimal shift frequency)

대상 스캔 섹션 또는 대상 스캔 섹션을 포함한 스캔 패턴에 대한 스캔 경로의 출력 패턴이 쉬프트아웃 될 때, 뒤에 위치하는 쉬프트인되는 입력 패턴이 대상 스캔 섹션의 출력 패턴의 비트 값에 영향을 줄 수 있다.When the output pattern of the scan path for the scan pattern including the target scan section or the target scan section is shifted out, the input pattern shifted later may affect the bit value of the output pattern of the target scan section.

(뒤 입력 패턴의 쉬프트 주파수 고려)(Considering shift frequency of rear input pattern)

입력 패턴(또는 스캔 패턴)에 의한 영향을 줄이거나 없애기 위해 대상 스캔 섹션 또는 대상 스캔 섹션을 포함한 스캔 패턴에 대한 스캔 경로의 출력 패턴이 쉬프트아웃 될 때 바로 뒤에 위치하여 쉬프트인되는 입력 패턴(또는 스캔 패턴)의 쉬프트 주파수는 대상 스캔 섹션 뒤에 위치하여 쉬프트인되는 입력 패턴(또는 스캔 패턴)을 스캔 경로에 정상적으로 쉬프트 할 수 있는 쉬프트 주파수가 사용될 수 있다.In order to reduce or eliminate the influence of the input pattern (or scan pattern), an input pattern (or scan) that is positioned immediately behind and shifted when the output pattern of the scan path for the scan pattern including the target scan section or the target scan section is shifted out, Pattern) can be shifted to a shift frequency that can be shifted to the scan path by normally shifting the input pattern (or scan pattern) that is located after the target scan section.

(앞 또는 뒤 입력 패턴의 쉬프트 주파수 고려)(Consider shift frequency of front or rear input pattern)

대상 스캔 섹션의 최적 쉬프트 주파수를 찾거나 결정하기 위해, 대상 스캔 섹션의 앞 또는 뒤에 위치한 입력 패턴(또는 입력 패턴의 일부)의 쉬프트 주파수는 대상 스캔 섹션과 동일하거나 상이한 쉬프트 주파수가 사용될 수 있다. 이때, 본 발명의 최소한 하나의 실시예에서, 대상 스캔 섹션의 앞 또는 뒤에 위치한 입력 패턴이 스캔 경로에 정상적으로 쉬프트 될 수 있는 쉬프트 주파수가 사용된다.In order to find or determine the optimum shift frequency of the target scan section, the shift frequency of the input pattern (or part of the input pattern) located before or after the target scan section may be the same or different from the target scan section. Here, in at least one embodiment of the present invention, a shift frequency is used at which the input pattern located before or after the target scan section can be normally shifted to the scan path.

이는 현재 사용 가능한 최대 쉬프트 주파수를 찾고자 하는 대상 스캔 섹션의 앞 또는 뒤에 위치한 입력 패턴이 전술한 바와 같이 대상 스캔 섹션의 사용 가능한 최대 쉬프트 주파수에 제약을 줄 수 있기 때문이다. 예를 들어 대상 스캔 섹션의 앞 또는 뒤에 위치한 입력 패턴의 사용 가능한 최대 쉬프트 주파수가 대상 스캔 섹션의 사용 가능한 최대 쉬프트 주파수보다 낮을 수 있다.This is because the input pattern located before or after the target scan section for which the currently available maximum shift frequency is to be sought can be constrained to the maximum available shift frequency of the target scan section as described above. For example, the maximum available shift frequency of the input pattern located before or after the target scan section may be lower than the maximum available shift frequency of the target scan section.

도 18 내지 도 20은 스캔 섹션의 사용 가능한 쉬프트 주파수를 찾기 위해 필요한 스캔 패턴, 스캔 섹션 및 쉬프트 주파수 정보의 구성에 대한 일 예를 도시한 개념도이다.FIGS. 18 to 20 are conceptual diagrams showing an example of a configuration of a scan pattern, a scan section, and shift frequency information necessary for finding a usable shift frequency of a scan section.

도 18을 참조하면, 칩을 정상적으로 테스트할 수 있는 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾고자 하는 스캔 섹션이 스캔 패턴인 경우이다. 테스트 데이터(1800)의 각 스캔 패턴 N+1, 스캔 패턴 N+2 및 스캔 패턴 N+3은 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾고자 하는 스캔 섹션이다. 도 18은 스캔 패턴 N+1, 스캔 패턴 N+2 및 스캔 패턴 N+3 각각의 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾거나 결정하기 위해 필요한 스캔 패턴, 스캔 섹션 및 쉬프트 주파수 정보의 구성을 보여준다.Referring to FIG. 18, there is a scan pattern in which a scan section is searched for a usable shift frequency or optimum shift frequency at which a chip can be normally tested. Each scan pattern N + 1, scan pattern N + 2, and scan pattern N + 3 of the test data 1800 is a scan section for searching for a usable shift frequency or an optimum shift frequency. FIG. 18 shows a configuration of scan patterns, scan sections, and shift frequency information necessary for finding or determining usable shift frequencies or optimal shift frequencies of the scan patterns N + 1, N + 2, and N + 3 .

본 발명의 최소한 하나의 실시예에서, 도 18에서, T1, T2, T3 및 Target_T는 스캔 쉬프트 주파수 또는 스캔 쉬프트 주파수의 주기와 관련된 정보를 나타내며 설명의 편의상 타이밍 식별자(Timing Identifier), 타이밍 셋 또는 타이밍 정보로 불릴 수 있다.In at least one embodiment of the present invention, in FIG. 18, T1, T2, T3 and Target_T represent information related to the scan shift frequency or the period of the scan shift frequency and for convenience of description, a timing identifier, Information.

본 발명의 최소한 하나의 실시예에서, 타이밍 정보는 쉬프트 주파수 또는 쉬프트 주파수의 주기와 관련된 정보로서 쉬프트 주파수 또는 쉬프트 주파수의 주기를 포함하거나 나타낼 수 있다. 타이밍 정보는 스캔 패턴 또는 스캔 섹션을 식별하거나 제어하는데 사용될 수 있다. 예를 들어, 테스트 장치가 타이밍 정보에 의해 식별되는 스캔 패턴 또는 스캔 섹션의 쉬프트 주파수 또는 쉬프트 주파수의 주기를 증감할 수 있다.In at least one embodiment of the present invention, the timing information may include or indicate a shift frequency or a cycle of the shift frequency as information related to the shift frequency or period of the shift frequency. The timing information may be used to identify or control the scan pattern or scan section. For example, the test apparatus may increase or decrease the frequency of the shift frequency or shift frequency of the scan pattern or scan section identified by the timing information.

도 18에서 T1은 스캔 패턴 N에 대한 쉬프트 주파수 또는 쉬프트 주파수의 주기와 관련된 정보를 나타내며, 스캔 패턴 N의 타이밍 정보로 불릴 수 있다. 도 18에서 스캔 패턴 N+1에 대한 Target_T는 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾고자 하는 스캔 섹션인 스캔 패턴 N+1에 대한 쉬프트 주파수 또는 스캔 쉬프트 주파수의 주기와 관련된 정보를 나타내며, 스캔 패턴 N+1의 타이밍 정보로 불릴 수도 있다. 즉, 도 18에서 T1, T2 및 T3는 최적의 쉬프트 주파수를 찾고자 하는 스캔 섹션 앞에 위치한 스캔 패턴의 타이밍 정보이고 Target_T는 쉬프트 주파수 최적화 대상인 스캔 섹션의 타이밍 정보이다.In FIG. 18, T1 indicates information related to a shift frequency or a cycle of a shift frequency with respect to the scan pattern N, and may be referred to as timing information of the scan pattern N. In FIG. 18, Target_T for the scan pattern N + 1 represents information related to the shift frequency or the cycle of the scan shift frequency for the scan pattern N + 1, which is a scan section for searching for a usable shift frequency or an optimal shift frequency. May be referred to as timing information of +1. That is, in FIG. 18, T1, T2 and T3 are timing information of a scan pattern located in front of a scan section to which an optimum shift frequency is to be searched, and Target_T is timing information of a scan section to be a shift frequency optimization target.

도 18에서 Target_T, T1, T2 및 T3 중 적어도 둘 이상은 서로 같거나 다른 쉬프트 주파수 또는 쉬프트 주파수의 주기가 사용될 수 있다.In Fig. 18, at least two of the Target_T, T1, T2, and T3 may be the same shift frequency or different shift frequency.

도 18에서 T1, T2 또는 T3의 쉬프트 주파수 또는 쉬프트 주파수의 주기 정보는 T1, T2 또는 T3에 해당하는 스캔 패턴 또는 스캔 섹션이 스캔 경로에 정상적으로 입력될 수 있도록 하는 쉬프트 주파수 또는 쉬프트 주파수의 주기가 사용된다. 이때 Target_T에 해당하는 쉬프트 주파수 또는 쉬프트 주파수의 주기는 최적의 값을 찾기 위해 증감될 수 있다. 또한 도 18의 예에 한정되지 아니하고 하나의 스캔 패턴에는 하나 이상의 쉬프트 주파수, 쉬프트 주파수의 주기 또는 타이밍 정보가 다양하게 할당되거나 사용될 수도 있다.In FIG. 18, the shift frequency or shift frequency period information of T1, T2, or T3 is used as a shift frequency or a shift frequency period so that a scan pattern or scan section corresponding to T1, T2, or T3 can be normally input to the scan path. do. At this time, the cycle of the shift frequency or the shift frequency corresponding to Target_T may be increased or decreased to find the optimum value. Also, the present invention is not limited to the example of FIG. 18, and one or more shift frequencies, shift frequency periods, or timing information may be variously allocated or used in one scan pattern.

본 발명의 최소한 하나의 실시예에서 어떤 스캔 섹션의 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾기 위해 사용되는 검색용 데이터(1810,1820,1830)는 도 18과 같이 적어도 두 개 이상의 스캔 패턴을 포함하여 구성될 수 있다.In at least one embodiment of the present invention, the search data (1810, 1820, 1830) used to find the available shift frequency or optimal shift frequency of a scan section includes at least two scan patterns Lt; / RTI >

스캔 패턴 N+1의 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾기 위한 검색용 데이터(1810)는 적어도 스캔 패턴 N+1과 그 앞에 위치한 스캔 패턴 N을 포함한다. 예를 들어, 검색용 데이터(1810,1820,1830)에 포함된 스캔 섹션 또는 스캔 패턴은 특정 스캔 섹션의 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾기 위해 스캔 경로에 반복 입력될 수 있다.The search data 1810 for searching for usable shift frequencies or optimum shift frequencies of the scan pattern N + 1 includes at least the scan pattern N + 1 and the scan pattern N located before the scan pattern N + 1. For example, the scan section or scan pattern included in the search data 1810, 1820, 1830 may be repeatedly input into the scan path to find an available shift frequency or optimal shift frequency of a particular scan section.

이때 검색용 데이터(1810,1820,1830)에 포함된 적어도 두 개 이상의 각 스캔 패턴을 사용한 칩의 스캔 테스트 출력 패턴을 기초로 각 스캔 패턴에 대한 테스트 정상 또는 실패 여부를 결정한다. 예를 들어, 출력 패턴은 예측 패턴과 비교될 수 있으며, 예측 패턴은 검색용 데이터(1810,1820,1830)에 포함되어 관리될 수 있다. 다시 말해, 검색용 데이터(1810,1820,1830)는 각각의 스캔 패턴 N+1과 그 앞에 위치한 스캔 패턴 N에 대한 각 출력 패턴에 대응되는 각 예측 패턴을 함께 포함할 수 있다. 그리고 테스트 성공 또는 실패 정보를 기초로 스캔 섹션의 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾을 수 있다. 예를 들어, Target_T에 해당하는 스캔 패턴 N+1의 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾을 수 있다.At this time, based on the scan test output pattern of the chip using at least two scan patterns included in the search data 1810, 1820, and 1830, it is determined whether the test is normal or failed for each scan pattern. For example, the output pattern may be compared with the predicted pattern, and the predicted pattern may be included in the search data 1810, 1820, 1830 and managed. In other words, the search data 1810, 1820, and 1830 may include each predictive pattern corresponding to each output pattern for each scan pattern N + 1 and the scan pattern N positioned before the scan pattern N + 1. And find available shift frequencies or optimal shift frequencies of the scan section based on test success or failure information. For example, the usable shift frequency or optimum shift frequency of the scan pattern N + 1 corresponding to Target_T can be found.

스캔 패턴 N+1의 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾기 위해 스캔 패턴 N+1과 그 앞에 위치한 스캔 패턴 N을 사용하여 스캔 테스트를 수행한다. 이때 두 스캔 패턴 N+1과 N 각각에 대한 칩의 스캔 테스트 출력 패턴을 기초로 테스트 성공 또는 실패를 결정할 수 있다. 그리고 스캔 패턴 N+1에 대한 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾을 수 있다. 스캔 패턴 N+1과 그 앞에 위치한 스캔 패턴 N을 사용한 스캔 테스트 결과가 모두 정상인 쉬프트 주파수는 스캔 패턴 N+1의 사용 가능한 쉬프트 주파수이다.A scan test is performed using a scan pattern N + 1 and a scan pattern N positioned in front of the scan pattern N + 1 to find a usable shift frequency or optimal shift frequency of the scan pattern N + 1. At this time, the test success or failure can be determined based on the scan test output pattern of the chip for the two scan patterns N + 1 and N, respectively. And find available shift frequencies or optimal shift frequencies for scan pattern N + 1. The shift frequency having a normal scan test result using the scan pattern N + 1 and the scan pattern N positioned before the scan pattern N + 1 is the usable shift frequency of the scan pattern N + 1.

도 19를 참조하면, 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾고자 하는 스캔 섹션은 스캔 패턴이다. 스캔 섹션에 대한 최적의 쉬프트 주파수를 찾기 위해 대상 스캔 섹션의 앞과 뒤에 위치하는 스캔 패턴을 포함하여 적어도 세 개 이상의 스캔 패턴이 사용된다.Referring to FIG. 19, a scan section for searching for an available shift frequency or an optimal shift frequency is a scan pattern. At least three scan patterns are used, including the scan patterns located before and after the target scan section to find the optimal shift frequency for the scan section.

예를 들어, 스캔 섹션의 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾기 위해 사용되는 검색용 데이터(1910,1920,1930)는 도 19와 같이 적어도 세 개 이상의 스캔 패턴을 포함하여 구성된다. 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾기 위해 사용되는 검색용 데이터(1910,1920,1930)의 스캔 패턴 또는 스캔 섹션은 스캔 경로에 반복 입력될 수 있다. 이때 검색용 데이터(1910,1920,1930))에 포함된 스캔 패턴의 출력 패턴과 예측 패턴의 비교를 기초로 IC 칩의 테스트 성공 또는 실패 여부를 파악한다. 그리고 테스트 성공 여부를 기초로 최적의 쉬프트 주파수를 찾고자 하는 스캔 섹션의 사용 가능한 쉬프트 주파수를 찾을 수 있다.For example, the search data (1910, 1920, 1930) used to search for an available shift frequency or an optimal shift frequency of the scan section includes at least three scan patterns as shown in FIG. A scan pattern or a scan section of search data 1910, 1920, 1930 used to search for an available shift frequency or an optimal shift frequency may be repeatedly input to the scan path. At this time, based on the comparison of the output pattern of the scan pattern included in the search data (1910, 1920, 1930) and the predicted pattern, whether the test of the IC chip is successful or not is determined. Based on the success of the test, the available shift frequency of the scan section to find the optimal shift frequency can be found.

검색용 데이터(1910)에서 스캔 패턴 N+1의 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾기 위해 스캔 패턴 N+1과 그 앞에 위치한 스캔 패턴 N에 의한 칩 테스트를 수행한다. 이때 테스트 결과가 정상일 때의 쉬프트 주파수는 스캔 패턴 N+1의 사용 가능한 쉬프트 주파수이다. 이때 스캔 패턴 N+1의 뒤에 위치한 스캔 패턴 N+2는 스캔 경로에 정상적으로 쉬프트되는 쉬프트 주파수가 사용되도록 함으로써, 스캔 패턴 N+2에 의한 칩 테스트를 생략할 수 있다. 또는 스캔 패턴 N+2에 의한 칩 테스트 결과 또한 정상일 때의 쉬프트 주파수를 스캔 패턴 N+2의 사용 가능한 쉬프트 주파수로 결정할 수도 있다.A chip test is performed on the scan pattern N + 1 and the scan pattern N positioned before the scan pattern N + 1 to find an available shift frequency or an optimal shift frequency of the scan pattern N + 1 in the search data 1910. At this time, the shift frequency when the test result is normal is the usable shift frequency of the scan pattern N + 1. At this time, since the scan pattern N + 2 located after the scan pattern N + 1 uses a shift frequency that is normally shifted in the scan path, the chip test by the scan pattern N + 2 can be omitted. Alternatively, the chip test result by the scan pattern N + 2 may also determine the shift frequency at the normal time as the usable shift frequency of the scan pattern N + 2.

도 19를 참조하면, 타이밍 정보 Target_T, T1, T2, T3, T4, T5 및 T6 중 적어도 둘 이상은 서로 같거나 서로 다른 쉬프트 주파수 또는 쉬프트 주파수의 주기일 수 있다. 쉬프트 주파수의 주기란 쉬프트 주파수로 스캔 패턴을 쉬프트하는 쉬프트 동작의 시간 간격으로 쉬프트 주파수의 역수이다. 본 발명의 최소한 하나의 실시예에서, 타이밍 정보 T1, T2, T3, T4, T5 또는 T6의 쉬프트 주파수 또는 쉬프트 주파수의 주기 정보는 T1, T2, T3, T4, T5 또는 T6에 해당하는 스캔 패턴 또는 스캔 섹션이 스캔 경로에 정상적으로 입력될 수 있도록 하는 쉬프트 주파수 또는 쉬프트 주파수의 주기가 사용된다. 이때 Target_T에 해당하는 쉬프트 주파수 또는 쉬프트 주파수의 주기는 최적의 값을 찾기 위해 증감될 수 있다.Referring to FIG. 19, at least two of the timing information Target_T, T1, T2, T3, T4, T5, and T6 may be the same or different shift frequency or shift frequency period. The shift frequency period is a reciprocal of the shift frequency in a time interval of a shift operation for shifting a scan pattern to a shift frequency. In at least one embodiment of the present invention, the shift information of the shift frequency or shift frequency of the timing information T1, T2, T3, T4, T5 or T6 is a scan pattern corresponding to T1, T2, T3, T4, T5 or T6 A cycle of the shift frequency or the shift frequency is used so that the scan section can be normally input to the scan path. At this time, the cycle of the shift frequency or the shift frequency corresponding to Target_T may be increased or decreased to find the optimum value.

또한 도 19의 예에 한정되지 아니하고 하나의 스캔 패턴에는 하나 이상의 쉬프트 주파수, 쉬프트 주파수의 주기 또는 타이밍 정보가 다양하게 사용될 수도 있다.Also, the present invention is not limited to the example of FIG. 19, and one or more shift frequencies, shift frequency periods, or timing information may be used in one scan pattern.

도 20을 참조하면, 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾고자 하는 스캔 섹션이 스캔 패턴의 일부인 경우이다. 즉, 스캔 패턴 N+1의 각각의 스캔 섹션 A, A+1 및 A+2는 최적의 쉬프트 주파수를 찾고자 하는 스캔 섹션이다.Referring to FIG. 20, a scan section for searching for an available shift frequency or an optimal shift frequency is a part of a scan pattern. That is, each of the scan sections A, A + 1, and A + 2 of the scan pattern N + 1 is a scan section for searching for an optimum shift frequency.

타이밍 정보 T1, T2, T3, T4, T5, T6, T7, T8, T9 및 T10은 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾고자 하는 스캔 섹션의 앞 또는 뒤에 위치한 스캔 패턴 또는 스캔 섹션의 타이밍 정보이다. 그리고 Target_T는 쉬프트 주파수 최적화 대상인 스캔 섹션의 타이밍 정보이다.The timing information T1, T2, T3, T4, T5, T6, T7, T8, T9 and T10 are the timing information of the scan pattern or scan section located before or after the scan section for which the available shift frequency or optimum shift frequency is sought . And Target_T is the timing information of the scan section to be subjected to the shift frequency optimization.

Target_T, T1, T2, T3, T4, T5, T6, T7, T8, T9 및 T10 중 적어도 둘 이상은 서로 같거나 서로 다른 쉬프트 주파수 또는 쉬프트 주파수의 주기가 사용될 수 있다.At least two or more shift frequency or shift frequency periods may be used for at least two of Target_T, T1, T2, T3, T4, T5, T6, T7, T8, T9 and T10.

본 발명의 최소한 하나의 실시예에서, T1, T2, T3, T4, T5, T6, T7, T8, T9 또는 T10의 쉬프트 주파수 또는 쉬프트 주파수의 주기 정보는 T1, T2, T3, T4, T5, T6, T7, T8, T9 또는 T10에 해당하는 스캔 패턴 또는 스캔 섹션이 스캔 경로에 정상적으로 입력될 수 있도록 하는 쉬프트 주파수 또는 쉬프트 주파수의 주기가 사용된다. 이때 Target_T에 해당하는 쉬프트 주파수 또는 쉬프트 주파수의 주기는 테스트 정상인 값 또는 최적의 값을 찾기 위해 증감될 수 있다. 또한 도 20의 예에 한정되지 아니하고 하나의 스캔 패턴에는 하나 이상의 쉬프트 주파수, 쉬프트 주파수의 주기 또는 타이밍 정보가 다양하게 사용될 수도 있다.In at least one embodiment of the present invention, the shift frequency or shift frequency period information of T1, T2, T3, T4, T5, T6, T7, T8, , A cycle of the shift frequency or the shift frequency that allows the scan pattern or scan section corresponding to T7, T8, T9, or T10 to be normally input to the scan path is used. At this time, the cycle of the shift frequency or shift frequency corresponding to Target_T may be increased or decreased to find a test normal value or an optimum value. Also, the present invention is not limited to the example of FIG. 20, and one or more shift frequencies, shift frequency periods, or timing information may be used in one scan pattern.

스캔 패턴 또는 스캔 경로의 길이보다 짧은 어떤 스캔 섹션에 대한 최적의 쉬프트 주파수를 찾기 위한 검색용 데이터(2010,2020,2030)의 일 예는 도 20과 같다. 검색용 데이터(2010,2020,2030)에 포함되는 스캔 패턴은 도 18과 같이 적어도 두 개 이상 또는 도 19와 같이 적어도 세 개 이상의 스캔 패턴으로 구성될 수도 있다. 검색용 데이터(2010,2020,2030)가 세 개의 스캔 패턴으로 구성된 경우에, 적어도 세 개 이상의 스캔 패턴에 대한 스캔 경로의 출력 패턴이 예측 패턴과 비교될 수 있다.20 shows an example of search data 2010, 2020, and 2030 for searching for an optimal shift frequency for a certain scan section shorter than the scan pattern or the scan path length. The scan patterns included in the search data 2010, 2020, and 2030 may include at least two scan patterns as shown in FIG. 18, or at least three scan patterns as shown in FIG. When the search data 2010, 2010, 2010, and 2010 are composed of three scan patterns, the output pattern of the scan path for at least three or more scan patterns can be compared with the predicted pattern.

도 18, 도 19 및 도 20을 참조하여 예를 든 바와 같이, 스캔 섹션의 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾기 위한 검색용 데이터에 포함된 스캔 패턴 또는 스캔 섹션은 스캔 경로에 반복 입력될 수 있다.18, 19, and 20, the scan pattern or the scan section included in the search data for finding the usable shift frequency or the optimal shift frequency of the scan section is repeatedly input to the scan path .

또한 도 18 내지 도 20의 예에 한정되지 않고, 검색용 데이터에 포함된 적어도 두 개 이상의 스캔 패턴 또는 스캔 섹션에 대한 타이밍 정보는 서로 다르거나 같을 수도 있다.Also, the present invention is not limited to the examples of Figs. 18 to 20, and the timing information for at least two scan patterns or scan sections included in the search data may be different from each other or the same.

스캔 섹션의 최적의 쉬프트 주파수를 찾기 위해 사용되는 검색용 데이터는 도 18, 도 19 또는 도 20의 각 경우와 같이 적어도 두 개 이상의 스캔 패턴을 포함하여 구성할 수 있다. 본 발명의 최소한 하나의 실시예에서, 검색용 데이터는 도 18, 도 19 또는 도 20의 타이밍 정보와 관련된 정보를 포함할 수 있다. 타이밍 정보는 테스트 장치에 의해 스캔 패턴 또는 스캔 섹션을 스캔 경로에 입력하는 타이밍을 제어하기 위해 사용될 수도 있다. 타이밍은 쉬프트 주파수 또는 쉬프트 주파수의 주기이다. 또한 일 예로 도 18 내지 도 20과 같이 서로 이웃하는 각각의 스캔 섹션에 대한 최적의 쉬프트 주파수를 찾기 위해 사용되는 각각의 검색용 데이터에는 서로 중첩되는 스캔 패턴이 포함될 수 있다.The search data used for finding the optimal shift frequency of the scan section may include at least two scan patterns as in each of FIGS. 18, 19, and 20. In at least one embodiment of the present invention, the data for retrieval may include information related to the timing information of FIG. 18, FIG. 19, or FIG. The timing information may be used by the test apparatus to control the timing of inputting the scan pattern or scan section into the scan path. The timing is the shift frequency or the cycle of the shift frequency. For example, as shown in FIGS. 18 to 20, each search data used to search for an optimal shift frequency for each neighboring scan section may include scan patterns superimposed on each other.

본 발명의 최소한 하나의 실시예에서, 수많은 각각의 스캔 섹션의 최적의 쉬프트 주파수를 찾기 위해 사용되는 검색용 데이터를 만드는 단계는 컴퓨터 프로그램 또는 소프트웨어를 사용하여 일괄적으로 처리하는 것이 효율적일 수 있다.In at least one embodiment of the present invention, the step of creating the search data used to find the optimal shift frequency of a large number of each scan section may be efficient to batch process using a computer program or software.

예를 들어 도 18 내지 도 20과 같이 각각의 스캔 섹션의 최적의 쉬프트 주파수를 찾기 위해 사용되는 스캔 패턴, 스캔 섹션 및 쉬프트 주파수와 관련된 타이밍 정보 또는 데이터를 구성하거나 분할하는 작업이 컴퓨터 프로그램 또는 소프트웨어를 사용하여 일괄적으로 처리될 수 있다. 또한 상기 작업에서 최적화하고자 하는 스캔 섹션의 개수, 스캔 섹션의 비트 길이, 스캔 섹션의 위치 등의 정보가 사용될 수도 있다.For example, as shown in Figs. 18 to 20, the task of composing or dividing the timing information or data related to the scan pattern, the scan section, and the shift frequency used to find the optimal shift frequency of each scan section is performed by a computer program or software Can be processed collectively. In addition, information such as the number of scan sections to be optimized in the above operation, the bit length of the scan section, and the position of the scan section may be used.

또한 특정 스캔 섹션의 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾기 위해 사용되는 검색용 데이터에는 예측 패턴 또한 포함될 수 있다. 또한 특정 스캔 섹션의 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾기 위해 사용되는 검색용 데이터에는 스캔 테스트 시에 함께 사용되는 IC 칩의 주 입력(primary input) 테스트 데이터 또는 주 출력(primary output) 예측 데이터 또한 포함될 수 있다.In addition, predictive patterns may also be included in the search data used to find an available shift frequency or an optimal shift frequency of a particular scan section. Also, the search data used to find an available shift frequency or an optimal shift frequency of a specific scan section may include the primary input test data of the IC chip or the primary output prediction data May also be included.

도 21 내지 28은 본 발명의 최소한 하나의 실시예에 따라 검색용 데이터를 생성하는 방법을 도시한 도면이다. 이 중에서 도 21 내지 도 23은 스캔 섹션이 스캔 패턴인 경우에 검색용 데이터를 생성하는 방법에 관한 것이고, 도 24 내지 도 26은 스캔 섹션이 스캔 패턴의 일부인 경우에 검색용 데이터를 생성하는 방법에 관한 것이다.21-28 are diagrams illustrating a method for generating search data in accordance with at least one embodiment of the present invention. FIGS. 21 to 23 relate to a method of generating search data when the scan section is a scan pattern, and FIGS. 24 to 26 show a method of generating search data when the scan section is part of a scan pattern .

도 21은 복수의 스캔 패턴을 포함하는 테스트 데이터의 일 예를 도시한 개념도이다.21 is a conceptual diagram showing an example of test data including a plurality of scan patterns.

도 21을 참조하면, 테스트 데이터(2100) 내 모든 스캔 패턴에 단일의 쉬프트 주파수(예를 들어, T1=50ns(즉, 20MHz))가 부여되어 있다. 따라서 모든 스캔 패턴은 IC 칩의 스캔 경로에 동일한 쉬프트 주파수로 쉬프트인 및 쉬프트아웃 된다.Referring to FIG. 21, a single shift frequency (for example, T1 = 50 ns (i.e., 20 MHz)) is given to all the scan patterns in the test data 2100. Thus, all scan patterns are shifted in and shifted out at the same shift frequency in the scan path of the IC chip.

테스트 데이터(2100)는 입력 스캔 패턴과 예측 패턴을 한 쌍으로 포함하는 복수의 서브 테스트 데이터로 구성될 수 있다. 예를 들어, 51번째 입력 스캔 패턴은 50번째 입력 스캔 패턴의 예측 패턴과 쌍을 이룬다. 테스트 데이터는 STIL(Standard Test Interface Language) 또는 WGL(Wavefrom Generation Language) 등의 포맷으로 작성될 수 있다.The test data 2100 may be composed of a plurality of sub-test data including a pair of an input scan pattern and a predictive pattern. For example, the 51st input scan pattern is paired with the prediction pattern of the 50th input scan pattern. The test data can be written in a format such as STIL (Standard Test Interface Language) or WGL (Waveform Generation Language).

첫 번째 서브 테스트 데이터의 돈케어 예측 패턴은 제1 입력 스캔 패턴이 스캔 경로에 쉬프트인 될 때 쉬프트아웃 되는 출력 패턴을 특정 예측 패턴과 비교하지 않겠다는 의미이다. 플립플롭들이 특정 값으로 셋(set) 또는 리셋(reset) 된 후에 최초의 입력 스캔 패턴이 입력될 때 쉬프트아웃 되는 출력 패턴은 돈케어 예측 패턴이 아닐 수 있다.The money care prediction pattern of the first sub test data means that the output pattern shifted out when the first input scan pattern is shifted to the scan path is not compared with the specific prediction pattern. The output pattern shifted out when the first input scan pattern is input after the flip-flops are set or reset to a certain value may not be a money care prediction pattern.

도 22는 스캔 섹션이 스캔 패턴인 경우에 스캔 섹션별 최적 쉬프트 주파수를 찾기 위한 검색용 데이터를 생성하는 방법의 일 예를 도시한 개념도이다.22 is a conceptual diagram showing an example of a method for generating search data for searching for an optimal shift frequency for each scan section when the scan section is a scan pattern.

도 22를 참조하면, 도 21의 원래의 테스트 데이터(2100)에서 최적 쉬프트 주파수를 찾고자 하는 대상 스캔 섹션(2210)에 타이밍 정보 Target_T를 부여한다. 타이밍 정보 Target_T는 대상 스캔 섹션(2210)을 식별하거나 대상 스캔 섹션의 쉬프트 주파수를 제어하기 위하여 사용된다. 예를 들어, Target_T는 초기 50ns에서 테스트 장치에 의해 증감될 수 있다.Referring to FIG. 22, timing information Target_T is given to a target scan section 2210 in which the optimum shift frequency is to be found in the original test data 2100 of FIG. The timing information Target_T is used to identify the target scan section 2210 or to control the shift frequency of the target scan section. For example, Target_T may be increased or decreased by the test device in the initial 50 ns.

대상 스캔 섹션(2210)이 입력 스캔 패턴 51인 경우, 입력 스캔 패턴 51의 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾기 위해, Target_T가 부여된 검색용 데이터(2200)를 칩에 반복하여 입력한다. 반복 입력시마다 Target_T에 해당하는 대상 스캔 섹션(2210)의 쉬프트 주파수의 주기를 변화시킨다. 이때 대상 스캔 섹션(2210)을 제외한 나머지 입력 스캔 패턴의 쉬프트 주파수의 주기는 스캔 패턴이 스캔 경로에 정상적으로 입력될 수 있는 쉬프트 주파수의 주기(예를 들어, T1=50ns)이다.When the target scan section 2210 is the input scan pattern 51, the search data 2200 to which the Target_T is assigned is repeatedly input to the chip to find the available shift frequency or optimal shift frequency of the input scan pattern 51. The cycle of the shift frequency of the target scan section 2210 corresponding to Target_T is changed each time it is repeatedly input. The period of the shift frequency of the input scan pattern except for the target scan section 2210 is a shift frequency period (for example, T1 = 50 ns) at which the scan pattern can be normally input to the scan path.

예를 들어, 대상 스캔 섹션(2210)의 사용 가능한 최대 쉬프트 주파수를 찾을 때까지 Target_T에 해당하는 쉬프트 주파수의 주기를 감소시키면서 검색용 데이터(2200)를 칩에 반복하여 입력한다. 이때 입력 스캔 패턴 50에 대한 출력 패턴은 서브 테스트 데이터 51에 포함된 입력 스캔 패턴 50에 대한 예측 패턴과 비교된다. 또한 입력 스캔 패턴 51에 대한 출력 패턴은 서브 테스트 데이터 52에 포함된 입력 스캔 패턴 51에 대한 예측 패턴과 비교된다. 입력 스캔 패턴 50과 입력 스캔 패턴 51의 테스트 결과가 모두 정상일 때의 쉬프트 주파수는 대상 스캔 섹션(2210)의 사용 가능한 쉬프트 주파수이다.For example, the search data 2200 is repeatedly input to the chip while reducing the period of the shift frequency corresponding to Target_T until the maximum available shift frequency of the target scan section 2210 is found. At this time, the output pattern for the input scan pattern 50 is compared with the predicted pattern for the input scan pattern 50 included in the sub-test data 51. Also, the output pattern for the input scan pattern 51 is compared with the predicted pattern for the input scan pattern 51 included in the sub-test data 52. The shift frequency when the test results of the input scan pattern 50 and the input scan pattern 51 are all normal is the usable shift frequency of the target scan section 2210.

대상 스캔 섹션(2210)의 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾기 위해 사용하는 검색용 데이터(2200)의 크기가 작을수록 최적 쉬프트 주파수를 찾는데 사용되는 시간을 줄일 수 있다.The smaller the size of the search data 2200 used to search for the available shift frequency or optimum shift frequency of the target scan section 2210, the shorter the time used for finding the optimal shift frequency.

도 23은 최적 쉬프트 주파수를 찾는데 소요되는 시간을 줄이기 위한 검색용 데이터의 생성 방법의 일 예를 도시한 개념도이다.FIG. 23 is a conceptual diagram showing an example of a method of generating search data for reducing the time required to find an optimal shift frequency.

도 23을 참조하면, 대상 스캔 섹션(2310)인 입력 스캔 패턴 51의 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾기 위한 검색용 데이터(2300)는, 대상 스캔 섹션(2310)과 그 앞뒤에 각각 위치한 입력 스캔 패턴 50,52를 포함한다. 그리고 대상 스캔 섹션(2310)의 앞에 위치한 서브 테스트 데이터 50에 포함된 예측 패턴은 돈케어 예측 패턴이다. 즉 입력 스캔 패턴 50이 스캔 경로에 쉬프트인 될 때 쉬프트아웃 되는 출력 패턴은 특정 예측 패턴과 비교되지 않는다.23, search data 2300 for searching for a usable shift frequency or optimum shift frequency of the input scan pattern 51, which is a target scan section 2310, includes a target scan section 2310 and inputs Scan patterns 50,52. And the prediction pattern included in the sub test data 50 located in front of the target scan section 2310 is a money care prediction pattern. That is, the output pattern shifted out when the input scan pattern 50 is shifted to the scan path is not compared with the specific predicted pattern.

대상 스캔 섹션(2310)의 사용 가능한 최대 쉬프트 주파수를 찾을 때까지 Target_T에 해당하는 쉬프트 주파수의 주기를 변화시키면서, 검색용 데이터(2300)를 칩의 스캔 경로에 반복하여 입력한다. 입력 스캔 패턴 50을 사용한 테스트 결과는 서브 테스트 데이터 51에 포함된 입력 스캔 패턴 50에 대한 예측 패턴과 비교된다. 또한 입력 스캔 패턴 51을 사용한 테스트 결과는 서브 테스트 데이터 52에 포함된 입력 스캔 패턴 51에 대한 예측 패턴과 비교된다. 입력 스캔 패턴 50과 입력 스캔 패턴 51의 테스트 결과가 모두 정상일 때의 쉬프트 주파수는 대상 스캔 섹션(2310)의 사용 가능한 쉬프트 주파수이다.The search data 2300 is repeatedly inputted to the scan path of the chip while changing the cycle of the shift frequency corresponding to Target_T until the maximum available shift frequency of the target scan section 2310 is found. The test result using the input scan pattern 50 is compared with the predicted pattern for the input scan pattern 50 included in the sub test data 51. [ Also, the test result using the input scan pattern 51 is compared with the predicted pattern for the input scan pattern 51 included in the sub test data 52. The shift frequency when the test results of the input scan pattern 50 and the input scan pattern 51 are all normal is the usable shift frequency of the target scan section 2310.

검색용 데이터(2300)는 도 23의 예에 한정되는 것은 아니며, 대상 스캔 섹션의 앞 또는 뒤에 위치한 두 개 이상의 입력 스캔 패턴을 더 포함할 수 있다.The search data 2300 is not limited to the example of FIG. 23, and may further include two or more input scan patterns located before or after the target scan section.

도 24는 복수의 스캔 패턴을 포함하는 테스트 데이터의 일 예를 도시한 개념도이고, 도 25 내지 도 28은 스캔 섹션이 스캔 패턴의 일부분인 경우에 최적 쉬프트 주파수를 찾기 위한 검색 데이터를 생성하는 방법의 일 예를 도시한 개념도이다.FIG. 24 is a conceptual diagram showing an example of test data including a plurality of scan patterns. FIGS. 25 to 28 illustrate a method of generating search data for searching for an optimal shift frequency when a scan section is a part of a scan pattern And Fig.

도 24를 참조하면, 테스트 데이터(2400) 내 모든 스캔 패턴에 단일의 쉬프트 주파수(예를 들어, T1=50ns(즉, 20MHz))가 부여되어 있다. 따라서 모든 스캔 패턴은 IC 칩의 스캔 경로에 동일한 쉬프트 주파수로 쉬프트인 및 쉬프트아웃 된다.Referring to FIG. 24, a single shift frequency (for example, T1 = 50 ns (i.e., 20 MHz)) is given to all the scan patterns in the test data 2400. Thus, all scan patterns are shifted in and shifted out at the same shift frequency in the scan path of the IC chip.

테스트 데이터(2400)는 입력 스캔 패턴과 예측 패턴을 한 쌍으로 포함하는 복수의 서브 테스트 데이터로 구성될 수 있다. 예를 들어, 51번째 입력 스캔 패턴은 50번째 입력 스캔 패턴의 예측 패턴과 쌍을 이룬다.The test data 2400 may be composed of a plurality of sub-test data including a pair of the input scan pattern and the predictive pattern. For example, the 51st input scan pattern is paired with the prediction pattern of the 50th input scan pattern.

테스트 데이터(2400)는 복수의 스캔 섹션으로 분할될 수 있다. 본 실시 예는 설명의 편의를 위하여 입력 스캔 패턴 51을 세 개의 스캔 섹션(2410,2420,2430)으로 분할한 경우에 각 스캔 섹션의 최적 쉬프트 주파수를 찾기 위한 검색용 데이터를 생성하는 방법에 대해 도 25 내지 도 28에서 설명한다.The test data 2400 may be divided into a plurality of scan sections. For convenience of description, the present embodiment is directed to a method for generating search data for finding an optimal shift frequency of each scan section when the input scan pattern 51 is divided into three scan sections 2410, 2420, and 2430 25 to Fig.

도 25 내지 도 27을 참조하면, 검색용 데이터(2500,2600,2700)는 대상 스캔 섹션(2510,2610,2710)을 포함하는 입력 스캔 패턴 51과 그 앞뒤에 위치한 입력 스캔 패턴 50,52를 포함한다. 그리고 서브 테스트 데이터 50에 포함된 예측 패턴은 돈케어 예측 패턴이다. 즉, 입력 스캔 패턴 50이 스캔 경로에 쉬프트인 될 때 쉬프트아웃 되는 출력 패턴은 특정 예측 패턴과 비교되지 않는다. 타이밍 정보 Target_T는 대상 스캔 섹션(2510,2610,2710)을 식별하거나 대상 스캔 섹션의 쉬프트 주파수를 제어하기 위하여 사용된다. 예를 들어, Target_T는 초기 50n에서 테스트 장치에 의해 증감될 수 있다.25 to 27, the search data 2500, 2600, 2700 includes the input scan pattern 51 including the target scan sections 2510, 2610, 2710 and the input scan patterns 50, do. The predicted pattern included in the sub-test data 50 is a money care prediction pattern. That is, the output pattern shifted out when the input scan pattern 50 is shifted to the scan path is not compared with the specific predicted pattern. Timing information Target_T is used to identify the target scan sections 2510, 2610, 2710 or to control the shift frequency of the target scan section. For example, Target_T may be incremented or decremented by the test device in the initial 50n.

도 25를 참조하면, 검색용 데이터(2500)는 입력 스캔 패턴 51의 일부인 제1 대상 스캔 섹션(2510)에 Target_T의 타이밍 정보를 부여하고, 입력 스캔 패턴 51의 나머지에는 T1의 타이밍 정보를 그대로 유지한다. 제1 대상 스캔 섹션(2510)의 사용 가능한 최대 쉬프트 주파수를 찾을 때까지 Target_T에 해당하는 쉬프트 주파수의 주기를 변화시키면서 검색용 데이터(2500)를 칩의 스캔 경로에 반복하여 입력한다. 입력 스캔 패턴 50을 사용한 테스트 결과는 서브 테스트 데이터 51에 포함된 입력 스캔 패턴 50에 대한 예측 패턴과 비교된다. 또한 입력 스캔 패턴 51을 사용한 테스트 결과는 서브 테스트 데이터 52에 포함된 입력 스캔 패턴 51에 대한 예측 패턴과 비교된다. 입력 스캔 패턴 50과 입력 스캔 패턴 51을 사용한 테스트 결과가 모두 정상일 때의 쉬프트 주파수는 제1 대상 스캔 섹션의 사용 가능한 쉬프트 주파수이다.25, the search data 2500 provides the timing information of Target_T to the first subject scan section 2510, which is a part of the input scan pattern 51, and retains the timing information of T1 to the remainder of the input scan pattern 51 do. The search data 2500 is repeatedly input to the scan path of the chip while changing the cycle of the shift frequency corresponding to Target_T until the maximum available shift frequency of the first target scan section 2510 is found. The test result using the input scan pattern 50 is compared with the predicted pattern for the input scan pattern 50 included in the sub test data 51. [ Also, the test result using the input scan pattern 51 is compared with the predicted pattern for the input scan pattern 51 included in the sub test data 52. The shift frequency when the test results using both the input scan pattern 50 and the input scan pattern 51 are normal is the usable shift frequency of the first target scan section.

제2 대상 스캔 섹션(2610)이나 제3 대상 스캔 섹션(2710)의 최적 쉬프트 주파수를 찾을 때는 각각 도 26 및 도 27의 검색용 데이터(2600,2700)를 도 25와 동일한 방법으로 칩에 반복 입력하여 스캔 테스트한다.When the optimum shift frequency of the second target scan section 2610 or the third target scan section 2710 is found, the search data 2600 and 2700 shown in FIGS. 26 and 27 are repeatedly input to the chip Scan test.

하나의 스캔 패턴이 복수 개의 스캔 섹션으로 분할된 경우에 각 스캔 섹션의 최적 쉬프트 주파수를 찾기 위하여 도 25 내지 도 27과 같이 각 스캔 섹션별 검색용 데이터(2500,2600,2700)를 만들지 않고, 도 28과 같이 하나의 검색용 데이터(2800)를 만들 수 있다.When one scan pattern is divided into a plurality of scan sections, search data (2500, 2600, 2700) for each scan section is not created as shown in FIG. 25 to FIG. 27 to find the optimal shift frequency of each scan section It is possible to create one search data 2800 as shown in FIG.

도 28을 참조하면, 검색용 데이터(2800)는 제1 내지 제3 대상 스캔 섹션(2810,2820,2830)에 각각의 타이밍 정보 Target_T1, Target_T2, Target_T3를 포함한다. 다시 말해, 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 동시에 찾고자 하는 대상 스캔 섹션의 개수만큼 타이밍 식별자를 만들고, 각 대상 스캔 섹션(2810,2820,2830)에 할당한다. 예를 들어, 제1 대상 스캔 섹션(2810)의 사용 가능한 쉬프트 주파수 또는 최적 쉬프트 주파수를 찾을 때에는 Target_T1에 해당하는 쉬프트 주파수를 증감할 수 있다.Referring to FIG. 28, the search data 2800 includes respective timing information Target_T1, Target_T2, and Target_T3 in the first to third target scan sections 2810, 2820, and 2830, respectively. In other words, a timing identifier is created by the number of target scan sections for which an available shift frequency or optimum shift frequency is simultaneously sought, and allocated to each target scan section 2810, 2820, 2830. For example, when searching for the available shift frequency or optimum shift frequency of the first subject scan section 2810, the shift frequency corresponding to Target_T1 may be increased or decreased.

도 28과 같이 복수의 대상 스캔 섹션을 위한 하나의 검색용 데이터(2800)를 생성하면, 각 대상 스캔 섹션별 검색용 데이터를 생성하는 것과 비교하여 저장 매체의 저장 용량을 절약할 수 있다. 다만, 테스트 장치의 사용 가능한 타이밍 식별자의 개수 또는 쉬프트 주파수의 개수의 제약이 있을 수 있다.As shown in FIG. 28, when one search data 2800 for a plurality of target scan sections is generated, storage capacity of the storage medium can be saved as compared with generating search data for each target scan section. However, there may be a limit on the number of available timing identifiers or the number of shift frequencies of the test apparatus.

예를 들어, 테스트 장치에서 사용 가능한 타이밍 식별자의 개수가 3개로 제한되어 있고, 스캔 패턴이 4개의 대상 스캔 섹션으로 분할된다면, 도 25 내지 도 27과 같이 각 대상 스캔 섹션별로 검색용 데이터(2500,2600,2700)를 만들어 최적 쉬프트 주파수를 찾을 수 있다.For example, if the number of timing identifiers available in the test apparatus is limited to three, and the scan pattern is divided into four target scan sections, the search data 2500, 2600, 2700) to find the optimal shift frequency.

검색용 데이터(2500,2600,2700,2800)는 도 25 내지 도 28에 한정되는 것은 아니며, 대상 스캔 섹션을 포함한 입력 스캔 패턴의 앞 또는 뒤에 위치한 두 개 이상의 입력 스캔 패턴을 더 포함할 수 있다.The search data 2500, 2600, 2700, and 2800 are not limited to FIG. 25 to FIG. 28, but may further include two or more input scan patterns located before or after the input scan pattern including the target scan section.

스캔 패턴 또는 스캔 섹션의 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾기 위해 사용되는 검색용 데이터의 크기는 가능하면 작을수록 쉬프트 주파수를 찾는데 소요되는 시간을 줄일 수 있다. 예를 들어, 스캔 패턴 또는 스캔 섹션의 개수를 적게 사용할수록 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾기 위해 소요되는 시간이 줄어들 수 있다.The smaller the possible search frequency or the size of the search data used to search for the optimal shift frequency in the scan pattern or scan section, the more time it takes to find the shift frequency. For example, the less the number of scan patterns or scan sections is used, the less time is required to find available shift frequencies or optimal shift frequencies.

테스트 데이터의 모든 스캔 패턴에 대해 최적의 쉬프트 주파수를 찾기 위해 소요되는 전체 쉬프트 클락 사이클의 개수를 계산하기 위해 SN, BL, FN을 다음과 같이 정의한다.SN, BL, and FN are defined as follows to calculate the total number of shift clock cycles required to find the optimal shift frequency for all the scan patterns of the test data.

SN: 테스트 데이터를 구성하는 스캔 패턴의 개수SN: number of scan patterns constituting test data

BL: 한 개의 스캔 패턴의 비트 길이이며, 1비트를 쉬프트하는이데데 한 개의 쉬프트 클락 사이클이 사용된다.BL: Bit length of one scan pattern, one shift clock cycle to shift one bit is used.

FN: 스캔 패턴 당 최적 쉬프트 주파수를 찾기 위한 쉬프트 주파수의 증가 횟수이며, 기 설정된 낮은 쉬프트 주파수부터 기 설정된 높은 쉬프트 주파수까지 일정한 간격으로 순차적으로 증가된다.FN is the number of times of shift frequency increase for finding the optimum shift frequency per scan pattern, and is sequentially increased from a predetermined low shift frequency to a preset high shift frequency at regular intervals.

본 발명의 최소한 하나의 실시예에서, SN = 5,000, BL = 1,000 이고 FN = 20 이라고 가정한다. 그리고 다음 방법 1 및 방법 2에 대해 테스트 데이터의 모든 스캔 패턴에 대해 최적의 쉬프트 주파수를 찾기 위해 소요되는 전체 쉬프트 클락 사이클의 개수를 산출하면 다음과 같다.In at least one embodiment of the invention, it is assumed that SN = 5,000, BL = 1,000 and FN = 20. For the following methods 1 and 2, the total number of shift clock cycles required to find the optimal shift frequency for all the scan patterns of the test data is calculated as follows.

방법 1Method 1

도 22와 같이 전체 입력 스캔 패턴을 포함하는 검색용 데이터를 이용하여, 각 스캔 패턴의 최적 쉬프트 주파수를 찾을 때 소요되는 전체 쉬프트 클락 사이클의 개수는 다음과 같다:As shown in FIG. 22, the total number of shift clock cycles required when searching for the optimum shift frequency of each scan pattern using the search data including the entire input scan pattern is as follows:

전체 소요시간 = SN x SN x BL x FN = 500,000,000,000 shift clock cyclesTotal time required = SN x SN x BL x FN = 500,000,000,000 shift clock cycles

방법 2Method 2

도 23와 같이 세 개의 입력 스캔 패턴을 포함하는 검색용 데이터를 이용하여, 각 입력 스캔 패턴의 최적 쉬프트 주파수를 찾을 때 소요되는 전체 쉬프트 클락 사이클의 개수는 다음과 같다(이때, 첫 번째 스캔 패턴 패턴의 최적 쉬프트 주파수를 찾을 때에는 첫 번째 및 두 번째의 두 개의 입력 스캔 패턴을 포함한 검색용 데이터가 사용된다. 마지막 입력 스캔 패턴의 최적 쉬프트 주파수를 찾을 때에는 마지막 입력 스캔 패턴과 그 앞의 입력 스캔 패턴의 두 개의 입력 스캔 패턴을 포함한 검색용 데이터가 사용된다):As shown in FIG. 23, the total number of shift clock cycles required for finding the optimal shift frequency of each input scan pattern using search data including three input scan patterns is as follows Search data including the first and second input scan patterns are used to find the optimal shift frequency of the last input scan pattern. When finding the optimum shift frequency of the last input scan pattern, the last input scan pattern and the input scan pattern Search data is used, including two input scan patterns):

전체 소요시간 = (3 x (SN-2) x BL x FN) + (2 x 2 x BL x FN) = 299,960,000 shift clock cyclesTotal time required = (3 x SN-2 x BL x FN) + (2 x 2 x BL x FN) = 299,960,000 shift clock cycles

위의 수식에서 (3 x (SN-2) x BL x FN)은 스캔 패턴 집합의 두 개의 스캔 패턴(즉, 칩에 최초로 입력되는 스캔 패턴과 마지막으로 입력되는 스캔 패턴)을 제외한 각각의 모든 스캔 패턴의 최적의 쉬프트 주파수를 찾기 위해 사용되는 쉬프트 클락 사이클의 총 개수이다.In the above equation (3 x (SN-2) x BL x FN), every scan except the two scan patterns of the set of scan patterns (i.e., the first scan pattern input to the chip and the last scan pattern input) The total number of shift clock cycles used to find the optimal shift frequency of the pattern.

위의 수식에서 (2 x 2 x BL x FN)은 칩에 최초로 입력되는 스캔 패턴 패턴과 마지막으로 입력되는 스캔 패턴의 최적의 쉬프트 주파수를 찾기 위해 사용되는 쉬프트 클락 사이클의 총 개수이다In the above equation (2 x 2 x BL x FN) is the total number of shift clock cycles used to find the optimal shift frequency of the scan pattern pattern first entered into the chip and the last input scan pattern

방법 2를 사용하면 방법 1에서 사용된 전체 쉬프트 클락 사이클 개수의 99.94%만큼이 감소된 것을 알 수 있다.Using Method 2, it can be seen that 99.94% of the total number of shift clock cycles used in Method 1 has been reduced.

따라서, 스캔 패턴 또는 스캔 섹션의 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾기 위해 사용되는 검색용 데이터는 가능하면 적은 개수의 스캔 패턴 또는 스캔 섹션을 포함하도록 한다.Thus, the search data used to search for the available shift frequency or optimum shift frequency of the scan pattern or scan section includes as few scan patterns or scan sections as possible.

본 발명의 최소한 하나의 실시예에서, 도 18을 참조하여 예를 든 바와 같이, 검색용 데이터는 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾고자 하는 스캔 섹션과 그 앞 또는 뒤에 위치한 스캔 패턴을 포함하여 최소한 두개 이상의 스캔 패턴으로 구성될 수 있다.In at least one embodiment of the present invention, as is the case with reference to FIG. 18, the search data includes at least two scan sections, including a scan section seeking a shift frequency or an optimal shift frequency, The scan pattern can be configured as described above.

또한 도 19 내지 도 28의 각 예와 같이, 검색용 데이터는 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾고자 하는 스캔 섹션과 그 앞 및 뒤에 위치한 스캔 패턴들을 포함하여 최소한 세 개 이상의 스캔 패턴으로 구성될 수 있다.Also, as in the examples of FIGS. 19 to 28, the search data may be composed of at least three scan patterns including a scan section for searching for a shift frequency or an optimal shift frequency, and scan patterns positioned before and after the scan section .

본 발명의 최소한 하나의 실시예에서, 스캔 섹션의 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾기 위해 사용되는 검색용 데이터는 데이터 코드나 파일 등의 형태로 컴퓨터로 읽을 수 있는 기록 매체에 저장되어 있을 수 있다.In at least one embodiment of the invention, the search data used to find the available shift frequency or optimal shift frequency of the scan section is stored in a computer readable recording medium in the form of a data code, a file, .

또한 스캔 섹션의 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾기 위해 사용되는 검색용 데이터를 만드는 단계는 실시 예에 따라 동일한 장치 또는 서로 다른 장치에서 각각 수행될 수 있으며, 테스트 장치 또는 컴퓨터 등의 장치에서 수행될 수도 있다.Also, the step of creating search data used to search for an available shift frequency or an optimal shift frequency of the scan section may be performed in the same device or in a different device, respectively, according to the embodiment, .

도 29는 본 발명에 따른 스캔 테스트 시간 최소화 방법의 일 예를 도시한 흐름도이다.29 is a flowchart illustrating an example of a scan test time minimization method according to the present invention.

도 29를 참조하면, 스캔 테스트 시간 최소화 장치는 비트 패턴 또는 하나 이상의 스캔 패턴을 적어도 둘 이상의 스캔 섹션으로 분할한다(S2900). 테스트 데이터의 비트 패턴 또는 스캔 패턴 집합을 스캔 섹션으로 분할하는 다양한 방법이 존재하며, 일 예가 도 5 내지 도 10에 도시되어 있다.Referring to FIG. 29, the apparatus for minimizing scan test time divides a bit pattern or at least one scan pattern into at least two scan sections (S2900). There are various methods of dividing a bit pattern or a set of scan patterns of test data into scan sections, and one example is shown in Figs. 5 to 10.

분할하는 단계에 있어서, IC 칩을 테스트하기 위한 수천 또는 수만 개 이상의 스캔 패턴들을 분할한 스캔 섹션이나 섹션 그룹에 대한 검색용 데이터 또는 이들 데이터를 포함하는 파일을 만드는 작업은 컴퓨터 프로그램 또는 소프트웨어를 사용하여 일괄적으로 처리하는 것이 효율적일 수 있다.In the dividing step, the task of creating data for retrieval of a scan section or a section group or a file containing these data by dividing several thousand or tens of thousands of scan patterns for testing an IC chip is performed using a computer program or software It may be efficient to process them collectively.

일 예로, 컴퓨터 프로그램 또는 소프트웨어는 쉬프트 주파수를 최적화하고자 하는 스캔 섹션의 개수, 스캔 섹션의 비트 길이, 스캔 섹션의 위치 등의 스캔 섹션 분할과 관련된 정보를 사용하여 테스트 데이터를 스캔 섹션이나 스캔 섹션 그룹으로 분할하고, 분할된 스캔 섹션이나 스캔 섹션 그룹에 대한 검색용 데이터 또는 검색용 데이터를 포함하는 파일을 일괄적으로 만들 수 있다.As an example, a computer program or software may use the information associated with the scan section division, such as the number of scan sections for which the shift frequency is to be optimized, the bit length of the scan section, the location of the scan section, And a file including search data or search data for a divided scan section or a scan section group can be collectively created.

스캔 섹션 분할과 관련된 정보는 키보드, 마우스, 음성인식 장치와 같은 사용자 인터페이스 장치나 스캔 섹션 분할과 관련된 정보를 포함하는 정보 데이터 코드나 파일, 또는 데이터 통신 네트워크 등을 통해 얻을 수 있고 컴퓨터 프로그램 또는 소프트웨어에 의해 사용될 수 있다.The information associated with the scan section segmentation may be obtained via an information data code or file, including a user interface device such as a keyboard, a mouse, a speech recognition device, or information relating to scan section segmentation, or via a data communication network, Lt; / RTI >

스캔 패턴의 분할의 일 예로 도 5 내지 도 10에 도시된 방법을 사용할 수 있다. 스캔 테스트 시간 최소화 장치는 복수 개의 쉬프트 주파수를 각 스캔 섹션에 할당한다(S2910). 여기서 각 스캔 섹션에 할당된 쉬프트 주파수는 스캔 경로의 출력 패턴이 예측 패턴과 상이해지기 전의 쉬프트 주파수 이하이다. 스캔 패턴의 스캔 섹션으로의 분할(S2900)과 쉬프트 주파수의 스캔 섹션 할당(S2910)은 실시 예에 따라 동일한 장치 또는 서로 다른 장치에서 각각 수행될 수 있으며, 테스트 장치 또는 컴퓨터 등의 장치에서 수행될 수도 있다.As an example of the division of the scan pattern, the method shown in Figs. 5 to 10 can be used. The scan test time minimizing apparatus allocates a plurality of shift frequencies to each scan section (S2910). Here, the shift frequency assigned to each scan section is equal to or less than the shift frequency before the output pattern of the scan path is different from the predicted pattern. The division of the scan pattern into the scan section (S2900) and the scan frequency allocation of the shift frequency (S2910) may be performed in the same device or different devices, respectively, according to the embodiment, have.

즉, 스캔 테스트 시간 최소화 장치는 쉬프트 주파수의 증가에 따라 출력 패턴과 예측 패턴이 달라지기 바로 이전의 쉬프트 주파수를 해당 스캔 섹션에 할당 가능한 최대 쉬프트 주파수로서 찾을 수 있다. 다른 예로, 스캔 테스트 시간 최소화 장치는 쉬프트 주파수의 감소에 따라 출력 패턴과 예측 패턴이 상이하다가 동일해질 때의 쉬프트 주파수를 해당 스캔 섹션에 할당 가능한 최대 쉬프트 주파수로서 찾을 수 있다. 예를 들어, 스캔 섹션의 쉬프트 주파수를 증감하면서, 스캔 테스트 정상과 실패의 경계에 근접하면서 테스트 정상인 쉬프트 주파수를 찾고, 테스트 정상인 쉬프트 주파수를 해당 스캔 섹션에 할당 가능한 최대 쉬프트 주파수로서 찾을 수 있다.That is, the scan test time minimizing apparatus can find the shift frequency immediately before the output pattern and the predicted pattern change as the shift frequency increases, as the maximum shift frequency assignable to the scan section. As another example, the scan test time minimizing apparatus can find the shift frequency when the output pattern and the predicted pattern are different from each other and equal to each other as the maximum shift frequency assignable to the scan section as the shift frequency decreases. For example, it is possible to find a test normal shift frequency close to the boundary between scan test normal and failure while increasing or decreasing the shift frequency of the scan section, and to find the test normal shift frequency as the maximum shift frequency assignable to the scan section.

도 30은 본 발명의 최소한 하나의 실시예에 따른 스캔 테스트 시간 최소화를 위하여 각 스캔 섹션별 최적의 쉬프트 주파수를 결정하는 방법의 다른 일 예를 도시한 흐름도이다.30 is a flowchart illustrating another example of a method for determining an optimal shift frequency for each scan section in order to minimize a scan test time according to at least one embodiment of the present invention.

도 30을 참조하면, 스캔 테스트 시간 최소화 장치는 하나 이상의 스캔 패턴을 적어도 둘 이상의 스캔 섹션으로 분할한다(S3000).Referring to FIG. 30, the apparatus for minimizing scan test time divides one or more scan patterns into at least two scan sections (S3000).

스캔 테스트 시간 최소화 장치는 스캔 섹션을 스캔 경로에 쉬프트인하는 주파수를 증감하면서, 출력 패턴이 예측 패턴이 동일하다가 상이해 지거나 상이하다가 동일해질 때의 쉬프트 주파수를 찾는다(S3010). 일 예로, 최적의 쉬프트 주파수를 찾기 위하여 사용되는 칩은 미리 양품으로 검사된 칩을 사용할 수 있다. 예를 들어, 명목 쉬프트 주파수를 사용하여 스캔 테스트 한 결과가 테스트 정상으로 나타나는 양품인 칩을 이용하여 본 실시 예에 따라 최적의 쉬프트 주파수를 검색한다. 이하의 다른 실시 예에서도 동일할 수 있다.The scan test time minimizing apparatus searches for a shift frequency when the output pattern is the same as the predicted pattern but is different or different from the frequency of shifting the scan section to the scan path (S3010). For example, a chip used to search for an optimal shift frequency may use a chip that has been previously tested with a good product. For example, an optimal shift frequency is searched for according to the present embodiment using a chip whose test result is a normal test result using a nominal shift frequency. But may be the same in other embodiments described below.

그리고 스캔 테스트 시간 최소화 장치는 출력 패턴과 예측 패턴이 동일하다가 상이해지는 시점 이전의 테스트 정상인 쉬프트 주파수를 해당 스캔 섹션의 쉬프트 주파수로 결정한다(S3020). 이전의 쉬프트 주파수라고 함은 상이해지는 시점보다 작은 쉬프트 주파수도 포함한다.In step S3020, the apparatus for minimizing the scan test time determines a test normal shift frequency before the point of time when the output pattern and the predicted pattern are the same but is different from each other, as the shift frequency of the corresponding scan section. The previous shift frequency also includes a shift frequency smaller than the point at which the difference is made.

예를 들어, 제1 쉬프트 주파수에서 출력 패턴과 예측 패턴이 동일하였으나, 제1 쉬프트 주파수를 일정 크기 증가한 제2 쉬프트 주파수에서 스캔 경로의 출력 패턴과 예측 패턴이 달라지는 경우, 스캔 테스트 시간 최소화 장치는 제2 쉬프트 주파수 보다 작고 테스트 정상인 쉬프트 주파수를 스캔 섹션의 쉬프트 주파수로 결정하거나 결정할 수 있는 정보를 제공할 수 있다.For example, if the output pattern and the predicted pattern are the same at the first shift frequency but the output pattern and the predicted pattern of the scan path are different at the second shift frequency where the first shift frequency is increased by a certain magnitude, 2 < / RTI > shift frequency and determine the shift frequency of the test section to be the shift frequency of the scan section.

최적의 쉬프트 주파수를 찾기 위하여 증감하는 크기는 테스트 장치에 미리 설정되어 있거나, 사용자에 의해 증감 크기가 변경 또는 설정될 수도 있다.The size to increase or decrease to find the optimum shift frequency may be preset in the test apparatus, or the increase / decrease size may be changed or set by the user.

본 실시 예는 설명의 편의를 위하여 쉬프트인하는 쉬프트 주파수의 증감을 통해 스캔 섹션별 최적의 쉬프트 주파수를 찾는 방법을 기술하고 있으나, 실시 예에 따라 쉬프트아웃하는 주파수를 증감시키면서 최적 쉬프트 주파수를 찾을 수 있다. 이하의 실시 예에서도 마찬가지이다.Although the present embodiment describes a method for finding an optimal shift frequency for each scan section by increasing or decreasing a shift frequency shifted in for convenience of explanation, it is possible to find an optimum shift frequency by increasing or decreasing a shift- have. The same goes for the following embodiments.

도 30에서 설명한 각 단계는 실시 예에 따라 스캔 테스트 시간 최소화 장치에서 모두 실시되는 것이 아니라, 최소한 그 일부가 컴퓨터 등의 다른 장치에서 실시될 수도 있다.Each step described in FIG. 30 is not performed in the apparatus for minimizing the scan test time according to the embodiment, but at least a part thereof may be performed in another apparatus such as a computer.

도 31은 본 발명의 최소한 하나의 실시예에 따른 스캔 테스트 시간 최소화 방법의 보다 구체적인 과정을 도시한 흐름도이다.31 is a flowchart illustrating a method of minimizing a scan test time according to at least one embodiment of the present invention.

도 31을 참조하면, 스캔 테스트 시간 최소화 장치는 하나 이상의 스캔 패턴을 복수의 스캔 섹션으로 분할한다(S3100).Referring to FIG. 31, the apparatus for minimizing scan test time divides one or more scan patterns into a plurality of scan sections (S3100).

스캔 테스트 시간 최소화 장치는 스캔 섹션들 중 본 실시 예에 따라 쉬프트 주파수가 결정되지 아니한 스캔 섹션을 하나 선택한다(S3110). 예를 들어, 스캔 테스트를 위한 스캔 패턴들 사이에 일정한 순서가 정해져 있는 경우라면, 스캔 테스트 시간 최소화 장치는 첫 번째 스캔 섹션부터 순차적으로 선택할 수 있다. 또는 사용자가 쉬프트 주파수를 최적화하고자 하는 스캔 섹션을 선택하고, 스캔 테스트 시간 최소화 장치가 선택된 스캔 섹션에 대해 쉬프트 주파수 최적화를 수행할 수 있다. 이 밖에도 쉬프트 주파수를 최적화하고자 하는 스캔 섹션을 선택하는 다양한 방법이 있을 수 있다.The scan test time minimizing apparatus selects one scan section in which the shift frequency is not determined according to the present embodiment among the scan sections (S3110). For example, if a certain order is set between the scan patterns for the scan test, the apparatus for minimizing the scan test time can sequentially select from the first scan section. Alternatively, the user may select a scan section for which to optimize the shift frequency, and the scan test time minimization device may perform shift frequency optimization for the selected scan section. There may also be other ways to select the scan section to optimize the shift frequency.

스캔 테스트 시간 최소화 장치는 쉬프트 주파수를 증가한다(S3120). 예를 들어, 스캔 테스트 시간 최소화 장치에 초기 쉬프트 주파수는 명목 쉬프트 주파수 등으로 다양하게 설정될 수 있다.The scan test time minimizing device increases the shift frequency (S3120). For example, in an apparatus for minimizing a scan test time, an initial shift frequency may be variously set to a nominal shift frequency or the like.

스캔 테스트 시간 최소화 장치는 스캔 테스트 결과가 정상으로 나타나는 초기 쉬프트 주파수부터 시작하여 증감된 쉬프트 주파수에서 스캔 섹션을 스캔 경로에 정상적으로 쉬프트인이 가능한지를 결정한다(S3130). 선택된 쉬프트 주파수 결정 대상 스캔 섹션이 현재의 쉬프트 주파수로 정상적으로 쉬프트인이 가능한지를 결정하는 구체적인 방법의 일 예는 도 32에서 설명한다.The scan test time minimization apparatus determines whether the scan section can be normally shifted to the scan path at an increased or decreased shift frequency starting from an initial shift frequency at which the scan test result is normal (S3130). An example of a specific method for determining whether the selected shift frequency determination target scan section is normally shiftable to the current shift frequency will be described with reference to FIG.

스캔 섹션의 정상적인 쉬프트인이 가능하면(S3140), 스캔 테스트 시간 최소화 장치는 다시 쉬프트 주파수를 증가하고(S3120) 정상적인 쉬프트인이 가능한지 결정하는 과정을 반복한다(S3130).If the normal shift of the scan section is possible (S3140), the scan test time minimization device increments the shift frequency again (S3120) and repeats the process of determining whether a normal shift is possible (S3130).

쉬프트 주파수의 증가에 따라 스캔 섹션의 정상적인 쉬프트인이 안되는 경우가 발생하면(S3140), 스캔 테스트 시간 최소화 장치는 정상적인 쉬프트인이 된 최대 쉬프트 주파수 이하를 해당 스캔 섹션의 쉬프트 주파수로 결정하거나 결정할 수 있는 정보를 컴퓨터로 읽을 수 있는 기록매체에 저장할 수 있다(S3150). 그리고 모든 스캔 섹션에 대한 쉬프트 주파수가 결정되거나 쉬프트 주파수를 결정할 수 있는 정보를 컴퓨터로 읽을 수 있는 기록매체에 저장할 때까지 위의 과정을 반복 수행한다(S3160). 여기서, 기록매체에 저장되는 정보의 일 예로, 테스트 대상 IC 칩에 대해 각 쉬프트 주파수에 대한 쉬프트 또는 테스트 정상 또는 실패에 관한 정보일 수 있다.If the normal shift of the scan section can not be achieved according to the increase of the shift frequency (S3140), the apparatus for minimizing the scan test time can determine or determine the shift frequency of the corresponding scan section to be less than or equal to the shift- The information can be stored in a computer-readable recording medium (S3150). Then, the above process is repeated until the shift frequency for all scan sections is determined or the information for determining the shift frequency is stored in a computer-readable recording medium (S3160). Here, as an example of the information stored in the recording medium, it may be information about shift or test normal or failure for each shift frequency with respect to the IC chip under test.

스캔 테스트 시간 최소화 장치는 필요에 따라 스캔 섹션을 섹션 그룹으로 묶을 수 있다(S3170). 예를 들어, 실제 스캔 테스트를 수행하는 테스트 장치가 스캔 테스트 동안 지원 가능한 최대 쉬프트 주파수 변경 횟수, 쉬프트 주파수의 최대 개수, 쉬프트 주파수 변경에 필요한 지연 시간 등의 제약 사항을 가진 경우에, 스캔 테스트 시간 최소화 장치는 스캔 섹션의 개수가 위 제약 사항을 만족할 수 있도록 스캔 섹션들을 그룹으로 묶을 수 있으며, 이때 전체 스캔 테스트 시간이 최소화될 수 있도록 고려할 수 있다. 이때 하나의 스캔 섹션 그룹에 포함되는 적어도 둘 이상의 스캔 섹션의 각 최적의 쉬프트 주파수들 중 가장 낮은 쉬프트 주파수 이하를 해당 섹션 그룹의 쉬프트 주파수로 결정할 수 있다. 섹션 그룹으로 묶는 과정(S3170)는 실시 예에 따라 생략될 수 있다.Minimizing scan test time The device may group scan sections into section groups as needed (S3170). For example, when the test apparatus performing the actual scan test has constraints such as the maximum number of shift frequency changes that can be supported during the scan test, the maximum number of shift frequencies, and the delay time required for changing the shift frequency, The device can group the scan sections into groups so that the number of scan sections meets the above constraints, at which time the entire scan test time can be considered to be minimized. At this time, the shift frequency of the corresponding section group may be determined to be the lowest shift frequency or less among the optimal shift frequencies of at least two scan sections included in one scan section group. The process of grouping into a section group (S3170) may be omitted according to the embodiment.

예를 들어, 테스트 장치에서 지원 가능한 최대 쉬프트 주파수 변경 횟수가 5인 경우, 스캔 테스트 시간 최소화 장치는 현재 스캔 섹션의 수가 5를 초과하는 경우 스캔 섹션들을 5개 이하의 섹션 그룹으로 나누고, 각 섹션 그룹 내 섹션의 최적의 쉬프트 주파수 중 가장 낮은 최적의 쉬프트 주파수 이하를 해당 섹션 그룹의 쉬프트 주파수로 결정할 수 있다. 섹션 그룹으로 그룹화하는 방법은 같거나 비슷한 최적의 쉬프트 주파수를 갖는 스캔 섹션을 그룹화하는 방법 등 전체 스캔 테스트 시간이 최소화될 수 있는 다양한 방법이 존재할 수 있다.For example, if the maximum number of shift frequency changes that can be supported by the test apparatus is 5, the scan test time minimizing apparatus divides the scan sections into five or less section groups when the number of current scan sections exceeds 5, The shift frequency of the corresponding section group can be determined to be equal to or less than the lowest optimal shift frequency of the optimal shift frequency of the section. There may be a variety of ways in which the overall scan test time can be minimized, such as a method of grouping scan sections with the same or similar optimal shift frequency.

지금까지 살펴본 실시 예들은 주로 쉬프트 주파수의 증가만을 고려하여 최적의 쉬프트 주파수를 찾는 과정이었다. 다른 예로, 쉬프트 주파수를 감소하면서 해당 스캔 섹션의 최적 쉬프트 주파수를 찾을 수 있다.The embodiments thus far have been mainly the process of finding the optimal shift frequency only considering the increase of the shift frequency. As another example, it is possible to find the optimal shift frequency of a corresponding scan section while reducing the shift frequency.

예를 들어, 스캔 테스트 시간 최소화 장치는 테스트 실패인 초기 쉬프트 주파수부터 시작하여 감소된 쉬프트 주파수에서 스캔 섹션을 스캔 경로에 정상적으로 쉬프트인이 가능한지 결정할 수 있다. 쉬프트 주파수의 감소에 따라 스캔 섹션의 정상적인 쉬프트인이 되는 경우가 발생하면, 스캔 테스트 시간 최소화 장치는 정상적인 쉬프트인이 된 최대 쉬프트 주파수 이하를 해당 스캔 섹션의 쉬프트 주파수로 결정하거나 결정할 수 있는 정보를 컴퓨터로 읽을 수 있는 기록매체에 저장할 수 있다.For example, the scan test time minimizer can determine whether a scan section can be normally shifted into the scan path at a reduced shift frequency, starting from an initial shift frequency that is a test failure. The scan test time minimizing device may determine information that can determine or determine the shift frequency of the scan section below the maximum shift frequency that has become the normal shift in the computer, And the like.

또 다른 예로, 칩은 공급 전압이나 주변 온도 등에 의해서도 영향을 받으므로 이러한 환경 조건을 반영하여 최적 쉬프트 주파수를 찾을 수 있다. 즉, 스캔 테스트 시간 최소화 장치는 공급 전압이나 외부 온도 등의 조건을 변경해 가면서 최적 쉬프트 주파수를 찾는 과정을 수행할 수 있다.As another example, the chip is also affected by the supply voltage and the ambient temperature, so that the optimum shift frequency can be found by reflecting these environmental conditions. That is, the apparatus for minimizing the scan test time can perform the process of finding the optimum shift frequency while changing the conditions such as the supply voltage and the external temperature.

예를 들어, 스캔 테스트 시간 최소화 장치는 칩의 사양 또는 QA(Quality Assurance), QC(Quality Control) 등과 같은 품질 관련 정책 등을 고려하여 칩에 공급되는 전압을 증감할 수 있다(S3120). 그리고 스캔 테스트 시간 최소화 장치는 각각의 증감된 공급 전압에서 본 발명의 실시 예에 따라 스캔 섹션별 최적의 쉬프트 주파수를 찾는다. 선택된 스캔 섹션의 공급 전압별로 찾은 최적의 쉬프트 주파수가 복수 개 존재하면, 스캔 테스트 시간 최소화 장치는 이 중 가장 낮은 최적의 쉬프트 주파수 이하를 선택된 스캔 섹션의 쉬프트 주파수로 결정할 수 있다(S3150). 이 외 온도 증감이나 다른 여러 가지 조건별로 최적의 쉬프트 주파수를 찾는 과정을 반복하고, 이 중 가장 낮은 최적의 쉬프트 주파수 이하를 해당 스캔 섹션의 쉬프트 주파수로 결정할 수 있다.For example, the apparatus for minimizing the scan test time may increase or decrease the voltage supplied to the chip in consideration of the specifications of the chip, quality-related policies such as QA (Quality Assurance), QC (Quality Control), and the like (S3120). And the scan test time minimization device finds the optimum shift frequency for each scan section according to the embodiment of the present invention at each incremental supply voltage. If there are a plurality of optimal shift frequencies found for the supply voltage of the selected scan section, the scan test time minimizing apparatus can determine the shift frequency of the selected scan section to be the lowest shift frequency of the selected one (S3150). The process of finding the optimum shift frequency for each of the other temperature conditions and other various conditions is repeated, and the shift frequency of the scan section below the lowest optimal shift frequency can be determined.

여기서, IC 칩의 공급 전압 또는 주변 온도 등을 변화시키면서 IC 칩의 동작 주파수 범위와 같은 특성을 파악하는 것을 일반적으로 전기적 특성 테스팅(electrical testing) 또는 쉬무잉(shmooing)이라 한다. 전기적 특성 테스팅 또는 쉬무잉을 하여 특성 정보에 대한 도표를 만드는 것을 쉬무 플랏팅(shmoo plotting) 한다고 한다. 도표는 쉬무 플랏(shmoo plot)이라 불릴 수 있다.Here, it is generally referred to as electrical testing or shmooing to determine characteristics such as the operating frequency range of the IC chip while changing the supply voltage or ambient temperature of the IC chip. It is said that shmoo plotting is done by electrical characteristic testing or shimming to make a plot of characteristic information. The diagram can be called a shmoo plot.

도 31의 각 단계는 스캔 테스트 시간 최소화 장치뿐만 아니라 컴퓨터 등의 다른 장치에 의해 수행될 수도 있다.Each step of FIG. 31 may be performed by another apparatus such as a computer as well as a scan test time minimizing apparatus.

도 32는 본 발명의 최소한 하나의 실시예에 따른 스캔 테스트 시간 최소화 방법에서 정상적인 쉬프트인을 파악하는 구체적인 과정의 일 실시 예를 도시한 흐름도이다. 즉, 도 32는 도 31의 S3130 단계에 대응될 수 있으나, 도 31의 특정 단계로 한정되는 것은 아니며, 스캔 경로에 쉬프트인이 정상적으로 되는지 판정하거나 결정하는 과정을 포함한 다양한 실시 예에 적용될 수 있다.FIG. 32 is a flowchart illustrating a specific procedure for determining a normal shift-in in the scan test time minimization method according to at least one embodiment of the present invention. That is, FIG. 32 corresponds to step S3130 of FIG. 31, but is not limited to the specific step of FIG. 31, and can be applied to various embodiments including a process of determining or determining whether a shift in is normal in the scan path.

도 12 및 도 32를 함께 참조하면, 스캔 테스트 시간 최소화 장치는 현재 선택된 쉬프트 주파수 결정하고자 하는 대상 스캔 섹션 K(1204)의 앞에 위치한 입력 패턴 K-1(1202)을 스캔 경로(1210)에 쉬프트인한다(S3200). 예를 들어, 입력 패턴 K-1(1202)은 대상 스캔 섹션 K(1204)을 포함하는 스캔 패턴 M의 앞에 위치하며, 다음과 같은 (1) 또는 (2)의 예가 있을 수 있다.12 and 32, the apparatus for minimizing the scan test time shifts the input pattern K-1 1202 located in front of the target scan section K 1204 to be shifted to the scan path 1210, (S3200). For example, the input pattern K-1 1202 is located in front of the scan pattern M that includes the target scan section K 1204, and may have the following example (1) or (2).

(1) 입력 패턴 K-1(1202)이 실제 스캔 테스트에 사용되는 스캔 패턴인 경우(1) When the input pattern K-1 1202 is a scan pattern used in an actual scan test

스캔 테스트 시간 최소화 장치는 스캔 패턴 M-1을 스캔 경로에 쉬프트하고 스캔 캡쳐하는 과정을 수행한다. 이 경우 실제 스캔 테스트 동작을 반영할 수 있는 장점이 있다. 여기서, 스캔 패턴 M-1은 대상 스캔 섹션 K를 포함하는 스캔 패턴 M의 앞에 위치하는 패턴이다.The scan test time minimizing device shifts the scan pattern M-1 to the scan path and performs scan capture. In this case, there is an advantage that the actual scan test operation can be reflected. Here, the scan pattern M-1 is a pattern located in front of the scan pattern M including the target scan section K.

(2) 스캔 패턴 M-1이 실제 스캔 테스트에 사용되는 스캔 패턴 M-1을 사용한 스캔 테스트 결과로서 예측되는 출력 패턴인 경우(2) When the scan pattern M-1 is an output pattern predicted as a scan test result using the scan pattern M-1 used in the actual scan test

스캔 테스트 시간 최소화 장치는 스캔 패턴 M-1을 스캔 경로에 쉬프트한 후에 별도의 스캔 캡쳐 과정을 수행할 필요가 없어진다. 따라서, 이 경우 스캔 캡쳐를 위한 클락에 소요되는 시간을 줄일 수 있고, 따라서 최적의 쉬프트 주파수를 찾는데 소요되는 시간을 줄일 수 있다.The scan test time minimizing device does not need to perform a separate scan capture process after shifting the scan pattern M-1 to the scan path. Therefore, in this case, it is possible to reduce the time required for the clock for the scan capture, thereby reducing the time required for finding the optimal shift frequency.

스캔 테스트 시간 최소화 장치는 입력 패턴 K-1(1202)을 스캔 경로에 쉬프트(S3200) 한 후에 스캔 캡쳐 동작을 수행한다. 다른 실시 예로, 스캔 캡쳐 동작을 수행하지 하지 않을 수 있다. 그 다음에, 스캔 테스트 시간 최소화 장치는 대상 스캔 섹션 K(1204)를 증감된 쉬프트 주파수로 스캔 경로에 쉬프트인한다(S3210). 만약 대상 스캔 섹션 K(1204)가 도 14와 같이 스캔 패턴 M의 일부인 경우, 대상 스캔 섹션 K(1204)를 포함하는 스캔 패턴 M을 스캔 경로에 쉬프트인한다.The scan test time minimizing device performs a scan capture operation after shifting the input pattern K-1 1202 to the scan path (S3200). In another embodiment, the scan capture operation may not be performed. Next, the scan test time minimizing device shifts the target scan section K (1204) to the scan path at the increased shift frequency (S3210). If the target scan section K (1204) is part of the scan pattern M as shown in Fig. 14, the scan pattern M including the target scan section K (1204) is shifted to the scan path.

이때 대상 스캔 섹션 K(1204) 또는 대상 스캔 섹션 K(1204)를 포함하는 스캔 패턴 M이 스캔 경로에 쉬프트인되면서 스캔 경로 상에 저장된 비트 패턴은 동시에 쉬프트아웃 된다(S3210). 여기서, 쉬프트아웃 되는 비트 패턴은 상기 예에 한정되지 않으며, 스캔 경로에 대해 쉬프트인과 쉬프트아웃 동작이 동시에 수행될 수 있는 스캔 회로의 종류에 따라 다양할 수 있다.At this time, the scan pattern M including the target scan section K (1204) or the target scan section K (1204) is shifted to the scan path, and the bit pattern stored on the scan path is simultaneously shifted out (S3210). Here, the bit pattern shifted out is not limited to the above example, and may vary according to the type of the scan circuit in which shift in and shift out operations with respect to the scan path can be performed simultaneously.

예를 들어, 대상 스캔 섹션 K(1204)가 도 14와 같이 스캔 패턴 M의 일부로서 스캔 경로의 길이보다 짧은 경우, 대상 스캔 섹션 K(1204)를 포함하는 스캔 패턴 M을 스캔 경로에 쉬프트인한다. 이때 결정 대상 스캔 섹션 K(1204)를 제외한 스캔 패턴 M의 나머지 부분의 쉬프트 주파수는 대상 스캔 섹션 K(1204)의 최적 쉬프트 주파수를 찾는데 제약을 주지 않도록 한다. 이를 위해 스캔 패턴 M에서 대상 스캔 섹션 K(1204)의 부분을 제외한 나머지 부분의 쉬프트 주파수는 대상 스캔 섹션 K(1204)의 쉬프트 주파수와 함께 증감되지 않도록 하거나 대상 스캔 섹션 K(1204)와 상이한 주파수를 사용할 수 있다. 또는 스캔 패턴 M에서 대상 스캔 섹션 K(1204)의 부분을 제외한 나머지 부분의 쉬프트 주파수는 대상 스캔 섹션 K(1204)를 제외한 나머지 부분을 스캔 경로에 정상적으로 입력할 수 있는 쉬프트 주파수를 사용할 수 있다.For example, if the target scan section K (1204) is shorter than the length of the scan path as a part of the scan pattern M as shown in Fig. 14, the scan pattern M including the target scan section K (1204) is shifted to the scan path . At this time, the shift frequency of the remaining portion of the scan pattern M excluding the determination target scan section K (1204) does not restrict the search for the optimal shift frequency of the target scan section K (1204). For this purpose, the shift frequency of the remaining portion of the scan pattern M excluding the portion of the target scan section K (1204) is set so as not to be increased or decreased along with the shift frequency of the target scan section K (1204) Can be used. Alternatively, the shift frequency of the remaining portion of the scan pattern M excluding the portion of the target scan section K 1204 may be a shift frequency capable of normally inputting the remaining portion excluding the target scan section K 1204 in the scan path.

본 발명의 최소한 하나의 실시예에서, 대상 스캔 섹션 K(1204)를 제외한 부분의 쉬프트 주파수는 명목 쉬프트 주파수 이하 또는 본 발명의 실시 예에 따른 방법을 통해 최적의 쉬프트 주파수가 이미 결정된 경우에는 해당하는 최적의 쉬프트 주파수 이하와 같이 기 설정된 쉬프트 주파수를 사용할 수 있다. 기 설정된 쉬프트 주파수는 명목 쉬프트 주파수를 조정한 값이거나 프로그램에 의해 장치에 설정된 값 또는 사용자가 설정한 값이 될 수 있는 등 실시 예에 따라 다양하게 변경 가능하며 위 예에 반드시 한정되는 것은 아니다.In at least one embodiment of the present invention, the shift frequency of the portion excluding the target scan section K (1204) is less than or equal to the nominal shift frequency, or, if the optimal shift frequency has already been determined through the method according to an embodiment of the present invention, Optimum Shift Frequency You can use a predefined shift frequency as follows. The predetermined shift frequency may be a value obtained by adjusting the nominal shift frequency, a value set in the device by the program, or a value set by the user, and the present invention is not limited to the above example.

스캔 테스트 시간 최소화 장치는 테스트 대상 칩의 입력 패턴 K-1의 출력 패턴 K-1과 예측 패턴 K-1이 동일한지 비교한다(S3220). 만약 출력 패턴 K-1과 예측 패턴 K-1이 동일하지 않으면(S3220), 스캔 테스트 시간 최소화 장치는 현 쉬프트 주파수로 대상 스캔 섹션 K(1204)를 스캔 경로에 정상적으로 쉬프트인 할 수 없다고 판정 또는 결정한다(S3270). 예를 들어, 스캔 테스트 시간 최소화 장치는 테스트 실패의 정보를 컴퓨터로 읽을 수 있는 기록 매체에 저장할 수 있다.The scan test time minimizing apparatus compares the output pattern K-1 of the input pattern K-1 of the chip under test with the predicted pattern K-1 (S3220). If the output pattern K-1 is not the same as the predicted pattern K-1 (S3220), the scan test time minimizing device determines or determines that the target scan section K (1204) can not be shifted normally into the scan path at the current shift frequency (S3270). For example, the scan test time minimization device may store information of a test failure on a computer readable recording medium.

입력 패턴 K-1의 출력 패턴 K-1과 예측 패턴 K-1이 동일하면(S3220), 스캔 테스트 시간 최소화 장치는 대상 스캔 섹션 K(1204)에 대한 스캔 캡쳐(S3230) 동작을 수행한 다음에 쉬프트아웃(S3240) 동작을 수행한다. 다른 실시 예로, 스캔 캡쳐(S3230) 동작을 수행하지 않고 쉬프트아웃(S3240) 동작을 수행할 수 있다. 또한, 쉬프트아웃(S3240) 되는 비트 패턴은 스캔 경로에 대해 쉬프트인과 쉬프트아웃 동작이 동시에 수행될 수 있는 스캔 회로의 종류에 따라 다양할 수 있다.If the output pattern K-1 of the input pattern K-1 is the same as the predicted pattern K-1 (S3220), the scan test time minimizing apparatus performs the scan capture (S3230) operation on the target scan section K 1204 Shift-out operation S3240. In another embodiment, the shift-out operation S3240 may be performed without performing the scan capture operation S3230. In addition, the bit pattern shifted out (S3240) may vary according to the type of scan circuit in which shift in and shift out operations for the scan path can be performed at the same time.

대상 스캔 섹션 K(1204)에 대한 출력 패턴이 쉬프트아웃(S3240) 될 때 동시에 쉬프트인되는 입력 패턴 K+1(1206)에는 쉬프트아웃(S3240) 되는 대상 스캔 섹션 K(1204)의 비트 패턴이 의도하지 않게 바뀌지 않도록 하는 쉬프트 주파수가 사용된다. 즉, 쉬프트아웃(S3240) 동작이 정상적으로 수행될 수 있는 쉬프트 주파수가 사용된다. 또한 대상 스캔 섹션 K(1204)의 쉬프트아웃(S3240) 동작이 수행될 때 동시에 쉬프트인되는 입력 패턴 K+1(1206)은 스캔 경로에 정상적으로 쉬프트인 될 수 있는 쉬프트 주파수가 사용된다.The bit pattern of the target scan section K 1204 shifted out (S3240) to the input pattern K + 1 (1206) shifted at the same time when the output pattern for the target scan section K 1204 shifts out (S3240) A shift frequency is used so as not to be changed. That is, a shift frequency at which shift-out operation S3240 can be performed normally is used. Also, when the shift-out operation S3240 of the target scan section K 1204 is performed, a shift frequency that can be shifted normally in the scan path is used for the input pattern K + 1 1206 shifted at the same time.

스캔 테스트 시간 최소화 장치는 테스트 대상 칩의 대상 스캔 섹션 K(1204)의 출력 패턴 K가 예측 패턴 K와 동일한지 비교한다(S3250). 만약 대상 스캔 섹션 K(1204)의 출력 패턴 K와 예측 패턴 K가 동일하지 않으면(S3250), 스캔 테스트 시간 최소화 장치는 현재 사용된 쉬프트 주파수로 대상 스캔 섹션 K(1204)를 스캔 경로에 정상적으로 쉬프트인 할 수 없다고 판정 또는 결정한다(S3270). 예를 들어, 스캔 테스트 시간 최소화 장치는 테스트 실패의 정보를 컴퓨터로 읽을 수 있는 기록 매체에 저장할 수 있다.The scan test time minimizing apparatus compares the output pattern K of the target scan section K (1204) of the chip to be tested with the predicted pattern K (S3250). If the output pattern K of the target scan section K (1204) is not the same as the predicted pattern K (S3250), the scan test time minimizing apparatus normally shifts the target scan section K (1204) (S3270). For example, the scan test time minimization device may store information of a test failure on a computer readable recording medium.

대상 스캔 패턴 K(1204)의 출력 패턴 K와 예측 패턴 K가 동일하면(S3250), 스캔 테스트 시간 최소화 장치는 현재 사용된 쉬프트 주파수로 대상 스캔 섹션 K(1204)를 스캔 경로에 정상적으로 쉬프트인 할 수 있다고 판정 또는 결정한다(S3260). 예를 들어, 스캔 테스트 시간 최소화 장치는 테스트 정상의 정보를 컴퓨터로 읽을 수 있는 기록 매체에 저장할 수 있다.If the output pattern K of the target scan pattern K 1204 is the same as the predicted pattern K (S3250), the scan test time minimizing device can normally shift the target scan section K 1204 to the scan path at the currently used shift frequency (S3260). For example, the scan test time minimization device may store the test normal information on a computer readable recording medium.

본 발명의 최소한 하나의 실시예에서, 대상 스캔 섹션 K(1204)를 포함하는 스캔 패턴뿐만 아니라 그 앞에 위치하는 스캔 패턴에 대한 칩의 출력 패턴 또한 예측 패턴과 비교하여 대상 스캔 섹션 K(1204)의 사용 가능한 쉬프트 주파수 또는 최적의 쉬프트 주파수를 찾을 수 있다.In at least one embodiment of the present invention, not only the scan pattern including the target scan section K (1204) but also the output pattern of the chip with respect to the scan pattern positioned in front of the target scan section K (1204) You can find the available shift frequency or the optimal shift frequency.

본 발명의 최소한 하나의 실시예에서, 테스트 장치는 대상 스캔 섹션 K(1204)와 그 앞에 위치하는 입력 패턴 K-1(1202)에 대한 스캔 테스트 결과가 모두 정상인지 판정 또는 결정한다. 그리고 모두 테스트 정상이면, 대상 스캔 섹션 K(1204)에 사용된 쉬프트 주파수는 대상 스캔 섹션 K(1204)를 스캔 경로에 정상적으로 쉬프트인 가능한 쉬프트 주파수이다.In at least one embodiment of the present invention, the test apparatus determines or determines whether the scan test results for the target scan section K (1204) and the input pattern K-1 (1202) preceding it are both normal. And if both are test normal, the shift frequency used in the target scan section K (1204) is the shift frequency at which the target scan section K (1204) can be normally shifted to the scan path.

도 33은 본 발명의 최소한 하나의 실시예에 따른 스캔 테스트 시간 최소화 방법의 다른 일 예를 도시한 흐름도이다.33 is a flowchart illustrating another example of a scan test time minimization method according to at least one embodiment of the present invention.

칩 제조 공정(process)의 종류 및 상태에 따라 서로 다른 웨이퍼 상의 IC 칩들 간 또는 동일 웨이퍼 상의 IC 칩들 간의 공정 차이(process variation)가 있을 수 있으며, 이는 IC 칩의 동작 주파수 및 전력 소모 등에 많은 영향을 미칠 수 있다. 특히 미세공정 및 저전력 공정에서는 더 많은 영향을 미친다.There may be a process variation between IC chips on different wafers or between IC chips on the same wafer depending on the type and state of the chip manufacturing process. This may affect the operation frequency and power consumption of the IC chip I can go crazy. Especially in micro and low power processes.

도 33을 참조하면, 스캔 테스트 시간 최소화 장치는 복수의 칩에 대해 앞서 살핀 스캔 섹션별 최적의 주파수를 결정하는 과정을 수행한다(S3300). 여기서 복수의 칩은 동일 웨이퍼 상의 IC 칩이거나 서로 다른 웨이퍼 상의 IC 칩일 수 있으며, 미리 양품으로 검사된 칩일 수 있다.Referring to FIG. 33, in step S3300, the apparatus for minimizing scan test time determines a frequency optimum for each scan section for a plurality of chips. Here, the plurality of chips may be IC chips on the same wafer or IC chips on different wafers, and may be chips previously tested with good products.

스캔 테스트 시간 최소화 장치는 어느 한 스캔 섹션에 대해 복수의 IC 칩을 통해 파악한 복수의 최적의 쉬프트 주파수들 중 가장 낮은 쉬프트 주파수 이하를 해당 스캔 섹션의 최적의 쉬프트 주파수로 결정하거나, 쉬프트 주파수를 결정할 수 있는 정보를 컴퓨터로 읽을 수 있는 기록매체에 저장할 수 있으며(S3310), 이를 각 스캔 섹션에 대해 수행할 수 있다. 여기서, 기록매체에 저장되는 정보의 일 예로, 각 쉬프트 주파수에 대한 쉬프트 또는 테스트의 성공(pass) 또는 실패(fail)에 관한 정보일 수 있다.The scan test time minimizing apparatus may determine an optimal shift frequency of the scan section to be the lowest shift frequency among a plurality of optimal shift frequencies obtained through a plurality of IC chips for a certain scan section, The information can be stored in a computer-readable recording medium (S3310), and can be performed for each scan section. Here, an example of the information stored in the recording medium may be information on a shift or test pass or failure for each shift frequency.

예를 들어, 제1 칩의 대상 스캔 섹션 K의 쉬프트 주파수가 A이고, 제2 칩의 대상 스캔 섹션 K의 쉬프트 주파수가 B라고 하자. 쉬프트 주파수 A가 쉬프트 주파수 B 보다 작다면, 테스트 장치는 대상 스캔 섹션 K의 쉬프트 주파수로 A 또는 그 이하를 선택하거나, 선택할 수 있는 정보를 컴퓨터로 읽을 수 있는 기록매체에 저장할 수 있다.For example, assume that the shift frequency of the target scan section K of the first chip is A and the shift frequency of the target scan section K of the second chip is B. If shift frequency A is less than shift frequency B, the test apparatus may select A or less at the shift frequency of target scan section K, or may store selectable information on a computer readable recording medium.

도 33의 각 단계는 스캔 패턴 집합과 복수의 칩에 대해 각 스캔 섹션별로 파악된 쉬프트 주파수 정보를 사용하여 스캔 테스트 시간 최소화 장치뿐만 아니라 컴퓨터 등의 다른 장치에서 수행될 수도 있다.Each step of FIG. 33 may be performed in another apparatus such as a computer as well as a scan test time minimizing apparatus using a set of scan patterns and shift frequency information obtained for each scan section for a plurality of chips.

도 34는 본 발명의 최소한 하나의 실시예에 따른 스캔 테스트 시간 최소화 장치의 구성을 도시한 블록도이다.34 is a block diagram illustrating a configuration of an apparatus for minimizing scan test time according to at least one embodiment of the present invention.

도 34의 스캔 테스트 시간 최소화 장치는 각 스캔 섹션의 쉬프트 주파수 최적화를 위해 전술한 본 발명의 방법을 수행할 수 있으며, 본 발명의 최소한 하나의 실시예에서, 도 12 내지 도 33의 방법의 일부 또는 전체를 적용할 수 있다.The scan test time minimizing device of FIG. 34 may perform the method of the present invention described above for shift frequency optimization of each scan section, and in at least one embodiment of the present invention, The whole can be applied.

도 34를 참조하면, 스캔 테스트 시간 최소화 장치는 조건 설정부(3400), 패턴 분할부(3405), 패턴 입력부(3410), 패턴 비교부(3420) 및 주파수 파악부(3430)를 포함한다. 조건 설정부(3400)는 주파수 증감부(3402), 공급전압 증감부(3404), 온도 증감부(3406) 등을 포함한다.34, the scan test time minimizing apparatus includes a condition setting unit 3400, a pattern dividing unit 3405, a pattern inputting unit 3410, a pattern comparing unit 3420, and a frequency determining unit 3430. The condition setting unit 3400 includes a frequency adjuster 3402, a supply voltage adjuster 3404, a temperature adjuster 3406, and the like.

먼저, 조건 설정부(3400)는 스캔 섹션별 최적의 쉬프트 주파수를 찾기 위한 각종 조건을 설정한다. 구체적으로 주파수 증감부(3402)는 쉬프트 주파수를 증감하고, 공급전압 증감부(3404)는 칩에 공급되는 전압을 증감하고, 온도 증감부(3406)는 테스트 환경의 주변 온도를 증감한다. 조건 설정부(3400)는 공급 전압, 주변 온도 등의 조건을 설정하며 쉬프트 주파수를 증감시킬 수 있다. 예를 들어, 조건 설정부(3400)는 호스트 컴퓨터(200, 300), 테스터 본체(210, 310), 테스트 헤드(220, 320) 또는 프로버(350) 등에 구비될 수 있다.First, the condition setting unit 3400 sets various conditions for finding the optimal shift frequency for each scan section. Specifically, the frequency adjuster 3402 increases or decreases the shift frequency, the supply voltage adjuster 3404 increases or decreases the voltage supplied to the chip, and the temperature adjuster 3406 increases or decreases the ambient temperature of the test environment. The condition setting unit 3400 sets conditions such as the supply voltage and the ambient temperature, and can increase or decrease the shift frequency. For example, the condition setting unit 3400 may be provided in the host computers 200 and 300, the tester main bodies 210 and 310, the test heads 220 and 320, the prober 350, and the like.

패턴 분할부(3405)는 하나 이상의 스캔 패턴을 복수의 스캔 섹션으로 분할 할 수 있다. 예를 들어, 패턴 분할부(3405)는 호스트 컴퓨터(200, 300), 테스터 본체(210, 310), 테스트 헤드(220, 320) 또는 프로버(350) 등에 구비될 수 있다. 패턴 분할부(3405)는 도 5 내지 도 10에 도시된 방법을 이용하여 테스트 데이터를 적어도 하나 이상의 스캔 섹션으로 분할할 수 있다.The pattern division unit 3405 may divide one or more scan patterns into a plurality of scan sections. For example, the pattern dividing unit 3405 may be provided in the host computers 200 and 300, the tester bodies 210 and 310, the test heads 220 and 320, the prober 350, and the like. The pattern division unit 3405 may divide the test data into at least one scan section using the method shown in FIGS.

패턴 입력부(3410)는 조건 설정부(3400)에서 설정된 조건에서 스캔 섹션을 테스트 대상 칩의 스캔 경로에 쉬프트인되도록 한다. 보다 구체적으로 패턴 입력부(3410)는 최적의 스캔 쉬프트 주파수를 찾고자 하는 스캔 섹션의 앞과 뒤에 각각 위치한 스캔 패턴 또는 스캔 섹션을 쉬프트 주파수 결정 대상 스캔 섹션과 함께 순차적으로 스캔 경로에 쉬프트인되도록 할 수 있다. 예를 들어, 패턴 입력부(3410)는 호스트 컴퓨터(200, 300), 테스터 본체(210, 310), 테스트 헤드(220, 320) 또는 프로버(350) 등에 구비될 수 있다.The pattern input unit 3410 shifts the scan section to the scan path of the test target chip under the condition set by the condition setting unit 3400. [ More specifically, the pattern input unit 3410 may sequentially shift the scan patterns or scan sections, which are located before and after the scan section for which the optimum scan shift frequency is to be searched, along with the scan frequency determination target scan section sequentially in the scan path . For example, the pattern input unit 3410 may be provided in the host computers 200 and 300, the tester main bodies 210 and 310, the test heads 220 and 320, the prober 350, and the like.

패턴 비교부(3420)는 패턴 입력부(3410)에 의해 테스트 대상 칩에 쉬프트인된 스캔 섹션의 의한 테스트 결과가 쉬프트아웃 된 출력 패턴이 예측 패턴과 동일한지 비교한다. 예를 들어, 패턴 비교부(3420)는 호스트 컴퓨터(200, 300), 테스터 본체(210, 310), 테스트 헤드(220, 320) 또는 프로버(350) 등에 구비될 수 있다. 조건 설정부(3400)에 의한 쉬프트 주파수의 증감에 따라 출력 패턴과 예측 패턴이 동일하다가 상이해 지거나 상이하다가 동일해지는 시점 또는 주파수가 존재할 수 있다.The pattern comparison unit 3420 compares the output pattern shifted out of the test result by the scan section shifted to the chip under test by the pattern input unit 3410 to determine whether the output pattern is the same as the predicted pattern. For example, the pattern comparator 3420 may be included in the host computers 200 and 300, the tester main bodies 210 and 310, the test heads 220 and 320, the prober 350, and the like. There may be a point in time or a frequency at which the output pattern and the predicted pattern are the same but different or different from each other as the shift frequency is increased or decreased by the condition setting unit 3400. [

주파수 파악부(3430)는 패턴 비교부(3420)에 의한 비교결과 정보 또는 비교 결과를 이용하여 출력 패턴이 예측 패턴과 상이해지기 전의 쉬프트 주파수 또는 동일한 쉬프트 주파수를 찾기 위한 쉬프트 주파수 정보를 컴퓨터로 읽을 수 있는 기록매체에 저장할 수 있다. 예를 들어, 스캔 섹션에 대해 정상적으로 사용 가능한 쉬프트 주파수 정보를 컴퓨터로 읽을 수 있는 기록매체에 저장할 수 있다. 또한 상기 정보를 이용하여 스캔 섹션의 최적의 쉬프트 주파수를 결정할 수도 있다.Using the comparison result information or the comparison result by the pattern comparing unit 3420, the frequency determining unit 3430 reads the shift frequency before the output pattern is different from the predicted pattern or the shift frequency information for finding the same shift frequency, Lt; RTI ID = 0.0 > media. ≪ / RTI > For example, normally available shift frequency information for the scan section can be stored in a computer-readable recording medium. The information may also be used to determine the optimal shift frequency of the scan section.

본 발명의 최소한 하나의 실시예에서 주파수 파악부(3430)는 적어도 현재 쉬프트 주파수 결정 대상 스캔 섹션 앞에 위치한 스캔 섹션과 결정 대상 스캔 섹션에 대한 출력 패턴 모두 예측 패턴과 동일할 때의 쉬프트 주파수를 결정 대상 스캔 섹션의 사용 가능한 쉬프트 주파수 정보로서 컴퓨터로 읽을 수 있는 기록매체에 저장할 수 있다. 또한 도 34에서 둘 이상의 각 부는 서로 하나의 모듈로 통합되거나 더욱 세분화될 수도 있다. 예를 들어, 주파수 파악부(2030)는 호스트 컴퓨터(200, 300), 테스터 본체(210, 310), 테스트 헤드(220, 320) 또는 프로버(350) 등에 구비될 수 있다.In at least one embodiment of the present invention, the frequency determining unit 3430 determines a shift frequency when at least a scan section located before a current shift frequency determination target scan section and an output pattern for a determination target scan section are the same as a predicted pattern, And can be stored on a computer readable recording medium as available shift frequency information of the scan section. Also, in FIG. 34, two or more parts may be integrated into one module or further subdivided into one module. For example, the frequency determination unit 2030 may be provided in the host computers 200 and 300, the tester main bodies 210 and 310, the test heads 220 and 320, the prober 350, and the like.

앞서 살핀 스캔 테스트 시간 최소화 장치는 하드웨어 또는 소프트웨어 등을 사용하여 다양한 형태로 구현될 수 있다. 또한 스캔 테스트 시간 최소화 장치 전체 또는 일부는 도 2,3에서 살핀 테스트 장치 내에 구현되거나 또는 컴퓨터와 같은 별도의 다른 장치를 사용하여 구현될 수 있다.Minimizing the test time of the scanning test The device may be implemented in various forms using hardware or software. Also, all or part of the scan test time minimizing device may be implemented in a test device as shown in FIGS. 2 and 3, or may be implemented using another separate device such as a computer.

도 35는 복수의 스캔 섹션의 최적의 쉬프트 주파수를 병렬로 찾거나 결정하는 방법의 일 예를 도시한 개념도이다.FIG. 35 is a conceptual diagram showing an example of a method of finding or determining an optimal shift frequency of a plurality of scan sections in parallel. FIG.

도 35를 참조하면, 스캔 테스트 시간 최소화 장치는 복수의 IC 칩 각각에 대해 서로 다른 스캔 섹션의 최적의 쉬프트 주파수를 함께 병렬로(in parallel) 찾거나 결정함으로써 최적의 쉬프트 주파수를 찾거나 결정하는데 소요되는 시간을 줄일 수 있다.Referring to FIG. 35, the apparatus for minimizing the scan test time searches for or determines an optimal shift frequency by searching or determining in parallel the optimal shift frequencies of different scan sections for each of a plurality of IC chips Time can be reduced.

예를 들어, 테스트 장치의 테스트 인터페이스 보드(3500)에 위치한 복수 개의 IC 칩(3510,3512,3514,3516) 각각에 대해 서로 다른 스캔 섹션의 최적의 쉬프트 주파수를 함께 찾거나 결정할 수 있다. 본 발명의 최소한 하나의 실시예에서, 복수의 각각의 테스트 장치 또는 복수의 테스트 인터페이스 보드에서 서로 다른 스캔 섹션의 최적의 쉬프트 주파수를 병렬로(in parallel) 찾거나 결정할 수 있다.For example, an optimal shift frequency of different scan sections may be searched or determined for each of a plurality of IC chips 3510, 3512, 3514, and 3516 located in the test interface board 3500 of the test apparatus. In at least one embodiment of the present invention, an optimal shift frequency of different scan sections may be sought in parallel or determined in a plurality of respective test devices or a plurality of test interface boards.

전체 스캔 섹션에 대해 순차적으로 하나씩 최적의 쉬프트 주파수를 찾거나 결정하는 경우 h 시간이 소요된다면, n개의 스캔 섹션을 병렬로(in parallel) 쉬프트 주파수를 찾거나 결정하면 약 h/n시간으로 소요시간이 절감될 수 있다. 따라서 동일한 시간 이내에 IC 칩을 테스트하기 위한 수천 내지 수 만개 이상의 스캔 패턴들을 더욱 길이가 짧은 스캔 섹션으로 분할하여 최적화할 수 있는 효과가 있을 수 있다.If it takes time h to find or determine the optimal shift frequency one by one for the entire scan section, if the shift frequency is sought or determined in parallel with n scan sections, Can be saved. Accordingly, it is possible to divide and optimize several thousand to several tens of thousands of scan patterns for testing IC chips within the same time period into shorter scan sections.

도 36은 본 발명의 최소한 하나의 실시예에 따른 스캔 테스트 시간 최소화를 위한 스캔 패턴의 재배치 방법의 일 예를 도시한 개념도이다.36 is a conceptual diagram illustrating an example of a method of rearranging scan patterns for minimizing scan test time according to at least one embodiment of the present invention.

도 36을 참조하면, 스캔 테스트를 위한 스캔 패턴 집합상의 스캔 패턴은 일정한 순서를 가진다. 그러나 이러한 스캔 패턴의 순서는 고정적인 것이 아니라 스캔 섹션별로 높은 쉬프트 주파수를 할당하여 전체 스캔 테스트 시간을 줄이기 위하여 재배치될 수 있다. 예를 들어, 도 36과 같이 원본 스캔 패턴 집합상의 2번째 스캔 패턴과 3번째 스캔 패턴의 순서를 바꿀 수 있다. 이에 따라 예측 출력 스캔 패턴의 순서도 바뀐다.Referring to FIG. 36, scan patterns on a set of scan patterns for a scan test have a predetermined order. However, the order of the scan patterns is not fixed but can be rearranged to reduce the entire scan test time by allocating a high shift frequency for each scan section. For example, as shown in FIG. 36, the order of the second scan pattern and the third scan pattern on the original set of scan patterns can be changed. Accordingly, the order of the predicted output scan patterns is also changed.

스캔 경로에 쉬프트되는 스캔 패턴들의 순서를 재배치하는 경우, 스캔 쉬프트에 의해 IC 칩 상에서 회로의 스위칭 되는 부분 및 스위칭 동작 횟수가 변경될 수 있으며, 이에 따라 전력 소모 또한 변경될 수 있으므로 스캔 패턴(또는 스캔 섹션)에 할당할 수 있는 쉬프트 주파수가 높아질 수 있다. 따라서 이러한 성질을 이용하여 스캔 패턴 재배치 후 앞서 살핀 본 발명의 실시 예를 이용하여 스캔 섹션별 최적의 쉬프트 주파수를 찾거나 결정하여 전체적인 스캔 테스트 시간을 더욱 줄일 수 있다.In the case where the order of the scan patterns shifted in the scan path is rearranged, the scan portion of the circuit and the number of switching operations on the IC chip can be changed by the scan shift, Section) can be increased. Therefore, by using the above-described property, the optimal scan frequency for each scan section can be found or determined by using the embodiment of the present invention, which is described above after rearranging the scan pattern, thereby further reducing the overall scan test time.

스캔 패턴들의 재배치 방법으로, 한 번 이상 원본 스캔 패턴 집합상의 스캔 패턴들을 임의 재배치하고, 각각의 재배치된 스캔 패턴 집합에 대해 앞서 살핀 실시 예에 따라 최적의 쉬프트 주파수를 파악하여 스캔 테스트 시간이 가장 적게 소요되는 것을 스캔 패턴의 배치로 결정할 수 있다. 또 다른 실시 예로, 스캔 패턴간 비트 패턴 차이가 가장 적은 스캔 패턴을 서로 이웃하게 배치하는 등 다양한 방법이 있다.In the method of rearranging scan patterns, the scan patterns on the original set of scan patterns are arbitrarily rearranged one or more times, and the optimum shift frequency is grasped according to the preceding embodiment for each set of rearranged scan patterns, It is possible to determine what is required by the arrangement of the scan patterns. In another embodiment, there are various methods such as arranging the scan patterns having the smallest bit pattern difference between the scan patterns adjacent to each other.

스캔 패턴 재배치의 또 다른 예로서, K(1 이상 정수) 번째 스캔 패턴 다음에 순서가 결정되지 아니한 스캔 패턴들을 순차적으로 위치시키면서 앞서 살핀 최적의 쉬프트 주파수를 찾는 방법을 사용하여 가장 높은 쉬프트 주파수를 가질 수 있는 스캔 패턴을 K 번째 스캔 패턴의 다음 패턴으로 결정할 수 있다.As another example of the scan pattern rearrangement, a method of locating scan patterns that are not sequentially followed by K (one or more integer) scan patterns and successively finding an optimal shift frequency to search for is used to obtain the highest shift frequency The scan pattern can be determined as the next pattern of the Kth scan pattern.

스캔 패턴의 순서를 재배치하는 동작의 일부 또는 전체는 테스트 장치에 구비된 프로세서와 같은 하드웨어와 펌웨어 또는 소프트웨어에 의해 수행되거나 또는 컴퓨터와 같은 별도의 다른 장치에서 수행될 수 있다.Some or all of the operations of rearranging the order of the scan patterns may be performed by firmware and / or software, such as a processor included in the test apparatus, or may be performed in another separate apparatus such as a computer.

또한 최적의 스캔 패턴 배치를 찾는데 있어서 많은 시간이 소요될 수 있는 경우, 최적의 스캔 패턴 배치를 찾기 위해 최대 시도할 수 있는 스캔 패턴 재배치 횟수 또는 소요 시간 등의 제약사항을 둘 수 있다.Also, when it takes a long time to find the optimal scan pattern arrangement, constraints such as the number of scan pattern relocation times or the time required to try to find the optimal scan pattern arrangement can be set.

또한, 본 발명의 최소한 하나의 실시예에서는 적어도 둘 이상의 각 테스트 데이터의 최적의 주파수를 이용하여 IC 칩의 스트레스 테스트 또는 번인(burn-in) 테스트 시간을 감소시키거나 테스트 품질을 높일 수 있다. 본 발명의 최소한 하나의 실시예에서 적어도 둘 이상의 각각의 스캔 패턴 또는 스캔 섹션에 최적의 쉬프트 주파수를 이용하여 IC 칩의 스트레스 테스트 또는 번인(burn-in) 테스트 시간을 감소시키거나 테스트 품질을 높일 수 있다. 각 스캔 패턴 또는 스캔 섹션에 대한 최적의 쉬프트 주파수는 본 발명의 최소한 하나의 실시예에 따른 스캔 테스트 시간 최소화 방법으로 찾을 수 있다.Also, in at least one embodiment of the present invention, the optimal frequency of at least two respective test data may be used to reduce the stress test or burn-in test time of the IC chip or to increase the test quality. In at least one embodiment of the present invention, the optimal shift frequency for each of at least two respective scan patterns or scan sections may be used to reduce the stress test or burn-in test time of the IC chip or to increase the test quality have. The optimal shift frequency for each scan pattern or scan section can be found by minimizing the scan test time according to at least one embodiment of the present invention.

여기서 스트레스 테스트 또는 번인 테스트란 일반적으로 IC 칩을 오랜 시간 동안 동작 시켜 IC 칩에 스트레스를 주거나 높은 전압과 고온을 IC 칩에 가하여 노후화(aging)를 가속시킴으로써 IC 칩의 품질을 테스트하거나 초기 불량(early-life failure) IC 칩을 발견하는 것이다. 일반적으로 100가 넘는 고온 환경에서 수십 시간 이상을 번인 테스트한다. 이하 스트레스 테스트 또는 번인 테스트를 통칭하여 번인 테스트라고 한다. 또한 이러한 번인 테스트를 수행할 수 있는 테스트 장치를 번인 테스트 장치 라고도 부른다.Here, a stress test or a burn-in test generally tests the quality of an IC chip by accelerating aging by applying stress to the IC chip or applying a high voltage and a high temperature to the IC chip by operating the IC chip for a long time, -life failure) to find an IC chip. Generally, burn-in tests are conducted for several hours or more in a high-temperature environment of 100 or more. Hereinafter, a stress test or a burn-in test is collectively referred to as a burn-in test. A test apparatus capable of performing such a burn-in test is also called a burn-in test apparatus.

IC 칩의 노후화는 발열에 의해 많은 영향을 받으며, 발열은 IC 칩의 전력소모에 의해 많은 영향을 받는다.The deterioration of the IC chip is greatly affected by the heat generation, and the heat generation is greatly influenced by the power consumption of the IC chip.

예를 들어, 아래 식 2는 IC칩의 회로가 동작할 때의 전력 소모인 동적 전력소모(dynamic power dissipation)에 영향을 미치는 주요 요소를 보여준다.For example, Equation 2 below shows the major factors affecting dynamic power dissipation, which is the power consumption of an IC chip circuit.

Figure 112018002784554-pat00002
Figure 112018002784554-pat00002

a: activity factora: activity factor

C: average switched capacitance (at each cycle)C: average switched capacitance (at each cycle)

f: circuit frequencyf: circuit frequency

VDD: supply voltageV DD : supply voltage

IC 칩의 스캔 모드에서 스캔 패턴의 비트 패턴에 따라 활성화되는 IC 칩의 회로 부분이 달라질 수 있다. 일반적으로 IC 칩의 스캔 모드에서 기능 모드 때보다 회로의 더욱 많은 부분에 스위칭 동작(switching activities)이 발생한다. 따라서 스캔 모드에서 수식 2와 같이 평균 스위칭 되는 캐패시턴스 값 C 가 증가하여 전력소모 P가 증가될 수 있다.The circuit portion of the IC chip which is activated according to the bit pattern of the scan pattern in the scan mode of the IC chip may be changed. In general, in the scan mode of the IC chip, switching activities occur in a larger portion of the circuit than in the functional mode. Therefore, in the scan mode, as shown in Equation (2), the average switching capacitance value C increases and the power consumption P can be increased.

또한 쉬프트 주파수가 높아지면 수식 2와 같이 IC 칩 회로의 동작 주파수 f에 비례하여 IC 칩의 전력 소모 P가 증가할 수 있다.Also, if the shift frequency is increased, the power consumption P of the IC chip may increase in proportion to the operating frequency f of the IC chip circuit as shown in Equation (2).

IC 칩의 증가된 스위칭 동작은 IC 칩의 전력 소모를 더욱 증가시켜서 IC 칩의 발열 온도 또한 높이게 된다. 따라서 IC 칩의 노후화가 더욱 가속될 수 있다.The increased switching operation of the IC chip further increases the power consumption of the IC chip, thereby raising the heat generation temperature of the IC chip. Therefore, the deterioration of the IC chip can be further accelerated.

본 발명의 최소한 하나의 실시예에서, 번인 테스트 장치는 번인 테스트 시 노후화를 더욱 가속시켜서 번인 테스트 시간을 줄일 수 있도록 각 테스트 데이터 또는 앞서 살핀 각 스캔 섹션에 할당 가능한 최대 쉬프트 주파수를 사용할 수 있다.In at least one embodiment of the present invention, the burn-in test device may use the maximum shift frequency that can be assigned to each test data or each scan section previously examined to further accelerate aging during burn-in testing to reduce burn-in test time.

예를 들어, 번인 테스트 장치는 IC 칩의 번인 테스트 동안 스캔 패턴 또는 스캔 섹션을 이용하여 번인 테스트를 가속화할 수 있다. 이때 스캔 테스트도 함께 수행될 수 있다.For example, the burn-in test apparatus can accelerate a burn-in test using a scan pattern or scan section during a burn-in test of an IC chip. At this time, a scan test can also be performed.

또한 스캔 쉬프트 동작 시에 명목 쉬프트 주파수를 사용하면 스캔 패턴에 의해 활성화되는 회로 부위 중 일부에는 높은 스트레스가 인가되고 다른 일부에는 상대적으로 낮은 스트레스가 인가될 수 있다. 하지만 일 예로서 테스트 데이터의 스캔 패턴들을 스캔 섹션들로 분할하고, 분할된 각 스캔 섹션에 할당 가능한 최대 쉬프트 주파수를 사용하여 번인 테스트를 수행함으로써 회로상의 특정 부위만 노후화가 빨라지거나 상대적으로 노후화가 느리게 진행되는 현상을 줄일 수 있다.In addition, when the nominal shift frequency is used in the scan shift operation, high stress may be applied to some of the circuit regions activated by the scan pattern and relatively low stress may be applied to the other portions. However, as an example, the scan patterns of the test data are divided into scan sections, and the burn-in test is performed using the maximum shift frequency assignable to each divided scan section, so that only a specific area on the circuit is aged faster or relatively slow The progress can be reduced.

일 예를 들어, 도 41은 테스트 데이터의 스캔 패턴들에 대해 쉬프트 주파수를 최적화하지 않은 경우(4100)와 스캔 패턴들을 스캔 섹션으로 분할하여 쉬프트 주파수를 최적화한 경우(4110)의 스캔 쉬프트 동작 시 동일한 IC 칩의 발열 차이를 보여준다. 즉, 쉬프트 주파수가 최적화되지 않은 테스트 데이터를 사용한 경우(4100) 보다 쉬프트 주파수가 최적화된 스캔 섹션을 사용한 경우(4110)가 보다 균형 있게 높은 발열이 발생된 것을 볼 수 있다.For example, FIG. 41 shows a case where the shift frequency is not optimized (4100) with respect to the scan patterns of the test data, and the case where the scan frequency is optimized (4110) by dividing the scan patterns into scan sections It shows difference of heat of IC chip. That is, it can be seen that, when the test section 4110 in which the shift frequency is not optimized (4100) is used (4110) in which the shift frequency is optimized, a more balanced and high heat generation occurs.

즉, 스캔 패턴의 비트패턴에 의해 활성화되는 IC 칩의 서로 다른 부위에 대해 보다 균형 있게 스트레스를 최대한 인가하여 번인 테스트의 속도뿐만 아니라 품질 또한 향상 시킬 수 있는 효과가 있다. 칩을 테스트하기 위한 테스트 데이터의 각 스캔 섹션의 사용 가능한 최대 주파수를 이용하여 번인 테스트 시간을 줄이거나 품질을 높일 수 있다.That is, it is possible to improve the quality of the burn-in test as well as the burn-in test by applying stress more balanced to different parts of the IC chip activated by the bit pattern of the scan pattern. The burn-in test time can be shortened or the quality can be increased by using the maximum usable frequency of each scan section of the test data for testing the chip.

도 37 및 도 38은 본 발명의 최소한 하나의 실시예에 따른 번인 테스트 장치의 구성을 도시한 블록도이다.37 and 38 are block diagrams showing the configuration of a burn-in test apparatus according to at least one embodiment of the present invention.

도 37 및 도 38를 참조하면, 번인 테스트 장치는 호스트 컴퓨터(3700,3800), 테스터 본체(3710,3810), 테스트 헤드(3720,3820), 인터페이스 보드(3730,3830), 온도 제어부(3760,3870), 챔버(3750,3860) 및 프로버(3850)를 포함할 수 있다.37 and 38, the burn-in test apparatus includes host computers 3700 and 3800, tester bodies 3710 and 3810, test heads 3720 and 3820, interface boards 3730 and 3830, temperature controllers 3760, 3870, chambers 3750, 3860 and a prober 3850.

테스트를 위해 인터페이스 보드에 위치하는 테스트 대상 디바이스(DUT, Device Under Test)는 웨이퍼 상의 IC 또는 패키징된 IC 칩 등이다. DUT가 웨이퍼 상의 IC 칩인 경우 프로버를 더 포함할 수 있다.A device under test (DUT) located on the interface board for testing is an IC on a wafer or a packaged IC chip. If the DUT is an IC chip on a wafer, it may further include a prober.

테스터 본체(3710,3810)는 스캔 테스트와 번인 테스트를 전체적으로 제어할 수 있다. 예를 들어, 테스터 본체는 DUT 테스트를 위한 셋업, DUT 테스트를 위한 전기적 신호의 발생, DUT 테스트 결과 신호의 관측 및 측정, 온도 제어부를 통한 챔버의 온도제어 등의 전반적인 과정을 제어한다. 테스터 본체는 중앙처리장치(CPU), 메모리, 하드 디스크, 사용자 인터페이스 등을 포함하는 컴퓨터로 구현될 수 있다. 실시 예에 따라 DUT에 전원을 공급하는 디바이스 파워 공급장치(Device Power Supply)를 더 포함할 수도 있다. 또한, 테스터 본체는 각종 디지털 신호를 처리하는 신호처리 프로세서(DSP, Digital Signal Processor)(미도시)와 테스트 헤드를 제어하고, DUT(3740,3840)로 신호를 인가하는 제어기 및 신호 생성기 등의 전용 하드웨어, 소프트웨어 또는 펌웨어 등을 포함할 수 있다. 테스터 본체는 메인 프레임 또는 서버라고 불리기도 한다.The tester bodies 3710 and 3810 can control the scan test and the burn-in test as a whole. For example, the tester body controls overall processes such as setup for DUT testing, generation of electrical signals for DUT testing, observation and measurement of DUT test result signals, and temperature control of chamber through temperature controller. The tester body may be implemented as a computer including a central processing unit (CPU), a memory, a hard disk, a user interface, and the like. And may further include a device power supply that supplies power to the DUT according to an embodiment. In addition, the tester main body includes a signal processing processor (DSP) (not shown) for processing various digital signals, a controller for controlling the test head, a controller for applying signals to the DUTs 3740 and 3840, and a signal generator Hardware, software, firmware, and the like. The tester body is also called a mainframe or server.

호스트 컴퓨터(3700,3800)는 퍼스널 컴퓨터, 워크스테이션 등과 같은 컴퓨터일 수 있으며, 사용자가 테스트 프로그램을 실행시키고 테스트 과정을 제어하며 테스트 결과를 분석할 수 있도록 하는 장치이다. 일반적으로 호스트 컴퓨터는 중앙 처리장치, 메모리 또는 하드 디스크와 같은 저장장치, 사용자 인터페이스 등과 같은 구성을 포함할 수 있으며, 테스터 본체와 유선 또는 무선 통신으로 연결될 수 있다. 호스트 컴퓨터는 테스트를 제어하기 위한 전용 하드웨어, 소프트웨어, 펌웨어 등을 포함할 수 있다. 본 실시 예는 호스트 컴퓨터와 테스터 본체를 구분하여 도시하였으나, 호스트 컴퓨터와 테스터 본체는 하나의 장치로 구현될 수 있다.The host computer 3700, 3800 can be a computer such as a personal computer, a workstation, or the like, and is a device that enables a user to execute a test program, control a test process, and analyze test results. In general, the host computer may include a central processing unit, a storage unit such as a memory or a hard disk, a user interface, and the like, and may be connected to the tester body by wire or wireless communication. The host computer may include dedicated hardware, software, firmware, etc. to control the test. Although the host computer and the tester main body are shown separately from each other in this embodiment, the host computer and the tester main body can be realized as a single device.

테스터 본체 또는 호스트 컴퓨터의 메모리의 일 예로 DRAM, SRAM, 플래쉬 메모리 등이 사용될 수 있으며, 메모리에는 DUT 테스트를 수행하기 위한 프로그램과 데이터가 저장될 수 있다.As an example of the memory of the tester main body or the host computer, a DRAM, an SRAM, a flash memory, or the like can be used, and programs and data for performing the DUT test can be stored in the memory.

테스터 본체 또는 호스트 컴퓨터의 소프트웨어 또는 펌웨어는 번인 테스트 또는 스캔 테스트를 위한 디바이스 드라이버 프로그램, 운영체제(OS, Operating System) 프로그램, DUT 테스트를 수행하는 프로그램이다. 프로그램은, 일 예로, DUT 테스트를 위한 셋업, DUT 테스트를 위한 신호의 발생, DUT 테스트 결과 신호의 관측 분석 등의 수행을 위한 명령 코드(instruction code) 형태로 메모리에 저장되어 중앙 처리장치에 의해 수행될 수 있다. 따라서 스캔 테스트 패턴은 이러한 프로그램에 의해 DUT로 인가될 수 있다. 또한 DUT 테스트 및 테스트 결과에 대한 리포팅 및 분석 데이터를 프로그램을 통해 자동 수행하여 얻을 수 있다. 프로그램에 사용되는 언어는 C, C++, 자바(java) 등 다양한 언어가 사용될 수 있다. 프로그램은 하드디스크, 자기 테이프 또는 플래시 메모리 등과 같은 저장장치에 저장될 수 있다.Software or firmware of the tester main body or host computer is a device driver program, an operating system (OS) program, and a DUT test program for burn-in test or scan test. The program is stored in memory in the form of instruction code for performing, for example, setup for DUT test, generation of a signal for DUT test, observation analysis of DUT test result signal, etc., and is performed by the central processing unit . Thus, the scan test pattern can be applied to the DUT by such a program. It is also possible to obtain the DUT test and the reporting and analysis data of the test result automatically through the program. The language used in the program can be a variety of languages such as C, C ++, and Java. The program may be stored in a storage device such as a hard disk, magnetic tape or flash memory.

테스터 본체 또는 호스트 컴퓨터의 중앙 처리장치는 프로세서로서, 메모리에 저장된 소프트웨어 또는 프로그램의 코드를 실행한다. 예를 들어, 키보드나 마우스 등과 같은 사용자 인터페이스를 통해 사용자 명령을 받으면, 중앙 처리장치는 사용자의 명령을 분석하고 이를 소프트웨어 또는 프로그램을 통해 수행한 후 그 결과를 스피커, 프린터, 모니터 등의 사용자 인터페이스를 통해 사용자에게 제공한다.The tester body or the central processing unit of the host computer is a processor, which executes the code of the software or program stored in the memory. For example, when receiving a user command through a user interface such as a keyboard or a mouse, the central processing unit analyzes the user's command and executes the command through a software or a program, and outputs the result to a user interface such as a speaker, To the user.

테스터 본체 또는 호스트 컴퓨터의 사용자 인터페이스는 사용자와 장치 간에 정보를 주고받고 명령을 전달할 수 있도록 해준다. 예를 들어, 키보드, 터치 스크린, 마우스, 음성인식 장치 등과 같은 사용자 입력을 위한 인터페이스 장치와, 스피커, 프린터, 모니터 등과 같은 출력 인터페이스 장치 등이 있다.The user interface of the tester body or the host computer allows information to be exchanged between the user and the device and to transmit commands. For example, there are an interface device for user input such as a keyboard, a touch screen, a mouse, a voice recognition device and the like, and an output interface device such as a speaker, a printer, and a monitor.

테스트 헤드(3720,3820)는 테스터 본체와 DUT 사이에 전기적 신호 전송을 위한 채널 등을 포함한다. 테스트 헤드 상부에는 인터페이스 보드가 구비된다. 일반적으로, 패키징된 IC 칩 테스트에 사용되는 인터페이스 보드를 로드 보드(load board)라고 하며, 웨이퍼 상의 IC 칩 테스트에 사용되는 인터페이스 보드를 프로브 카드(probe card)라고 한다.The test heads 3720 and 3820 include channels and the like for electrical signal transmission between the tester body and the DUT. An interface board is provided above the test head. Generally, an interface board used for testing a packaged IC chip is called a load board, and an interface board used for testing an IC chip on a wafer is called a probe card.

챔버(3750,3860)는 DUT에 노후화(aging)를 가할 수 있는 공간이다. 챔버는 온도 제어부의 제어에 따라 챔버 내에 위치한 DUT의 온도를 제어한다. 또한 온도 제어부는 테스터 본체 또는 호스트 컴퓨터에 포함될 수 있다. 또한 테스터 본체 또는 호스트 컴퓨터는 DUT에 대한 번인 테스트 시간 또는 공급 전압을 제어할 수 있다.Chambers 3750 and 3860 are spaces that can agitate the DUT. The chamber controls the temperature of the DUT located in the chamber under the control of the temperature control unit. The temperature control unit may be included in the tester body or the host computer. The tester body or host computer can also control the burn-in test time or supply voltage for the DUT.

도 37 및 도 38의 번인 테스트 장치는 본 발명의 이해를 돕기 위한 하나의 예에 지나지 아니하며 각각의 구성을 통합하여 일체형으로 구현하거나, 하나의 구성을 다수의 구성으로 분리하여 구현할 수 있는 등 실시 예에 따라 다양하게 설계 변경 가능하다.37 and 38 are merely examples for facilitating the understanding of the present invention, and each of the configurations may be integrated into one unit, or one unit may be divided into a plurality of units, Various design changes are possible.

또한, 도 37 및 도 38에 도시된 실시 예는 번인 테스트와 스캔 테스트를 동시에 수행하거나, 이 중 하나만을 수행하도록 구현될 수도 있다.37 and 38 may be implemented to perform the burn-in test and the scan test at the same time, or to perform only one of them.

본 발명의 최소한 하나의 실시예에서, 번인 테스트 장치는 앞서 설명한 바와 같이 각 스캔 섹션별 최적의 쉬프트 주파수를 사용하여 번인 테스트를 수행할 수 있다. 본 발명의 최소한 하나의 실시예에서, 이때 칩의 정상 여부를 판단하는 테스트도 함께 수행될 수 있다.In at least one embodiment of the present invention, the burn-in test apparatus can perform the burn-in test using the optimal shift frequency for each scan section as described above. In at least one embodiment of the present invention, a test for determining whether the chip is normal may also be performed.

본 발명의 최소한 하나의 실시예에서, 번인 테스트 장치는 앞서 설명한 바와 같이 각 스캔 패턴 또는 스캔 섹션에 대해 최적 쉬프트 주파수를 사용하여 스캔 테스트와 함께 번인 테스트를 수행할 수 있다. IC 칩은 기능 모드보다 스캔 모드에서 보다 많은 IC 칩 회로 부분에 스위칭 동작이 발생하므로, 스캔 테스트 수행을 통해 노후화를 더욱 가속시켜 번인 테스트 시간을 절약할 수 있다. 또한 분할된 각 스캔 섹션에 할당 가능한 최대 쉬프트 주파수를 사용하여 번인 테스트를 수행하면 번인 테스트 시간을 더욱 줄일 수 있을 뿐만 아니라, 특정 스캔 패턴에 의해 회로상의 특정 부위만 노후화가 빨라지는 현상 또한 줄일 수 있다. 즉 IC 칩에 대해 전체적으로 균형 있게 스트레스를 최대한 인가하여 번인 테스트의 품질 또한 향상시킬 수 있는 효과가 있으며, 최적화된 쉬프트 주파수를 사용하는 스캔 섹션의 길이를 작게 할수록 그 효과는 더욱 높아질 수 있다.In at least one embodiment of the present invention, the burn-in test device may perform a burn-in test with a scan test using an optimal shift frequency for each scan pattern or scan section as described above. Since the switching operation occurs in the IC chip circuit portion of the IC chip in the scan mode rather than in the functional mode, the scan test can be further accelerated to save the burn-in test time. Further, if the burn-in test is performed using the maximum shift frequency assignable to each divided scan section, not only the burn-in test time can be further reduced, but also the phenomenon that the aging is accelerated only in a specific area on the circuit by a specific scan pattern can be reduced . That is, it is possible to improve the quality of the burn-in test by applying the stress to the IC chip in a balanced manner as a whole, and the effect can be further increased as the length of the scan section using the optimized shift frequency is made smaller.

또한, 본 발명은 번인 테스트와 함께 스캔 테스트를 동시에 수행하는 경우에 한정되는 것은 아니며, 번인 테스트시 스캔 패턴을 쉬프팅 하는 과정만 포함하고 스캔 테스트 그 자체는 수행하지 않을 수도 있다.In addition, the present invention is not limited to the case where the scan test is performed simultaneously with the burn-in test, and includes only the process of shifting the scan pattern during the burn-in test, and may not perform the scan test itself.

도 39는 본 발명의 최소한 하나의 실시예에 따라, 단일 스캔 쉬프트 주파수를 이용하여 번인 테스트를 수행하는 경우에 IC 칩에 미치는 온도 영향의 일 예를 도시한 개념도이다.FIG. 39 is a conceptual diagram illustrating an example of the temperature effect on the IC chip when the burn-in test is performed using a single scan shift frequency according to at least one embodiment of the present invention. FIG.

도 39를 참조하면, 복수 개의 스캔 패턴들은 모두 동일한 스캔 쉬프트 주파수(예를 들어, 25MHz)를 이용하여 IC 칩(3900)의 스캔 경로에 쉬프트된다. 각 스캔 패턴에 의해 IC 칩이 활성화되는 주요 부분은 상이할 수 있다. 예를 들어, 스캔 패턴1(3930)에 의해 활성화되는 IC 칩의 주요 부분(3910)과 스캔 패턴2(3932)에 의해 활성화되는 IC 칩의 주요 부분(3920)이 서로 상이할 수 있다.Referring to FIG. 39, a plurality of scan patterns are all shifted to the scan path of the IC chip 3900 using the same scan shift frequency (for example, 25 MHz). The main part in which the IC chip is activated by each scan pattern may be different. For example, the main portion 3910 of the IC chip activated by the scan pattern 1 3930 and the main portion 3920 of the IC chip activated by the scan pattern 2 3932 may be different from each other.

또한 각 스캔 패턴에 의해 IC 칩 상의 활성화되는 부분은 스캔 쉬프트 주파수나 스캔 패턴에 따른 회로의 스위칭 횟수 등에 따라 발생하는 열이 다를 수 있다. 예를 들어, 스캔 패턴1에 의해 활성화되는 IC 칩의 주요 부분(3910)의 온도는 a℃이고, 스캔 패턴2에 의해 활성화되는 IC 칩의 주요 부분(3920)의 온도는 b℃일 수 있다.In addition, depending on each scan pattern, the part to be activated on the IC chip may have different heat generated depending on the scan shift frequency, the number of switching cycles of the circuit depending on the scan pattern, and the like. For example, the temperature of the main part 3910 of the IC chip activated by the scan pattern 1 is a 占 폚, and the temperature of the main part 3920 of the IC chip activated by the scan pattern 2 may be b 占 폚.

IC 칩에 보다 많은 스트레스나 열을 발생시켜 번인 테스트의 노후화를 가속시키기 위하여 쉬프트 주파수를 높일 수 있다. 그러나 쉬프트 주파수를 과도하게 높이는 경우 정상적인 IC 칩을 불량품으로 판정하는 오버킬(over kill) 문제점 등이 생길 수 있다. 반대로 쉬프트 주파수를 낮추는 경우 IC 칩에서 발생하는 스트레스나 열이 미비하여 번인 테스트의 노후화를 효율적으로 가속시키지 못하는 문제점이 있다.The shift frequency can be increased to accelerate aging of the burn-in test by generating more stress or heat in the IC chip. However, if the shift frequency is excessively increased, there may arise a problem of over kill in which a normal IC chip is determined to be a defective product. Conversely, when the shift frequency is lowered, there is a problem that stress and heat generated in the IC chip are insufficient and the aging of the burn-in test can not be efficiently accelerated.

도 40은 본 발명의 최소한 하나의 실시예에 따라, 스캔 패턴별 최적의 주파수를 이용하여 번인 테스트를 수행하는 경우에 IC 칩에 미치는 온도 영향의 일 예를 도시한 개념도이다. 도 39와 도 40은 같은 IC 칩과 같은 스캔 패턴을 사용한 예이다.FIG. 40 is a conceptual diagram illustrating an example of the temperature effect on the IC chip when the burn-in test is performed using the optimal frequency for each scan pattern according to at least one embodiment of the present invention. 39 and 40 show an example using the same scan pattern as the IC chip.

도 40을 참조하면, 스캔 패턴별로 최적의 쉬프트 주파수를 이용하여 스캔 경로에 쉬프트함으로써 IC 칩의 노후화를 가속화할 수 있다.Referring to FIG. 40, the aging of the IC chip can be accelerated by shifting the scan path using the optimal shift frequency for each scan pattern.

번인 테스트는 일반적으로 100℃가 넘는 고온 환경에서 수십 시간 이상 수행하므로 번인 테스트 시의 시간과 전력 소모는 테스트 비용을 증가시키게 된다. 즉, 일반적으로 IC 칩 테스트 서비스 회사는 테스트 시간에 비례하여 비용을 청구하므로 칩 테스트 소요시간은 칩 원가에 많은 영향을 미친다. 또한 번인 테스트에 사용되는 챔버에 형성되는 100℃가 넘는 고온은 일반적으로 전기를 사용하여 만들며 이를 위한 비용 또한 상당하며 테스트 서비스 회사의 비용과 칩 원가에 많은 영향을 미칠 수 있다.The burn-in test generally takes more than several tens of hours in a high-temperature environment of more than 100 ° C, so time and power consumption during burn-in test increase the test cost. That is, in general, IC chip test service companies charge a fee in proportion to the test time, so the time required for chip test has a great influence on the chip cost. In addition, the high temperature above 100 ° C formed in the chamber used for the burn-in test is generally made using electricity, and the cost for this is also significant and can have a significant impact on the cost of the test service company and on the chip cost.

따라서 번인 테스트 시간과 번인 테스트에 의해 소모되는 전력을 줄이는 것은 테스트 비용 절감에 있어서 매우 중요하다. 또한 번인 테스트 시간을 줄이는 것은 제품의 시장 진입 시간에 있어서도 매우 중요할 수 있다.Therefore, reducing the power consumed by the burn-in test time and the burn-in test is very important for reducing the test cost. Reducing the burn-in test time can also be very important for the product's time-to-market.

예를 들어, 도 39의 스캔 패턴1(3930)의 최대 가능한 스캔 쉬프트 주파수가 25MHz이고 스캔 패턴2(3932)의 쉬프트 주파수는 더 높일 수 있는 경우, 도 40에서와 같이 스캔 패턴2(4032)의 쉬프트 주파수를 최적화하여 높여 도 39의 온도(b℃)보다 높은 온도(c℃)에 의해 IC 칩의 노후화를 더욱 가속화 시킬 수 있다.For example, when the maximum possible scan shift frequency of the scan pattern 1 3930 in FIG. 39 is 25 MHz and the shift frequency of the scan pattern 2 3932 can be higher, The shift frequency can be optimized and increased to further accelerate the deterioration of the IC chip by the temperature (c DEG C) higher than the temperature (b DEG C) of FIG.

도 39 및 도 40는 설명의 편의를 위하여 스캔 패턴에 대해 쉬프트 주파수를 할당하여 스캔 경로에 쉬프트하는 경우를 설명하였으나, 도 5 내지 도 10과 같이 스캔 패턴을 적어도 둘 이상 스캔 섹션으로 나누어 서로 다른 쉬프트 주파수로 스캔 경로에 쉬프트 할 수 있다.FIGS. 39 and 40 illustrate a case where a shift frequency is assigned to a scan pattern by a shift pattern for convenience of explanation. However, as shown in FIGS. 5 to 10, when a scan pattern is divided into at least two scan sections, You can shift to the scan path by frequency.

또한 예를 들어 번인 테스트 시간 또는 번인 테스트 품질을 예측가능 할 수 있도록, 테스트 대상 칩의 정션 온도(junction temperature)가 일정 범위 내에서 유지될 필요가 있다. 예를 들어 테스트 대상 디바이스 또는 IC 칩의 정션 온도는 식 3과 같은 관계에 의해 결정될 수 있다.Also, for example, the junction temperature of the chip to be tested needs to be maintained within a certain range so that the burn-in test time or the burn-in test quality can be predicted. For example, the junction temperature of the IC chip or the device under test can be determined by the relationship shown in Equation 3.

Figure 112018002784554-pat00003
Figure 112018002784554-pat00003

여기서, Tj는 테스트 대상 디바이스 또는 IC 칩의 정션 온도, Ta는 주변 환경의 온도(ambient temperature), P는 테스트 대상 디바이스 또는 IC 칩의 전력 소모, θja는 테스트 대상 디바이스 또는 IC 칩의 열 저항을 각각 나타낸다.Where T j is the junction temperature of the device under test or IC chip, T a is the ambient temperature, P is the power consumption of the device under test or IC chip, and θ ja is the temperature of the IC Respectively.

수학식 3을 참조하면, Tj의 제어도(controllability)는 Ta와 P의 제어도에 의존적이다. 예를 들어 Ta는 테스트 대상 디바이스 또는 IC 칩의 외부 환경의 온도를 제어하는 챔버 또는 써멀 척(thermal chuck) 등의 장치를 사용하여 적정 온도로 제어될 수 있다. 따라서, 칩의 번인 테스트 동안에 전력 소모 P를 제어하기 위한 방법이 필요하다. 예를 들어 칩의 번인 테스트 동안에 전력 소모의 변동(fluctuation)은 칩의 정션 온도(junction temperature) Tj에 상당히 영향을 줄 수 있으며, 칩의 신뢰도 검사 공정(reliability screening process)에 나쁘게 영향을 미칠 수 있다.Referring to Equation (3), the controllability of T j depends on the control chart of T a and P. For example, T a can be controlled to an appropriate temperature by using a device such as a chamber or a thermal chuck for controlling the temperature of the device under test or the external environment of the IC chip. Therefore, there is a need for a method for controlling power consumption P during a chip burn-in test. For example, the fluctuation of power consumption during the chip burn-in test can significantly affect the junction temperature T j of the chip and can adversely affect the reliability screening process of the chip have.

번인 테스트에 필요한 시간은 수학식 3의 정션 온도 Tj의 중앙값(median value)에 기초하여 예측될 수 있다. 예를 들면, 정션 온도는 도 42의 전력 소모 Pburn-in의 값에 의해 결정될 수 있다. Pburn -in은 테스트 데이터에 의한 전력 소모의 중앙값(median value) 또는 평균값이거나 양질의 번인 테스트 시 예측되는 전력 소모 값일 수 있다.The time required for the burn-in test can be predicted based on the median value of the junction temperature T j in Equation (3). For example, the junction temperature may be determined by the value of the power consumption P burn-in of FIG. P burn -in may be a median value or average power consumption by the test data, or may be a power consumption value predicted in a good quality burn-in test.

도 42는 테스트 데이터의 전력 소모가 조정되기 전에 번인 테스트 동안 발생하는 전력 소모의 일 예를 나타낸 그래프이고, 도 43은 테스트 데이터의 전력 소모가 조정된 후의 번인 테스트 동안 발생하는 전력 소모의 일 예를 나타낸 그래프이다.FIG. 42 is a graph showing an example of power consumption occurring during the burn-in test before the power consumption of the test data is adjusted, and FIG. 43 is an example of power consumption occurring during the burn-in test after the power consumption of the test data is adjusted. Fig.

도 42를 참조하면, 전력 소모가 Pburn -in 또는 마진이 반영된 Pmargin - high 보다 높게 되면 오버 번인(over burn-in) 상태가 발생 될 수 있다. 이것은 칩의 수율에 나쁜 영향을 줄 수 있다.Referring to FIG. 42, an overburn-in state may occur when the power consumption is higher than Pburn- in or margin - high P margin - high . This can adversely affect the yield of the chip.

전력 소모가 Pburn -in 또는 마진이 반영된 Pmargin - low 보다 낮게 되면 언더 번인(under burn-in) 상태가 발생 될 수 있다. 이것은 잠재적인 결함(defect)이 있는 칩이 테스트 공정(test process)을 통과하는 상황을 만들 수 있다.If the power consumption is lower than P burn- in or margin P margin - low , an under burn-in condition may occur. This can create a situation where a chip with potential defects passes the test process.

따라서, 번인 시간과 번인 품질에 대한 예측이 정확하도록 테스트 데이터에 의한 전력 소모가 도 43과 같이 Pburn -in에 가까워질 필요가 있다. 즉, 테스트 데이터에 의한 전력소모의 변동이 크지 않도록 하여 IC 칩의 발열의 변이가 최소화될 필요가 있다.Therefore, the power consumption by the test data needs to be close to P burn -in as shown in FIG. 43 so that the prediction of burn-in time and burn-in quality is accurate. That is, it is necessary to minimize variation of the power consumption by the test data so that the variation of the heat generation of the IC chip is minimized.

번인 테스트 시의 전력 소모를 최적화하여 번인 시간을 줄이거나 예측 가능하도록 하고 번인 품질을 높일 수 있는 방법의 일 실시 예는 다음과 같다.An embodiment of a method for reducing the burn-in time and improving the burn-in quality by optimizing the power consumption in the burn-in test is as follows.

단계 1Step 1

테스트 데이터를 적어도 둘 이상의 서브 데이터로 분할한다. 예를 들면, 도 43과 같이, 테스트 데이터는 테스트 시간 축을 기준으로 3개의 서브 데이터로 분할될 수 있다.The test data is divided into at least two sub data. For example, as shown in FIG. 43, the test data may be divided into three sub data based on the test time axis.

단계 2Step 2

단계1에서 분할된 적어도 둘 이상의 각 서브 데이터의 전력 소모의 차이가 최소화되도록 각 서브 데이터를 칩에 입력하는데 사용되는 쉬프트 주파수를 찾거나 결정한다. 또는 각 서브 데이터에 의한 전력 소모가 번인 테스트를 위한 예측 전력 소모(또는 예측 전류 소모)와 근접하거나 같도록 각 서브 데이터를 칩에 입력하는데 사용하는 주파수를 찾거나 결정한다. 예를 들면, 도 43과 같이 각 서브 데이터의 주파수를 조정하여 테스트 데이터에 의한 전력 소모가 Pburn -in에 가깝도록 할 수 있다.The shift frequency used to input each sub data to the chip is searched or determined such that the difference in power consumption of at least two or more sub data divided in step 1 is minimized. Or the frequency used to input each sub data to the chip so that the power consumption by each sub data is close to or equal to the predicted power consumption (or predicted current consumption) for the burn-in test. For example, as shown in FIG. 43, the frequency of each sub data can be adjusted so that the power consumption by the test data is close to P burn -in .

단계 3Step 3

각 서브 데이터별로 단계 2에서 찾거나 결정된 주파수를 사용하여 번인 테스트를 수행한다. 예를 들면, 도 43과 같이 각 서브 데이터 구간의 전력 소모가 Pburn -in에 가깝도록 번인 테스트를 수행할 수 있다.The burn-in test is performed using the frequencies found or determined in step 2 for each sub-data. For example, as shown in FIG. 43, it is possible to perform a burn-in test such that the power consumption of each sub data period is close to P burn -in .

단계 1 내지 단계 3의 서브 데이터는 스캔 섹션이거나 기능적 테스트 데이터(칩의 기능에 관한 테스트에 사용되는 데이터)이다.The sub data in Steps 1 to 3 is a scan section or functional test data (data used for testing regarding the function of the chip).

단계 1 내지 단계 3의 각 단계는 실시 예에 따라 동일한 장치 또는 서로 다른 장치에서 각각 수행될 수 있으며, 예를 들어 테스트 장치 또는 컴퓨터 등의 장치에서 수행될 수도 있다.Steps 1 to 3 may be performed in the same device or different devices, respectively, depending on the embodiment, and may be performed in an apparatus such as a test device or a computer, for example.

다른 실시 예로, 번인 테스트 시의 전력 소모를 최적화하여 번인 시간을 줄이거나 예측 가능하도록 하고 번인 품질을 높일 수 있는 또 다른 방법은 다음과 같다.In another embodiment, another method for reducing the burn-in time and making the burn-in time predictable and improving the burn-in quality by optimizing the power consumption during the burn-in test is as follows.

단계 1Step 1

테스트 데이터를 적어도 둘 이상의 서브 데이터로 분할한다.The test data is divided into at least two sub data.

단계 2Step 2

각 서브 데이터에 대해, 정상적인 칩의 테스트 결과가 정상으로 나타나는 최대 쉬프트 주파수를 찾거나 결정한다. 예를 들어, 최대 쉬프트 주파수는 테스트 시간을 최소화하기 위해 최적화된 주파수이거나 최대 쉬프트 주파수에 마진을 반영한 주파수일 수 있다.For each subdata, the maximum shift frequency at which the test result of the normal chip appears normal is determined or determined. For example, the maximum shift frequency may be an optimized frequency to minimize the test time, or a frequency that reflects the margin at the maximum shift frequency.

단계 3Step 3

단계 2에서 각 서브 데이터에 대해 찾거나 결정된 최대 쉬프트 주파수를 사용하여 전력 소모나 전류 소모를 측정하거나 추정한다.In step 2, the power consumption or current consumption is measured or estimated using the maximum shift frequency determined for each subdata or determined.

단계 4Step 4

단계 3에서 측정되거나 추정된 전력 소모나 전류 소모가 최적의 번인 테스트를 위한 전력 소모의 기준보다 클 때가 있는 서브 데이터를 찾는다. 예를 들어, 최적의 번인 테스트를 위한 전력 소모의 기준은 도 42 또는 도 43에서 Pburn -in 또는 Pmargin-high 일수 있다.Finds subdata where the measured or estimated power consumption or current consumption in step 3 is greater than the power consumption criterion for the optimal burn-in test. For example, the criteria for power consumption for an optimal burn-in test may be P burn -in or P margin-high in FIG. 42 or FIG.

단계 5Step 5

단계 4에서 찾은 서브 데이터의 주파수를 낮추어서, 서브 데이터의 전력 소모가 최적의 번인 테스트를 위한 전력 소모 또는 전류 소모와 같거나 근접하도록 조정한다. 예를 들어, 최적의 번인 테스트를 위한 전력 소모의 기준은 도 42 또는 도 43에서 Pburn -in, Pmargin -high 또는 Pmargin -low 일 수 있다. 또한 단계 3에서 측정되거나 추정된 각 서브 데이터의 전력 소모나 전류 소모가 최적의 번인 테스트를 위한 전력 소모 또는 전류 소모보다 작을 때가 있다. 그러나 이때 해당하는 서브 데이터의 주파수를 최적의 번인 테스트를 위한 전력 소모 또는 전류 소모와 같거나 인접하도록 증가시키는 경우 테스트 실패가 발생할 수 있으므로 주의가 필요하다.The frequency of the sub data found in step 4 is lowered to adjust the power consumption of the sub data to be equal to or close to the power consumption or current consumption for the optimum burn-in test. For example, the criteria for power consumption for an optimal burn-in test may be P burn -in , P margin- high or P margin -low in FIG. 42 or FIG. Also, there is a case in which power consumption or current consumption of each sub data measured or estimated in step 3 is smaller than power consumption or current consumption for optimum burn-in test. However, care must be taken when the frequency of the corresponding sub data is increased to be equal to or close to the power consumption or current consumption for the optimum burn-in test.

단계 6Step 6

단계 5에서 조정된 각 서브 데이터의 쉬프트 주파수를 사용하여 번인 테스트를 수행한다.The burn-in test is performed using the shift frequency of each sub data adjusted in step 5.

발명의 최소한 하나의 실시예에서, 단계 1 내지 단계 6의 서브 데이터는 스캔 섹션이거나 기능적 테스트 데이터이다.In at least one embodiment of the invention, the subdata from step 1 to step 6 is a scan section or functional test data.

단계 1 내지 단계 6의 각 단계는 실시 예에 따라 동일한 장치 또는 서로 다른 장치에서 각각 수행될 수 있으며, 예를 들어 테스트 장치 또는 컴퓨터 등의 장치에서 수행될 수도 있다.Steps 1 to 6 may be performed in the same device or different devices, respectively, depending on the embodiment, and may be performed in an apparatus such as a test device or a computer, for example.

다른 실시 예로, 원하는 전력 소모에 해당하는 주파수를 찾거나 결정하는 방법은 다음과 같다. 어떤 주파수를 사용하여 서브 데이터에 의해 소모되는 전력 소모 값을 측정하거나 추정한다. 그리고 수학식 2와 같이 전력 소모와 주파수 사이의 관계식을 사용하여 α x C x Vdd 2 에 대한 상수 값을 계산한다. 그리고 상수 값과 원하는 전력 소모 값을 수학식 2에 대입하여 찾고자 하는 주파수 값이 계산될 수 있다.In another embodiment, a method for finding or determining a frequency corresponding to a desired power consumption is as follows. A certain frequency is used to measure or estimate the power consumption consumed by the sub-data. Then, using the relation between power consumption and frequency as shown in Equation 2, α x C x V dd 2 Lt; / RTI > The frequency value to be sought can be calculated by substituting the constant value and the desired power consumption value into Equation (2).

발명의 최소한 하나의 실시예에서, 주파수를 증가 또는 감소하면서 서브 데이터에 의해 소모되는 전력 소모를 측정하거나 추정하여 원하는 주파수를 찾거나 결정할 수 있다.In at least one embodiment of the invention, a desired frequency can be found or determined by measuring or estimating the power consumption consumed by the sub-data while increasing or decreasing the frequency.

발명의 최소한 하나의 실시예에서, 서브 데이터에 의해 소모되는 전력 소모는 전력 또는 전류 소모를 측정하거나 추정하는 장치 또는 소프트웨어를 사용하여 측정하거나 추정할 수 있다.In at least one embodiment of the invention, the power consumption consumed by the subdata may be measured or estimated using a device or software that measures or estimates power or current consumption.

도 44는 본 발명의 최소한 하나의 실시예에 따른 번인 테스트의 시간 최소화를 위하여 각 스캔 섹션별 최적의 쉬프트 주파수를 찾는 방법의 일 예를 도시한 흐름도이다.44 is a flowchart illustrating an example of a method for finding an optimal shift frequency for each scan section in order to minimize the time of the burn-in test according to at least one embodiment of the present invention.

도 44를 참조하면, 번인 테스트 시간 최소화 장치는 하나 이상의 스캔 패턴을 적어도 둘 이상의 스캔 섹션으로 분할한다(S4400). 스캔 패턴의 분할의 일 예로 도 5 내지 도 10에 도시된 방법을 사용할 수 있다. 번인 테스트 시간 최소화 장치는 복수 개의 쉬프트 주파수를 각 스캔 섹션에 할당한다(S4410). 여기서 각 스캔 섹션에 할당된 쉬프트 주파수의 값은 스캔 경로의 출력 패턴이 예측 패턴과 상이해지는 쉬프트 주파수보다 작은 값이다. 그리고 번인 테스트 시간 최소화 장치는 각 스캔 섹션별 할당된 쉬프트 주파수를 이용하여 해당 스캔 섹션을 쉬프트하면서 번인 테스트를 수행한다(S4420).Referring to FIG. 44, the burn-in test time minimizing apparatus divides one or more scan patterns into at least two scan sections (S4400). As an example of the division of the scan pattern, the method shown in Figs. 5 to 10 can be used. The burn-in test time minimizing apparatus allocates a plurality of shift frequencies to each scan section (S4410). Here, the value of the shift frequency assigned to each scan section is smaller than the shift frequency at which the output pattern of the scan path is different from the predicted pattern. The burn-in test time minimizing device performs a burn-in test while shifting the corresponding scan section using the shift frequency allocated to each scan section (S4420).

스캔 패턴의 스캔 섹션으로의 분할(S4400)과 쉬프트 주파수의 스캔 섹션 할당(S4410), 번인 테스트의 수행(S4420) 등은 실시 예에 따라 동일한 장치 또는 서로 다른 장치에서 각각 수행될 수 있다.The division of the scan pattern into the scan section S4400, the allocation of the scan frequency of the scan frequency S4410, and the execution of the burn-in test S4420 may be performed in the same device or in different devices, respectively.

번인 테스트 시간 최소화 장치는 쉬프트 주파수의 증감에 따라 출력 패턴과 예측 패턴이 달라지기 바로 이전의 쉬프트 주파수를 찾거나 해당 스캔 섹션에 할당 가능한 최대 쉬프트 주파수로 파악할 수 있다. 실시 예에 따라 각 스캔 섹션은 쉬프트 주파수의 증감을 통해 찾은 최대 쉬프트 주파수보다 작은 쉬프트 주파수를 할당 받을 수도 있다.The burn-in test time minimizing apparatus can find the shift frequency immediately before the output pattern and the predicted pattern are different from each other, or grasp the maximum shift frequency assignable to the scan section according to the increase or decrease of the shift frequency. According to an embodiment, each scan section may be assigned a shift frequency that is smaller than the maximum shift frequency found by increasing or decreasing the shift frequency.

본 발명의 번인 테스트를 위하여 각 스캔 섹션별 최적의 쉬프트 주파수를 찾는 방법으로 앞에서 살핀 여러 실시 예를 이용할 수 있다. 예를 들어, 번인 테스트 시간 최소화 장치는 도 12 내지 도 33에 도시된 방법을 수행하여 각 스캔 섹션별 최적의 쉬프트 주파수를 찾을 수 있다. 또한, 도 36에 도시된 스캔 패턴의 배치 순서를 바꾸는 방법 또한 번인 테스트 시간 감소 및 번인 테스트 품질 향상을 위해 적용할 수 있다.For the burn-in test of the present invention, various embodiments may be used as a method of finding an optimal shift frequency for each scan section. For example, the burn-in test time minimizing apparatus can perform the method shown in FIGS. 12 to 33 to find an optimal shift frequency for each scan section. The method of changing the arrangement order of the scan patterns shown in FIG. 36 can also be applied for reducing the burn-in test time and improving the quality of the burn-in test.

도 45는 본 발명의 최소한 하나의 실시예에 따른 번인 테스트 시간 최소화 장치의 일 예를 도시한 블록도이다.45 is a block diagram illustrating an example of a burn-in test time minimization apparatus in accordance with at least one embodiment of the present invention.

도 45를 참조하면, 번인 테스트 시간 최소화 장치는 챔버 제어부(4500), 쉬프팅부(4510), 쉬프트 주파수 파악부(4520)를 포함한다.Referring to FIG. 45, the burn-in test time minimizing apparatus includes a chamber control unit 4500, a shifting unit 4510, and a shift frequency determining unit 4520.

챔버 제어부(4500)는 검사 대상 IC 칩에 공급되는 전압, 온도, 번인 테스트 시간 등을 제어한다.The chamber controller 4500 controls the voltage supplied to the IC chip to be inspected, the temperature, the burn-in test time, and the like.

쉬프트 주파수 파악부(4520)는 번인 테스트 시에 IC 칩의 스캔 경로에 쉬프팅 되는 최적의 쉬프트 주파수를 스캔 섹션별로 파악한다. 예를 들어, 쉬프트 주파수 파악부(4520)는 앞서 설명한 다양한 실시 예들 중 적어도 하나 이상을 기초로 스캔 섹션별 최적의 쉬프트 주파수를 결정할 수 있다. 또한 최적의 쉬프트 주파수 파악은 번인 테스트 시간 최소화 장치뿐만 아니라 별도의 장치에서 수행되어 파악 또는 결정될 수 있으며, 파악 또는 결정된 쉬프트 주파수는 쉬프트 주파수 파악부(4520)에 의해 사용될 수도 있다.The shift frequency determining unit 4520 grasps the optimum shift frequency shifted in the scan path of the IC chip in the scan section during the burn-in test. For example, the shift frequency determining unit 4520 can determine an optimum shift frequency for each scan section based on at least one of the various embodiments described above. Also, the optimum shift frequency can be grasped or determined by a separate device as well as the burn-in test time minimizing device, and the shift frequency determined or determined may be used by the shift frequency determining unit 4520.

쉬프팅부(4510)는 챔버 제어부(4500)에 의해 번인 테스트가 수행되는 동안에, 쉬프트 주파수 파악부(4520)에 의해 파악된 최적의 쉬프트 주파수를 이용하여 스캔 경로에 스캔 섹션을 쉬프트함으로써 번인 테스트 시간을 최소화한다.The shifting unit 4510 shifts the scan section to the scan path by using the optimum shift frequency detected by the shift frequency determining unit 4520 while the burn-in test is performed by the chamber control unit 4500, Minimize it.

발명의 최소한 하나의 실시예에서, 스캔 섹션별 최적화된 주파수를 사용하여 번인 테스트만을 수행하거나 번인 테스트와 함께 칩의 정상 여부 테스트를 함께 수행할 수 있다. 번인 테스트 시간 최소화 장치는 번인 테스트와 함께 앞서 살핀 스캔 테스트를 함께 수행할 수 있다.In at least one embodiment of the invention, it is possible to perform only a burn-in test using an optimized frequency for each scan section, or to perform a chip health test together with a burn-in test. The burn-in test time minimization device can perform the burn-in test together with the scan test previously.

번인 테스트 시간 최소화 장치는 도 37 및 도 38에서 살핀 번인 테스트 장치의 일부로 구현될 수도 있다. 발명의 최소한 하나의 실시예에서, 스캔 섹션별 최적화된 주파수를 사용하여 번인 테스트만을 수행하거나 번인 테스트와 함께 칩의 정상여부 테스트를 함께 수행할 수 있다. 예를 들어, 스캔 섹션별 최적화된 쉬프트 주파수가 할당된 스캔 패턴 집합을 사용하여 번인 테스트만을 수행하거나 번인 테스트와 스캔 테스트를 함께 수행할 수 있다.The burn-in test time minimizing apparatus may be implemented as a part of the burn-in burn-in test apparatus in FIG. 37 and FIG. In at least one embodiment of the invention, it is possible to perform only a burn-in test using an optimized frequency for each scan section, or to perform a chip health test together with a burn-in test. For example, it is possible to perform only a burn-in test or a burn-in test and a scan test together using a set of scan patterns assigned with an optimized shift frequency for each scan section.

번인 테스트 시간 최소화 장치는 도 36에 도시된 스캔 패턴의 재배치 방법을 이용하여 스캔 경로에 쉬프트되는 스캔 패턴들의 순서를 재배치할 수 있다. 이 경우, 스캔 패턴 집합상에서 재배치된 패턴 위치의 스캔 패턴의 쉬프트에 의해 IC 칩 상에서 회로의 스위칭 되는 부분 및 스위칭 동작 횟수가 재배치 전과 달라질 수 있으며, 이에 따라 전력 소모 등 회로의 동작 특성이 변경될 수 있다. 따라서, 스캔 패턴(또는 스캔 섹션)에 할당할 수 있는 쉬프트 주파수가 높아질 수도 있다. 따라서 이러한 성질을 이용하여 스캔 패턴 재배치 후 앞서 살핀 본 발명의 실시 예를 이용하여 스캔 섹션별 최적의 쉬프트 주파수를 찾거나 결정하여 전체적인 번인 테스트 시간을 더욱 줄이거나 테스트 품질을 높일 수도 있다. 또한 스캔 패턴의 재배치는 번인 테스트 시간 최소화 장치뿐만 아니라 컴퓨터 등의 별도의 장치에서 수행되어 번인 테스트 시간 최소화 장치에 의해 사용될 수도 있다.The burn-in test time minimizing apparatus can rearrange the order of the scan patterns shifted in the scan path by using the relocation method of the scan pattern shown in FIG. In this case, by switching the scan pattern of the pattern position rearranged on the set of scan patterns, the number of switching operations and the number of switching operations of the circuit on the IC chip can be changed from before rearrangement, have. Therefore, the shift frequency that can be assigned to the scan pattern (or the scan section) may be increased. Therefore, by using the above-described property, the optimal shift frequency for each scan section can be found or determined by using the embodiment of the present invention, which is discussed above, after the scan pattern relocation, and the overall burn-in test time can be further reduced or the test quality can be improved. In addition, the rearrangement of the scan pattern may be performed by a device for minimizing the burn-in test time, and also by a separate device such as a computer.

도 46은 MCU(Micro Control Unit) 프로세서 IC 칩과 IC 칩의 테스트 패턴을 사용한 실험 결과를 나타낸 표로, 쉬프트 주파수 결정 대상 스캔 섹션은 한 개의 스캔 패턴에 일대일 대응되는 경우이다. 도 46은 스캔 패턴에 의한 전력 소모가 IC 칩의 허용 가능한 전력 소모를 넘지 않으면서 최대한 가능한 쉬프트 주파수를 찾는 임계 전력 기반 방법(power-limit-based method) 및 전술한 본 발명의 쉬프트 주파수 증감 기반 방법(shift-frequency-scaling-based method)을 사용하여 각 스캔 패턴에 대해 찾은 최대 쉬프트 주파수를 보여준다.46 is a table showing experimental results using a test pattern of an MCU (Micro Control Unit) processor IC chip and an IC chip, in which a shift frequency determination scan section corresponds to one scan pattern one-to-one. FIG. 46 shows a power-limit-based method for finding the maximum possible shift frequency without power consumption exceeding the allowable power consumption of the IC chip by the scan pattern and the above shift frequency increase based method of the present invention (shift-frequency-scaling-based method) to show the maximum shift frequency found for each scan pattern.

도 46을 참조하면, 쉬프트 주파수 증감 기반 방법(Shift-frequency-scaling-based method)을 사용한 최적화는 도 12의 방법을 사용하였다. 또한 도 46을 참조하면, 임계 전력 기반 방법(power-limit-based method)과 쉬프트 주파수 증감 기반 방법(shift-frequency-scaling-based method)에 의한 최대 쉬프트 주파수 결과의 차이는 실제 IC 칩과 IC칩의 테스트 환경에서는 IC 칩의 전력소모 외에도 쉬프트 주파수에 영향을 줄 수 있는 회로 구조와 특징, 다양한 물리적 조건과 환경 등이 있기 때문이다.Referring to FIG. 46, the optimization using the shift-frequency-scaling-based method is performed as shown in FIG. 46, the difference between the maximum shift frequency result by the power-limit-based method and the shift-frequency-scaling-based method is smaller than the difference between the actual IC chip and the IC chip In addition to the power consumption of the IC chip, the test environment also has a circuit structure and characteristics that can affect the shift frequency, and various physical conditions and environments.

도 46의 전력 소모 한계(power consumption limit)는 IC 칩의 기능적 주파수 한계인 80MHz로 IC 칩을 기능 모드에서 동작했을 때의 평균 전력 소모로서 약 285mW 이다.The power consumption limit in FIG. 46 is about 285 mW as an average power consumption when the IC chip is operated in the functional mode at 80 MHz which is the functional frequency limit of the IC chip.

일반적으로 기능적 주파수 한계와 IC 칩이 손상될 수 있는 주파수 한계 또는 스캔 쉬프트 주파수 한계는 상이할 수 있다. 일 예를 들어, 주파수 한계는 스캔 테스트 또는 기능적 동작 모드에 의한 회로 동작 특성, 전력 소모(power consumption), 신호선 간 간섭(signal crosstalk) 영향, 임계 타이밍 경로(critical timing path) 등이 다를 수 있기 때문이다. 또한 회로상의 서로 다른 위치에 공급되는 전압 또는 전력의 차이 등의 다양한 제약을 받을 수도 있기 때문이다.In general, the functional frequency limit and the frequency limit or scan shift frequency limit at which the IC chip may be damaged may be different. For example, the frequency limit may vary due to circuit operation characteristics, power consumption, signal crosstalk effects, and critical timing path due to scan test or functional mode of operation to be. And may be subject to various constraints such as differences in voltage or power supplied to different locations on the circuit.

도 46의 첫 번째 열은 스캔 패턴 번호이고, 두 번째 열은 IC 칩의 누설 전류에 의한 전력 소모이다. 세 번째 열은 명목 쉬프트 주파수 25MHz를 사용한 스캔 쉬프트에 의해 소모되는 동적(dynamic) 전력 소모이다. 네 번째 열은 두 번째 열과 세 번째 열의 합이며 명목 쉬프트 주파수 25MHz를 사용하였을 때 각 스캔 패턴 당 전체 전력 소모이다. 다섯 번째 열은 전력 소모 한계치 285mW를 넘지 않으면서 각 스캔 패턴의 최대로 가능한 쉬프트 주파수이다.The first column in FIG. 46 is the scan pattern number, and the second column is the power consumption due to the leakage current of the IC chip. The third column is the dynamic power consumption consumed by the scan shift using the nominal shift frequency of 25 MHz. The fourth column is the sum of the second and third columns and is the total power consumption per scan pattern when the nominal shift frequency of 25 MHz is used. The fifth column is the maximum possible shift frequency of each scan pattern without exceeding the power consumption limit of 285 mW.

여섯 번째 열은 각 스캔 패턴에 대해 다섯 번째 열의 쉬프트 주파수로 테스트 했을 경우 MCU IC 칩 테스트 결과로서 테스트 정상 또는 실패를 나타낸다.The sixth column shows the test normal or failure as a result of the MCU IC chip test when tested with the shift frequency of the fifth column for each scan pattern.

일곱 번째 열은 전술한 본 발명의 방법에 의한 쉬프트 주파수 증감 방법을 사용하여 찾은 최대 쉬프트 주파수이며, 모두 테스트 결과 정상이다.The seventh column is the maximum shift frequency found using the shift frequency increasing / decreasing method according to the above-described method of the present invention, and all test results are normal.

여덟 번째 열은 임계 전력 기반 방법(power-limit-based method)에 의한 결과인 다섯 번째 열 대비 쉬프트 주파수 증감 기반 방법(shift-frequency-scailing-based method)에 의한 결과인 일곱 번째 열에 대한 증감 비율(%)을 보여준다.The eighth column shows the increase / decrease ratio for the seventh column, which is the result of the shift-frequency-scaling-based method relative to the fifth column, which is the result of the power-limit-based method %).

도 46을 참조하면, 임계 전력 기반 방법(power-limit-based method)으로 스캔 테스트가 정상적으로 수행되지 않은 여섯 번째 스캔 패턴의 경우를 제외하고 평균 약 30% 이상으로 쉬프트 주파수 증감 기반 방법(Shift-frequency-scaling-based method)의 쉬프트 주파수가 높음을 볼 수 있다. 예를 들어, 쉬프트되는 비트 패턴에 따라 거짓(false) 크리티컬 패스의 경우가 생기거나 테스트 결과에 영향을 주지 않는 돈케어(don't-care)비트에 해당되는 스캔 패턴 상의 비트 등 다양한 이유가 있을 수 있다.Referring to FIG. 46, except for the sixth scan pattern in which the scan test is not performed normally in the power-limit-based method, the shift frequency-based method (Shift-frequency -scaling-based method) has a high shift frequency. For example, there may be a variety of reasons, such as a false critical path depending on the bit pattern being shifted or a bit on a scan pattern corresponding to a don't-care bit that does not affect the test result .

도 46에서 여섯 번째 스캔 패턴의 경우처럼, 스캔 패턴에 의해 소모되는 전력 소모가 IC 칩의 허용 가능한 전력 소모를 넘지 않도록 하는 쉬프트 주파수를 사용 하더라도 IC 칩을 정상적으로 테스트 할 수 없는 경우도 생길 수 있음을 알 수 있다. 이러한 이유는 쉬프트 주파수 한계는 전력소모(power consumption) 뿐만 아니라 IC 칩의 회로 구조에 의한 임계 타이밍 경로(critical timing path)의 신호 지연시간, 신호 간섭(signal crosstalk), 회로상의 서로 다른 위치에 공급되는 전압 또는 전력의 차이, 신호 또는 전력 노이즈, 칩 제조 공정변이 및 회로의 물리적 특성 등의 다양한 영향을 받을 수 있기 때문이다. 또한 테스트 대상 칩의 주변 온도, 칩과 칩 테스트 장비의 연결 상태 등 테스트 환경 및 조건의 영향 또한 받을 수 있기 때문이다.The IC chip can not be normally tested even if a shift frequency is used so that the power consumption consumed by the scan pattern does not exceed the allowable power consumption of the IC chip as in the case of the sixth scan pattern in FIG. Able to know. The reason for this is that the shift frequency limit is not limited to the power consumption but also to the signal delay time, the signal crosstalk of the critical timing path due to the circuit structure of the IC chip, Voltage or power differences, signal or power noise, chip manufacturing process variations, and physical characteristics of the circuit. This is because the test environment and conditions can also be influenced by the ambient temperature of the chip under test and the connection status of the chip and chip test equipment.

또한 쉬프트 주파수 증감을 통해 최적의 쉬프트 주파수를 파악하는 과정에서 스캔 섹션 또는 스캔 패턴의 비트 값이 쉬프트인되는 동안에 의도하지 않게 바뀌어 스캔 경로에 로드되더라도, IC 칩 회로의 구조에 따라 스캔 캡쳐 동작 후의 결과 패턴이 스캔 경로 상에 정상적인 비트 패턴으로 나타날 수도 있다.Even when the bit values of the scan section or the scan pattern are unintentionally shifted and loaded into the scan path during the process of grasping the optimum shift frequency through the increase / decrease of the shift frequency, the result after the scan capture operation The pattern may appear as a normal bit pattern on the scan path.

따라서 쉬프트 주파수 증감을 통해 스캔 경로에 스캔 섹션을 로드하고 스캔 캡쳐를 하기 전에, IC 칩의 주 출력 포트의 출력 결과를 예측 결과와 비교하고 주 출력 결과가 정상(pass)인지 확인하여 보다 정확한 최적 쉬프트 주파수를 찾을 수 있다.Therefore, before the scan section is loaded on the scan path through the shift frequency increase and scan capture, the output result of the main output port of the IC chip is compared with the predicted result, and it is confirmed whether the main output result is pass, You can find the frequency.

도 47은 IC 칩 테스트시 발생할 수 있는 테스트 페일 홀(fail hole)의 일 예를 나타낸 그래프이다.47 is a graph showing an example of a test fail hole that can be generated in the IC chip test.

IC 칩을 테스트하기 위하여, 테스트 장치, 테스트 데이터 또는 테스트 프로그램을 셋업 하는 과정이 있다. 이때 정상적인 IC 칩이 고장이 없다고(fault-free) 판정되어야 하는 정상적인 쉬프트 주파수의 범위 내에서 비정상적인 테스트 실패가 발생할 수 있다. 이러한 비정상적인 테스트 실패(4700)를 테스트 페일 홀(fail hole), 테스트 주파수 페일 홀 또는 테스트 주파수의 주기의 페일 홀이라고 부른다.In order to test an IC chip, there is a process of setting up a test apparatus, test data or a test program. At this time, an abnormal test failure may occur within the range of the normal shift frequency at which the normal IC chip should be judged to be fault-free. This abnormal test failure 4700 is referred to as a fail hole, a test frequency fail hole, or a fail hole in the period of the test frequency.

도 47을 참조하면, IC 칩을 테스트할 때 30MHz에서 비정상적인 테스트 실패(4700)가 발생한 경우이다. 테스트 페일 홀은 IC 칩의 양산 테스트를 불안정하게 할 수 있고, 수율에 나쁜 영향을 줄 수 있으므로 제거하는 것이 바람직하다.Referring to FIG. 47, when an IC chip is tested, an abnormal test failure (4700) occurs at 30 MHz. It is desirable to remove the test fail hole because it can make the IC chip mass production test unstable and adversely affect the yield.

도 48은 본 발명의 최소한 하나의 실시예에 따라 테스트 페일 홀 문제를 해결하는 방법의 일 예를 나타낸 그래프이다.Figure 48 is a graph illustrating an example of a method for solving a test fail hole problem in accordance with at least one embodiment of the present invention.

도 48을 참조하면, 테스트 페일 홀(fail hole) 문제를 해결하기 위한 방법의 일 실시 예로서, 테스트 페일 홀(fail hole)이 발생되거나 페일 홀의 발생에 영향을 주는 특정 서브 데이터에 대한 테스트가 수행되지 않도록 하는 방법이 있다.Referring to FIG. 48, as an embodiment of a method for solving the test fail hole problem, a test is performed on specific sub data that causes a test fail hole or affects occurrence of a fail hole There is a way to avoid that.

예를 들면, 페일 홀이 발생한 서브 데이터에 대한 IC 칩의 테스트 출력 데이터가 예측 데이터와 비교되지 않도록 하는 방법이 있다. 이러한 방법은 테스트 데이터 마스킹 또는 테스트 데이터의 예측 결과 마스킹(expected result masking) 이라고 불릴 수 있다. 이하의 실시 예에서, 서브 데이터는 스캔 패턴, 스캔 섹션 또는 기능적 테스트 데이터를 의미한다. 테스트 데이터 마스킹 방법이 스캔 패턴에 적용된 경우를 스캔 패턴 마스킹(scan pattern masking) 또는 스캔 테스트의 예측 결과 마스킹(expected result masking) 이라고 부를 수 있다. 또 다른 예로, 테스트 페일 홀(fail hole)의 발생에 영향을 주는 서브데이터를 제거하거나 사용하지 않는 방법이 있다.For example, there is a method in which the test output data of the IC chip for the sub data in which the fail hole is generated is not compared with the predicted data. Such a method may be referred to as expected data masking or expected result masking of test data. In the following embodiments, the sub data means a scan pattern, a scan section, or functional test data. The case where the test data masking method is applied to the scan pattern may be referred to as scan pattern masking or expected result masking of the scan test. As another example, there is a method of eliminating or not using sub data that affects the occurrence of a test fail hole.

도 48의 경우에, 30MHz에서 페일 홀이 발생된 제2 서브 데이터를 찾아 마스킹(masking) 또는 제거할 수 있다. 그러나 서브 데이터를 마스킹(masking)하거나 제거하는 방법은 테스트 대상 IC의 고장 검출율(fault coverage)을 낮출 수 있다. 또한 서브 데이터를 마스킹(masking) 하거나 제거하는 방법으로 인해 고장이 있는(faulty) IC 칩이 고장이 없다고(fault-free) 테스트 판정될 수 있다. 그리고 이로 인해 고장이 있는(faulty) IC 칩이 필드에 나가게 되는 필드 이스케이프(field escape) 문제가 발생될 수 있다.In the case of FIG. 48, the second sub data at which the fail hole is generated at 30 MHz can be found and masked or removed. However, masking or removing subdata may reduce the fault coverage of the IC under test. In addition, the method of masking or removing the sub data may be fault-free test determination that the faulty IC chip is fault-free. This can result in a field escape problem in which a faulty IC chip goes out of the field.

따라서, 테스트 페일 홀(fail hole) 문제를 해결하기 위한 방법의 다른 일 실시 예로서, 페일 홀이 발생하거나 페일 홀의 발생에 영향을 주는 서브 데이터 및 페일 홀에 해당하는 주파수를 찾아서, 페일 홀이 발생하거나 페일 홀 발생에 영향을 주는 특정 서브 데이터에서 페일 홀이 발생하지 않는 주파수를 사용한다.Therefore, as another embodiment of the method for solving the test fail hole problem, it is possible to search the frequency corresponding to the sub data and the fail hole which are generated or affect the occurrence of the fail hole, Or a frequency at which no fail hole is generated in a specific sub data that affects the occurrence of a fail hole is used.

도 49는 본 발명의 최소한 하나의 실시예에 따른 페일 홀 문제를 해결하는 방법의 흐름도이다.Figure 49 is a flow diagram of a method for solving the fail hole problem in accordance with at least one embodiment of the present invention.

도 49를 참조하면, 테스트 장치는 테스트 데이터를 구성하는 서브 데이터를 선택한다(S4900). 여기서 서브 데이터는 스캔 패턴 또는 스캔 섹션일 수 있다. 테스트 장치는 서브 데이터의 주파수를 증감하면서 IC 칩의 테스트를 수행하여 (S4910), IC 칩의 PASS 또는 FAIL 테스트 결과를 토대로 선택된 서브 데이터에 대해 사용 가능한 주파수 또는 페일 홀을 찾는다(S4920). 그리고 선택된 서브 데이터에 대해 페일 홀이 발생하지 않는 주파수를 사용하여 IC 칩을 테스트한다(S4930).Referring to FIG. 49, the test apparatus selects sub data constituting test data (S4900). Here, the sub data may be a scan pattern or a scan section. The test apparatus performs the test of the IC chip while increasing or decreasing the frequency of the sub data (S4910), and finds a usable frequency or a fail hole for the selected sub data based on the PASS or FAIL test result of the IC chip (S4920). Then, the IC chip is tested using a frequency at which fail holes are not generated for the selected sub data (S4930).

예를 들어, 스캔 패턴 또는 스캔 섹션에 대해 페일 홀(fail hole)을 찾을 때 쉬프트 주파수 증감을 사용하여 스캔 패턴 또는 스캔 섹션의 사용 가능한 쉬프트 주파수를 찾는 앞서 설명한 다양한 방법이 사용될 수 있다.For example, various methods as described above can be used to find a scan pattern or an available shift frequency of a scan section using a shift frequency increase or decrease when looking for a fail hole for a scan section.

도 50은 본 발명의 최소한 하나의 실시예에 따른 페일 홀 문제를 해결하는 방법의 다른 예를 도시한 도면이다.50 is a diagram illustrating another example of a method for solving the fail-hole problem according to at least one embodiment of the present invention.

도 50을 참조하면, 테스트 페일 홀(fail hole)(5000)이 발생되는 제2 서브 데이터에는 25MHz 이하의 주파수가 사용될 수 있다. 여기서, 서브 데이터는 스캔 패턴, 스캔 섹션 또는 기능적 테스트 데이터일 수 있다.Referring to FIG. 50, frequencies below 25 MHz may be used for the second sub data in which a test fail hole 5000 is generated. Here, the sub data may be a scan pattern, a scan section, or functional test data.

제1 서브 데이터, 제2 서브 데이터, 제3 서브 데이터가 각각 제1 스캔 패턴, 제2 스캔 패턴 및 제3 스캔 패턴이라고 하자. 제1 스캔 패턴, 제2 스캔 패턴 및 제3 스캔 패턴은 순서대로 테스트 대상 IC 칩의 스캔 경로에 쉬프트된다. 제2 스캔 패턴 또는 제2 스캔 패턴에 포함된 스캔 섹션에 대한 테스트 페일 홀(fail hole)(5000)을 찾는 방법은 쉬프트 주파수 증감을 사용하여 스캔 패턴 또는 스캔 섹션의 사용 가능한 쉬프트 주파수를 찾는 이전 설명한 다양한 방법이 사용될 수 있다.Let the first sub data, the second sub data, and the third sub data be the first scan pattern, the second scan pattern, and the third scan pattern, respectively. The first scan pattern, the second scan pattern, and the third scan pattern are sequentially shifted to the scan path of the IC chip to be tested. A method of finding a test fail hole 5000 for a scan section included in the second scan pattern or the second scan pattern is performed by using a shift frequency increase / Various methods can be used.

예를 들면 제2 스캔 패턴의 쉬프트 주파수를 증감하면서 제2 스캔 패턴의 앞 또는 뒤에 위치한 제1 스캔 패턴 또는 제3 스캔 패턴을 스캔 경로에 정상적으로 입력할 수 있는 주파수를 사용하여 쉬프트인한다. 그리고 스캔 테스트 결과를 이용하여 제2 스캔 패턴에 대한 페일 홀(fail hole) 및 사용 가능한 쉬프트 주파수 범위를 찾을 수 있다. 제2 스캔 패턴에 대한 페일 홀(fail hole) 또는 사용 가능한 주파수 범위를 찾을 때 사용하는 제1 스캔 패턴 또는 제3 스캔 패턴의 각 쉬프트 주파수는 서로 동일하거나 상이한 주파수일 수 있다.For example, a shift frequency of the second scan pattern is increased or decreased, and a first scan pattern positioned before or after the second scan pattern or a third scan pattern is shifted by using a frequency capable of normally inputting to the scan path. A fail hole and a usable shift frequency range for the second scan pattern can be found using the scan test result. The shift frequencies of the first scan pattern or the third scan pattern used to find a fail hole or a usable frequency range for the second scan pattern may be the same or different from each other.

제2 스캔 패턴에 대한 페일 홀(fail hole) 또는 사용 가능한 주파수 범위를 찾기 위한 스캔 테스트 과정에서, 제2 스캔 패턴의 출력 패턴뿐만 아니라 제2 스캔 패턴 앞에 위치한 제1 스캔 패턴의 출력 패턴을 각 예측 패턴과 비교할 수 있다. 이때 제1 스캔 패턴 및 제2 스캔 패턴의 테스트 결과가 모두 정상인 경우에 현 쉬프트 주파수는 제2 스캔 패턴의 사용 가능한 쉬프트 주파수이다. 다른 예로, 제2 스캔 패턴의 뒤에 위치한 스캔 패턴인 제3 스캔 패턴의 출력 패턴과 예측 패턴이 비교될 수 있다. 그리고 제2 스캔 패턴 및 제3 스캔 패턴의 테스트 결과가 모두 정상인 경우에 현 쉬프트 주파수는 제2 스캔 패턴의 사용 가능한 쉬프트 주파수이다.The output pattern of the first scan pattern located in front of the second scan pattern as well as the output pattern of the second scan pattern may be predicted in the scan test process for finding a fail hole or usable frequency range for the second scan pattern, Patterns can be compared. At this time, when the test results of the first scan pattern and the second scan pattern are all normal, the current shift frequency is the usable shift frequency of the second scan pattern. As another example, the output pattern of the third scan pattern, which is a scan pattern located after the second scan pattern, can be compared with the predicted pattern. When the test results of the second scan pattern and the third scan pattern are all normal, the current shift frequency is the usable shift frequency of the second scan pattern.

테스트 페일 홀이 발생되거나 페일 홀의 발생에 영향을 주는 스캔 패턴은 제2 스캔 패턴의 앞 또는 뒤에서 입력되는 제1 스캔 패턴 또는 제3 스캔 패턴일 수 있다. 제2 스캔 패턴의 쉬프트 주파수가 제1 스캔 패턴의 출력 패턴이 쉬프트아웃 될 때 스캔 경로 상의 비트 값에 영향을 줄 수 있기 때문이다. 또한 제2 스캔 패턴 에 의한 테스트 결과가 쉬프트아웃 될 때 스캔 경로 상의 비트 값이 제3 스캔 패턴을 스캔 경로에 쉬프트인하는 쉬프트 주파수에 의해 영향을 받을 수 있기 때문이다. 따라서 특정 스캔 섹션 또는 스캔 패턴에 의한 테스트 결과에 테스트 페일 홀이 있는 경우, 스캔 섹션 또는 스캔 패턴의 앞 또는 뒤에 위치한 스캔 섹션 또는 스캔 패턴이 페일 홀의 발생에 영향을 주는지 파악한다.A scan pattern that generates a test fail hole or affects generation of a fail hole may be a first scan pattern or a third scan pattern input before or after the second scan pattern. The shift frequency of the second scan pattern may affect the bit value on the scan path when the output pattern of the first scan pattern is shifted out. Also, when the test result of the second scan pattern shifts out, the bit value on the scan path can be influenced by the shift frequency shifting the third scan pattern into the scan path. Therefore, if there is a test fail hole in a test result by a specific scan section or a scan pattern, it is determined whether a scan section or a scan pattern positioned before or after the scan pattern affects generation of a fail hole.

예를 들어, 제2 스캔 패턴에는 스캔 경로에 정상적으로 쉬프트 시킬 수 있는 주파수가 사용되고 제3 스캔 패턴의 쉬프트 주파수를 증가시키면서 테스트 결과를 확인한다. 이때 만약 제2 스캔 패턴에 의한 테스트 결과는 실패이고 제3 스캔 패턴에 의한 테스트 결과는 정상인 경우가 발생한다면, 제3 스캔 패턴의 쉬프트 주파수는 제2 스캔 패턴의 테스트 실패가 발생하지 않는 주파수를 사용한다. 이렇게 함으로써 제2 스캔 패턴의 테스트 결과에 나타나는 페일 홀에 대한 제3 스캔 패턴의 영향을 제거할 수 있다.For example, in the second scan pattern, a frequency capable of normally shifting the scan path is used, and the test result is confirmed while increasing the shift frequency of the third scan pattern. At this time, if the test result by the second scan pattern is failure and the test result by the third scan pattern is normal, the shift frequency of the third scan pattern is a frequency at which the test failure of the second scan pattern does not occur do. By doing so, the influence of the third scan pattern on the fail hole that appears in the test result of the second scan pattern can be eliminated.

본 발명의 최소한 하나의 실시예에서, 쉬프트 주파수를 증가 또는 감소하면서 스캔 테스트를 수행한다. 그리고 어떤 특정 쉬프트 주파수에서 페일 홀이 발생되면, 페일 홀에 해당하는 쉬프트 주파수를 사용한 스캔 테스트가 실패인 특정 스캔 패턴을 찾는다. 그리고 특정 스캔 패턴 및 특정 스캔 패턴과 이웃한 앞 또는 뒤의 스캔 패턴에는 페일 홀이 발생된 쉬프트 주파수보다 낮은 쉬프트 주파수를 사용하여 칩 테스트를 수행한다. 즉, 페일 홀에 영향을 줄 수 있는 이웃한 스캔 패턴들에게 페일 홀이 발생한 쉬프트 주파수보다 낮은 쉬프트 주파수를 사용할 수 있다.In at least one embodiment of the invention, a scan test is performed with increasing or decreasing the shift frequency. And, when a fail hole occurs at a certain shift frequency, a specific scan pattern in which a scan test using a shift frequency corresponding to the fail hole fails is searched. A chip test is performed using a shift frequency lower than a shift frequency at which a fail hole is generated, in a specific scan pattern and a scan pattern adjacent to a specific scan pattern. That is, a shift frequency lower than the shift frequency at which a fail hole is generated can be used for neighboring scan patterns that may affect the fail hole.

본 발명의 최소한 하나의 실시예에서, 쉬프트 주파수 증감을 사용하여 페일 홀이 발생되는 스캔 섹션 또는 스캔 패턴과 해당 쉬프트 주파수를 찾는다. 그리고 제조 공정 및 테스트 공정 등을 고려한 쉬프트 주파수의 마진 범위 내에서 페일 홀이 발생되지 않는 쉬프트 주파수를 스캔 섹션 또는 스캔 패턴에 사용한다. 예를 들어, 페일 홀이 발생된 쉬프트 주파수보다 높으면서 마진 범위 내에서는 페일 홀이 발생되지 않은 쉬프트 주파수가 사용될 수 있다. 또 다른 예로, 페일 홀이 발생한 스캔 섹션 또는 스캔 패턴과 이웃한 스캔 섹션 또는 스캔 패턴에도 페일 홀이 발생된 쉬프트 주파수보다 높으면서 마진 범위 내에서는 페일 홀이 발생되지 않은 쉬프트 주파수가 사용될 수 있다.In at least one embodiment of the present invention, the shift frequency increase or decrease is used to find the scan section or scan pattern and corresponding shift frequency at which the fail hole is generated. A shift frequency at which a fail hole is not generated within a margin range of a shift frequency considering a manufacturing process and a test process is used for a scan section or a scan pattern. For example, a shift frequency that is higher than the shift frequency at which the fail hole is generated and does not generate a fail hole within the margin range may be used. As another example, a shift frequency at which a fail hole is generated may be used in a scan section where a fail hole is generated or in a scan section or a scan pattern adjacent to the scan pattern, in which a fail hole is higher than a shift frequency at which a fail hole is generated but within a margin range.

전술된 바와 같이 특정 서브 데이터에 대해 페일 홀이 발생하지 않는 주파수 또는 주파수의 주기를 칩의 양산 테스트 시에 사용하도록 하면, 서브 데이터를 마스킹(masking) 하거나 제거하는 방법으로 인해 IC 칩의 고장 검출율(fault coverage)이 낮아지는 문제가 제거될 수 있다. 또한 고장이 있는(faulty) IC 칩이 필드에 나가게 되는 필드 이스케이프(field escape) 문제가 제거될 수 있다. 페일 홀이 발생하지 않는 특정 주파수 또는 주파수의 주기의 범위를 찾아 칩의 테스트에 사용할 수 있다.As described above, if the frequency or frequency period in which fail-holes are not generated for specific sub data is used at the time of mass production test of the chip, the failure detection rate of the IC chip due to the method of masking or removing the sub data the problem of low fault coverage can be eliminated. In addition, the field escape problem in which a faulty IC chip enters the field can be eliminated. It can be used to test a chip by looking for a range of frequencies of a specific frequency or frequency that fail-holes do not occur.

도 51은 본 발명의 최소한 하나의 실시예에 따른 테스트 시간 감소 및 수율 개선을 위한 쉬프트 주파수를 찾는 방법을 나타낸 도면이다.Figure 51 is a diagram illustrating a method for finding a shift frequency for test time reduction and yield improvement in accordance with at least one embodiment of the present invention.

도 51을 참조하면, 적어도 두 개 이상의 스캔 섹션을 칩의 스캔 경로에 서로 다른 쉬프트 주파수를 사용하여 쉬프트하고 칩을 테스트 한다고 가정한다. 이때 두 개 이상의 스캔 섹션에 테스트 결과가 모두 정상인 특정 쉬프트 주파수(5100)를 기준으로 테스트 정상 마진이 작은 제1 스캔 섹션에는 마진이 증가된 쉬프트 주파수가 사용된다. 또는 쉬프트 주파수의 테스트 정상 마진이 큰 제2 스캔 섹션에는 마진이 감소된 쉬프트 주파수가 사용된다.Referring to FIG. 51, it is assumed that at least two or more scan sections are shifted by using shift frequencies different from each other in the scan path of the chip, and the chip is tested. At this time, a shift frequency with a margin increased is used for the first scan section in which the test normal margin is small based on the specific shift frequency 5100 in which test results are all normal in two or more scan sections. Or a shift frequency with a reduced margin is used in the second scan section in which the test normal margin of the shift frequency is large.

스캔 섹션의 쉬프트 주파수 마진은 스캔 섹션의 테스트 정상 또는 실패 정보를 사용하여 찾거나 결정할 수 있다. 예를 들어 스캔 섹션의 테스트 정상과 실패의 경계가 되는 주파수 또는 주파수의 주기와 특정 쉬프트 주파수(5100) 사이의 간격을 나타내는 마진을 찾거나 결정할 수 있다. 마진이 반영된 쉬프트 주파수를 사용하는 스캔 섹션과 그 앞에 위치하는 스캔 섹션에 의한 테스트 결과가 모두 정상이 되어야 한다.The shift frequency margin of the scan section can be determined or determined using the test normal or failure information in the scan section. For example, a margin may be found or determined that represents the interval between the frequency of the frequency or frequency of the test normal and the failure of the scan section and the specific shift frequency 5100. Both the scan section using the shift frequency reflecting the margin and the scan section located in front of it should be normal.

쉬프트 주파수의 마진이 작은 스캔 섹션에 대해서 마진을 증가하면 칩의 제조 공정이나 테스트 환경 등의 변이(variation)에 대해 테스트 시 덜 영향을 받게 된다. 따라서 수율 개선의 효과가 있을 수 있다.Increasing the margin for scan sections with small shift frequency margins will be less influenced by variations in chip manufacturing process and test environment. Therefore, the improvement of the yield may be effective.

또한 쉬프트 주파수 또는 쉬프트 주파수 주기의 마진이 큰 스캔 섹션에 대해서 마진을 감소하면 테스트 시간을 줄일 수 있는 효과가 있다.In addition, the test time can be reduced by reducing the margin for a scan section having a large margin of the shift frequency or shift frequency period.

따라서 수율 개선과 테스트 시간 감소라는 서로 반대되는 효과를 스캔 섹션 별 주파수 마진을 고려함으로써 함께 얻을 수 있다.Thus, the opposite effects of yield improvement and test time reduction can be achieved by considering the frequency margin per scan section.

도 51을 참조하면, 제1 스캔 섹션과 제2 스캔 섹션은 명목 쉬프트 주파수(5100) 20MHz 에서 모두 테스트 패스이다. 20MHz를 기준으로 제1 스캔 섹션의 쉬프트 주파수의 마진이 기 설정된 기준 값보다 작으면, 테스트 장치는 제1 스캔 섹션의 쉬프트 주파수의 마진을 증가시켜서 칩의 양산 테스트 시 수율 개선에 도움을 줄 수 있다. 즉 제1 스캔 섹션의 사용 쉬프트 주파수를 기준 값을 만족하도록 20MHz보다 더 작은 값으로 변경한다. 또한 20MHz를 기준으로 제2 스캔 섹션의 쉬프트 주파수 또는 쉬프트 주파수의 주기의 마진이 기준 값보다 크면, 제2 스캔 섹션의 주파수 또는 주파수의 주기의 마진을 감소시켜서 칩의 양산 테스트 시 전체 테스트 시간을 줄일 수 있다. 즉 제2 스캔 섹션의 사용 쉬프트 주파수를 기준 값을 만족하도록 20MHz 보다 더 큰 값으로 변경한다.Referring to FIG. 51, the first scan section and the second scan section are all test passes at a nominal shift frequency 5100 of 20 MHz. If the margin of the shift frequency of the first scan section on the basis of 20 MHz is smaller than the predetermined reference value, the test apparatus can increase the margin of the shift frequency of the first scan section to help improve the yield in the mass production test of the chip . That is, the use shift frequency of the first scan section is changed to a value smaller than 20 MHz so as to satisfy the reference value. Also, if the margin of the shift frequency of the second scan section or the cycle of the shift frequency is larger than the reference value on the basis of 20 MHz, the margin of the cycle of the frequency or frequency of the second scan section is reduced to reduce the entire test time . The use shift frequency of the second scan section is changed to a value larger than 20 MHz so as to satisfy the reference value.

이와 같이, 적어도 두 개 이상의 각각의 스캔 섹션에 대해 최적의 쉬프트 주파수를 찾아 칩 테스트를 수행하는데 있어서, 서로 이웃한 스캔 섹션의 경계 비트의 쉬프트 타이밍이 문제가 되는 경우가 있다.In this manner, in performing the chip test by searching for the optimal shift frequency for at least two or more scan sections, the shift timing of the boundary bits of neighboring scan sections may become a problem.

스캔 섹션 S1의 마지막 비트와 그 뒤에서 순차적으로 스캔 경로에 쉬프트인되는 스캔 섹션 S2의 첫번째 비트 사이의 쉬프트 주파수의 주기를 CP_boundary(Clock Period of Boundary Bits)라고 하면, 스캔 섹션 S2의 최적의 최대 쉬프트 주파수의 주기를 찾았을 때 S1과 S2의 제1 CP_boundary와 최적의 쉬프트 주파수의 주기가 결정된 S1과 S2의 제2 CP_boundary는 서로 다를 수 있다. 예를 들어, 제1 CP_boundary 보다 제2 CP_boundary2가 작은 경우 스캔 섹션 S1과 S2를 사용한 스캔 테스트는 정상적인 칩에 대해 고장이 있다고 결정할 가능성이 있다.Assuming that the period of the shift frequency between the last bit of the scan section S1 and the first bit of the scan section S2 shifted in the scan path sequentially thereafter is CP_boundary (Clock Period of Boundary Bits), the optimum maximum shift frequency The first CP_boundary of S1 and S2 and the second CP_boundary of S1 and S2 where the cycle of the optimal shift frequency is determined may be different from each other. For example, if the second CP_boundary2 is smaller than the first CP_boundary, the scan test using the scan sections S1 and S2 may determine that there is a failure for a normal chip.

이러한 경우에, 서로 이웃한 스캔 섹션의 경계 비트의 쉬프트 타이밍 문제를 해결하기 위해서는 다음과 같은 방법을 사용할 수 있다.In this case, the following method can be used to solve the shift timing problem of the boundary bits of neighboring scan sections.

(1) 스캔 섹션 S1의 최적의 최대 쉬프트 주파수가 결정된 경우, 스캔 섹션 S1의 다음에 이어서 쉬프트인되는 스캔 섹션 S2의 최적의 최대 쉬프트 주파수를 찾을 때 스캔 섹션 S1은 S1에 대해 결정된 최적의 쉬프트 주파수를 사용한다.(1) When the optimum maximum shift frequency of the scan section S1 is determined, when the optimum maximum shift frequency of the scan section S2 to be shifted following the scan section S1 is found, the scan section S1 determines the optimum shift frequency Lt; / RTI >

(2) CDP(Clock Definition Period)의 경계 또는 경계에 근접한 위치에 스캔 비트의 쉬프트 동작이 수행되는 클락 에지가 위치하도록 한다. CDP는 클락의 모양이 정의되는 시간 구간으로, 상기 구간 내에서 클락 신호의 상승 또는 하강 시기 등이 정의된다. CDP는 장비나 테스트 데이터에서 설정될 수 있다.(2) a clock edge at which a shift operation of a scan bit is performed is located at a position close to the boundary or boundary of the CDP (Clock Definition Period). CDP is a time interval in which the shape of the clock is defined, and the rising or falling timing of the clock signal within the interval is defined. CDP can be set in the equipment or test data.

(3) 스캔 섹션 S1의 마지막 비트와 그 뒤에서 순차적으로 스캔 경로에 쉬프트인되는 스캔 섹션 S2의 첫번째 비트 사이의 쉬프트 주파수의 주기 또는 쉬프트 시간 간격을 조정(adjust)한다. 예를 들어 S1과 S2를 포함하는 스캔 패턴을 사용하여 스캔 테스트를 할 경우 정상적인 칩을 정상이라고 결정할 수 있는 쉬프트 주파수의 주기로 조정될 수 있다. 쉬프트 주파수의 주기 또는 쉬프트 시간 간격은 테스트 데이터에서 정의되거나 테스트 장치에서 설정될 수 있다. 예를 들어, 스캔 섹션 S1과 S2 각각에 대해 최적 쉬프트 주파수의 주기가 할당된 새로운 테스트 데이터를 만들 때, 스캔 섹션 S1의 마지막 비트 또는 S2의 첫번째 비트에 대한 타이밍 정보를 새롭게 만들고, 상기 타이밍 정보에는 S1과 S2를 포함하는 스캔 패턴을 사용하여 스캔 테스트를 할 경우 정상적인 칩을 정상이라고 결정할 수 있는 쉬프트 주파수의 주기가 할당될 수 있다. 예를 들면 명목 쉬프트 주파수의 주기 등이 할당될 수 있다.(3) adjusts the period or shift time interval of the shift frequency between the last bit of the scan section S1 and the first bit of the scan section S2, which is shifted sequentially to the scan path after the last bit. For example, when a scan test is performed using a scan pattern including S1 and S2, a normal chip can be adjusted to a cycle of a shift frequency at which it can be determined to be normal. The period or shift time interval of the shift frequency can be defined in the test data or set in the test device. For example, when creating new test data to which a cycle of the optimum shift frequency is assigned for each of the scan sections S1 and S2, timing information for the last bit of the scan section S1 or the first bit of S2 is newly created, When a scan test is performed using a scan pattern including S1 and S2, a period of a shift frequency that can determine a normal chip as normal can be assigned. For example, a period of a nominal shift frequency, or the like.

(4) 서로 이웃한 스캔 섹션의 경계 비트의 쉬프트 타이밍 문제로 인해 정상인 칩에 대해 스캔 테스트 시에 고장이 있다고 결정되는 경우, 해당 경계 비트를 포함하는 스캔 섹션 또는 스캔 패턴의 쉬프트 주파수의 주기를 증가시킨다.(4) When it is determined that there is a failure in a scan test for a normal chip due to a shift timing problem of boundary bits of neighboring scan sections, the cycle of the shift frequency of the scan section or the scan pattern including the boundary bit is increased .

본 발명의 수행을 위한 기능 및 본 발명을 수행하여 얻은 스캔 쉬프트 주파수 정보 또는 정보가 반영된 스캔 섹션 정보는 컴퓨터로 읽을 수 있는 기록매체에 컴퓨터가 읽을 수 있는 코드 또는 데이터로서 구현하는 것이 가능하다. 코드의 일 예로서 실행 가능한 컴퓨터 프로그램 또는 소프트웨어 등이 있다. 코드 또는 데이터는 스캔 테스트 장치, 번인 테스트 장치 또는 컴퓨터 등의 장치에서 실행되거나 사용될 수 있다. 컴퓨터가 읽을 수 있는 기록매체는 컴퓨터 시스템에 의하여 읽혀질 수 있는 데이터가 저장되는 모든 종류의 기록장치를 포함한다. 컴퓨터가 읽을 수 있는 기록매체의 예로는 다양한 형태의 ROM, RAM, FLASH 메모리, CD-ROM, 자기 테이프, 플로피디스크, 하드디스크, 광데이터 저장장치 등이 있다.The function for performing the present invention and the scan section information obtained by performing the present invention or the scan section information in which the information is reflected can be implemented as a computer readable code or data in a computer readable recording medium. An example of the code is an executable computer program or software. The code or data may be executed or used in a device such as a scan test device, a burn-in test device, or a computer. A computer-readable recording medium includes all kinds of recording apparatuses in which data that can be read by a computer system is stored. Examples of the computer-readable recording medium include various types of ROM, RAM, FLASH memory, CD-ROM, magnetic tape, floppy disk, hard disk, optical data storage, and the like.

또한 컴퓨터가 읽을 수 있는 기록매체는 네트워크로 연결된 컴퓨터 시스템에 분산되어 분산방식으로 컴퓨터가 읽을 수 있는 코드 또는 데이터가 저장되고 실행될 수도 있다. 본 발명의 최소한 하나의 실시예에서, 컴퓨터 프로그램 코드 또는 데이터는 서버 컴퓨터에 저장되고 클라이언트 컴퓨터에서 서버 컴퓨터에 접속하여 코드 또는 데이터를 사용하거나 클라이언트 컴퓨터로 다운로드하여 저장 또는 사용할 수도 있다. 예를 들어, 서버 컴퓨터 또는 클라이언트 컴퓨터에서 프로그램 코드를 실행할 수 있다.The computer-readable recording medium may also be distributed and distributed in a networked computer system so that computer readable code or data may be stored and executed in a distributed manner. In at least one embodiment of the invention, the computer program code or data may be stored on a server computer and accessed from a client computer to a server computer for use in code or data or downloaded to a client computer for use or storage. For example, you can run program code on a server computer or client computer.

이제까지 본 발명에 대하여 그 바람직한 실시예들을 중심으로 살펴보았다. 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자는 본 발명이 본 발명의 본질적인 특성에서 벗어나지 않는 범위에서 변형된 형태로 구현될 수 있음을 이해할 수 있을 것이다. 그러므로 개시된 실시예들은 한정적인 관점이 아니라 설명적인 관점에서 고려되어야 한다. 본 발명의 범위는 전술한 설명이 아니라 특허청구범위에 나타나 있으며, 그와 동등한 범위 내에 있는 모든 차이점은 본 발명에 포함된 것으로 해석되어야 할 것이다.The present invention has been described with reference to the preferred embodiments. It will be understood by those skilled in the art that various changes in form and details may be made therein without departing from the spirit and scope of the invention as defined by the appended claims. Therefore, the disclosed embodiments should be considered in an illustrative rather than a restrictive sense. The scope of the present invention is defined by the appended claims rather than by the foregoing description, and all differences within the scope of equivalents thereof should be construed as being included in the present invention.

Claims (23)

스캔 입력 포트, 스캔 경로, 및 스캔 출력 포트를 포함하는 IC 칩의 상기 스캔 입력 포트에 스캔 패턴을 입력해서 상기 스캔 출력 포트로부터 출력되는 출력 결과를 사전 설정된 예측 결과와 비교하여 상기 IC 칩의 결함 유무를 검사하는 스캔 테스트에서, 상기 스캔 입력 포트에 입력되는 최소한 하나 이상의 스캔 패턴의 일부 또는 전부로 구성되는 스캔 섹션에 대해 사용 가능한 쉬프트 주파수를 찾기 위하여 상기 스캔 입력 포트에 입력되는 스캔 테스트 데이터 작성을 수행하는 컴퓨터 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체에 있어서,
상기 스캔 입력 포트에 순차적으로 입력되도록 구성된 적어도 하나 이상의 스캔 섹션을 포함하는 제1 데이터; 및
상기 제1 데이터 내에 포함된 적어도 하나 이상의 스캔 섹션의 타이밍 정보를 포함하는 제2 데이터;를 포함하는 스캔 테스트 데이터를 생성하여 상기 기록매체에 저장하고,
상기 제2 데이터에서, 사용 가능한 쉬프트 주파수를 찾고자 하는 대상 스캔 섹션의 타이밍 정보와 상기 대상 스캔 섹션의 앞 또는 뒤에 위치한 스캔 섹션의 타이밍 정보는 서로 다르고,
상기 타이밍 정보는 상기 스캔 입력 포트에 입력되는 스캔 섹션의 쉬프트 주파수 또는 쉬프트 클록의 주기를 제어하기 위한 정보이고,
상기 대상 스캔 섹션의 사용 가능한 쉬프트 주파수는 상기 대상 스캔 섹션과 상기 대상 스캔 섹션의 앞 또는 뒤에 위치한 스캔 섹션을 상기 스캔 입력 포트에 서로 다른 타이밍 정보로 입력하여 수행한 스캔 테스트의 결과가 정상 또는 실패인지를 기초로 검색되는 것을 특징으로 하는 스캔 테스트 데이터 작성을 수행하는 컴퓨터 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
A scan pattern is input to the scan input port of an IC chip including a scan input port, a scan path, and a scan output port, and an output result output from the scan output port is compared with a predetermined prediction result to determine whether the IC chip has a defect Scan test data input to the scan input port is searched for a usable shift frequency for a scan section constituted by a part or all of at least one scan pattern input to the scan input port A computer-readable recording medium having recorded thereon a computer program,
First data including at least one scan section configured to be sequentially input to the scan input port; And
And second data including timing information of at least one or more scan sections included in the first data, and storing the generated scan test data in the recording medium,
In the second data, the timing information of a target scan section to which an available shift frequency is to be sought is different from the timing information of a scan section located before or after the target scan section,
The timing information is information for controlling a shift frequency or a shift clock cycle of a scan section input to the scan input port,
Wherein the usable shift frequency of the target scan section is obtained by inputting the scan section located before or after the target scan section and the target scan section as different timing information to the scan input port, Wherein the scan test data is searched based on the scan test data.
제1항에 있어서,
상기 제1 데이터는 복수의 대상 스캔 섹션을 포함하고,
상기 제2 데이터에서, 복수의 대상 스캔 섹션의 타이밍 정보는 서로 다른 것을 특징으로 하는 스캔 테스트 데이터 작성을 수행하는 컴퓨터 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
The method according to claim 1,
Wherein the first data comprises a plurality of target scan sections,
Wherein the timing information of the plurality of target scan sections are different from each other in the second data.
제1항에 있어서,
상기 제2 데이터 내의 타이밍 정보는 상기 스캔 입력 포트에 스캔 섹션을 쉬프트하기 위한 쉬프트 주파수 또는 쉬프트 클록의 주기에 해당하는 쉬프트 주파수 정보 및 상기 스캔 섹션을 식별하기 위한 스캔 섹션 식별 정보 중 적어도 하나를 포함하는 것을 특징으로 하는 스캔 테스트 데이터 작성을 수행하는 컴퓨터 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
The method according to claim 1,
Wherein the timing information in the second data includes at least one of a shift frequency for shifting a scan section to the scan input port or shift frequency information corresponding to a cycle of a shift clock and scan section identification information for identifying the scan section Wherein the scan test data is recorded on the recording medium.
제1항에 있어서,
상기 제1 데이터는 상기 대상 스캔 섹션을 구성하는 제2 스캔 패턴과 상기 제2 스캔 패턴의 바로 앞에 위치한 제1 스캔 패턴을 포함하고,
상기 제1 스캔 패턴과 상기 제2 스캔 패턴은 상기 스캔 입력 포트에 순차적으로 입력되도록 구성된 것을 특징으로 하는 스캔 테스트 데이터 작성을 수행하는 컴퓨터 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
The method according to claim 1,
Wherein the first data includes a second scan pattern constituting the target scan section and a first scan pattern located immediately before the second scan pattern,
Wherein the first scan pattern and the second scan pattern are sequentially input to the scan input port. The computer-readable recording medium according to claim 1, wherein the first scan pattern and the second scan pattern are sequentially input to the scan input port.
제4항에 있어서, 상기 제1 데이터는,
상기 제1 스캔 패턴을 상기 스캔 입력 포트에 입력해서 상기 스캔 출력 포트로부터 출력되는 출력 패턴에 대한 제1 예측 패턴; 및
상기 제2 스캔 패턴을 상기 스캔 입력 포트에 입력해서 상기 스캔 출력 포트로부터 출력되는 출력 패턴에 대한 제2 예측 패턴;을 포함하는 것을 특징으로 하는 스캔 테스트 데이터 작성을 수행하는 컴퓨터 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
5. The method of claim 4,
A first prediction pattern for an output pattern input from the scan output port by inputting the first scan pattern into the scan input port; And
And a second prediction pattern for an output pattern input from the scan output port by inputting the second scan pattern to the scan input port. A recording medium capable of.
제1항에 있어서, 상기 제1 데이터는,
상기 스캔 입력 포트에 첫 번째 입력되는 스캔 패턴을 상기 스캔 입력 포트에 입력해서 상기 스캔 출력 포트로부터 출력되는 출력 패턴에 대한 예측 패턴으로 돈케어(don't-care) 패턴을 포함하는 것을 특징으로 하는 스캔 테스트 데이터 작성을 수행하는 컴퓨터 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
2. The method according to claim 1,
And a don't-care pattern in a predicted pattern of an output pattern input from the scan output port by inputting a first scan pattern input to the scan input port into the scan input port. A computer-readable recording medium recording a computer program for performing scan test data creation.
제4항에 있어서,
상기 제1 데이터는, 상기 제2 스캔 패턴의 바로 뒤에 위치하는 제3 스캔 패턴을 포함하고,
상기 제2 스캔 패턴과 상기 제3 스캔 패턴은 상기 스캔 입력 포트에 순차적으로 입력되도록 구성된 것을 특징으로 하는 스캔 테스트 데이터 작성을 수행하는 컴퓨터 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
5. The method of claim 4,
Wherein the first data includes a third scan pattern positioned immediately after the second scan pattern,
Wherein the second scan pattern and the third scan pattern are sequentially input to the scan input port. ≪ Desc / Clms Page number 20 >
제7항에 있어서,
상기 제1 데이터는 상기 제3 스캔 패턴을 상기 스캔 입력 포트에 입력해서 상기 출력 포트로부터 출력되는 출력 패턴에 대한 제3 예측 패턴을 포함하는 것을 특징으로 하는 스캔 테스트 데이터 작성을 수행하는 컴퓨터 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
8. The method of claim 7,
Wherein the first data includes a third prediction pattern for an output pattern input from the output port by inputting the third scan pattern to the scan input port. A computer readable recording medium.
제1항에 있어서,
상기 대상 스캔 섹션의 사용 가능한 쉬프트 주파수를 찾기 위해 사용되는 스캔 패턴의 개수는 상기 IC 칩을 테스트하기 위한 전체 스캔 패턴의 개수보다 적거나 같은 것을 특징으로 하는 스캔 테스트 데이터 작성을 수행하는 컴퓨터 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
The method according to claim 1,
Wherein the number of scan patterns used to search for the available shift frequency of the target scan section is less than or equal to the total number of scan patterns for testing the IC chip. A computer readable recording medium.
스캔 입력 포트, 스캔 경로, 및 스캔 출력 포트를 포함하는 IC 칩의 상기 스캔 입력 포트에 스캔 패턴을 입력해서 상기 스캔 출력 포트로부터 출력되는 출력 결과를 사전 설정된 예측 결과와 비교하여 상기 IC 칩의 결함 유무를 검사하는 스캔 테스트에서, 상기 스캔 입력 포트에 입력되는 적어도 하나 이상의 스캔 패턴의 일부 또는 전부로 구성되는 스캔 섹션에 대해 사용 가능한 쉬프트 주파수를 찾기 위한 스캔 테스트 데이터를 작성하는 스캔 테스트 데이터 작성 방법에 있어서,
상기 스캔 입력 포트에 순차적으로 입력되도록 구성된 적어도 하나 이상의 스캔 섹션을 포함하는 제1 데이터를 기록매체에 저장하는 단계: 및
상기 제1 데이터 내에 포함된 각 스캔 섹션의 타이밍 정보를 포함하는 제2 데이터를 기록매체에 저장하는 단계;를 포함하고,
상기 제2 데이터에서, 사용 가능한 쉬프트 주파수를 찾고자 하는 대상 스캔 섹션의 타이밍 정보와 상기 대상 스캔 섹션의 앞 또는 뒤에 위치한 스캔 섹션의 타이밍 정보는 서로 다르고,
상기 타이밍 정보는 상기 스캔 입력 포트에 입력되는 스캔 섹션의 쉬프트 주파수 또는 쉬프트 클록의 주기를 제어하기 위한 정보이고,
상기 대상 스캔 섹션의 사용 가능한 쉬프트 주파수는 상기 대상 스캔 섹션과 상기 대상 스캔 섹션의 앞 또는 뒤에 위치한 스캔 섹션을 상기 스캔 입력 포트에 서로 다른 타이밍 정보로 입력하여 수행한 스캔 테스트의 결과가 정상 또는 실패인지를 기초로 검색되는 것을 특징으로 하는 스캔 테스트 데이터 작성 방법.
A scan pattern is input to the scan input port of an IC chip including a scan input port, a scan path, and a scan output port, and an output result output from the scan output port is compared with a predetermined prediction result to determine whether the IC chip has a defect In a scan test for generating scan test data for searching a usable shift frequency for a scan section constituted by a part or all of at least one scan pattern input to the scan input port, ,
Storing in the recording medium first data including at least one scan section configured to be sequentially input to the scan input port;
And storing second data including timing information of each scan section included in the first data on a recording medium,
In the second data, the timing information of a target scan section to which an available shift frequency is to be sought is different from the timing information of a scan section located before or after the target scan section,
The timing information is information for controlling a shift frequency or a shift clock cycle of a scan section input to the scan input port,
Wherein the usable shift frequency of the target scan section is obtained by inputting the scan section located before or after the target scan section and the target scan section as different timing information to the scan input port, Wherein the scan test data is searched based on the scan test data.
제10항에 있어서,
상기 제1 데이터는 복수의 대상 스캔 섹션을 포함하고,
상기 제2 데이터에서, 복수의 대상 스캔 섹션의 타이밍 정보는 서로 다른 것을 특징으로 하는 스캔 테스트 데이터 작성 방법.
11. The method of claim 10,
Wherein the first data comprises a plurality of target scan sections,
Wherein in the second data, timing information of a plurality of target scan sections is different from each other.
제10항에 있어서,
상기 타이밍 정보는 상기 스캔 입력 포트에 스캔 섹션을 쉬프트하기 위한 쉬프트 주파수 또는 쉬프트 클록의 주기에 해당하는 쉬프트 주파수 정보 및 상기 스캔 섹션을 식별하기 위한 스캔 섹션 식별 정보 중 적어도 하나를 포함하는 것을 특징으로 하는 스캔 테스트 데이터 작성 방법.
11. The method of claim 10,
Wherein the timing information includes at least one of a shift frequency for shifting a scan section to the scan input port or shift frequency information corresponding to a cycle of a shift clock and scan section identification information for identifying the scan section. How to create scan test data.
제10항에 있어서,
상기 제1 데이터는 상기 대상 스캔 섹션을 구성하는 제2 스캔 패턴과 상기 제2 스캔 패턴의 바로 앞에 위치한 제1 스캔 패턴을 포함하고,
상기 제1 스캔 패턴과 상기 제2 스캔 패턴은 상기 스캔 입력 포트에 순차적으로 입력되도록 구성된 것을 특징으로 하는 스캔 테스트 데이터 작성 방법.
11. The method of claim 10,
Wherein the first data includes a second scan pattern constituting the target scan section and a first scan pattern located immediately before the second scan pattern,
Wherein the first scan pattern and the second scan pattern are sequentially input to the scan input port.
제13항에 있어서, 상기 제1 데이터는,
상기 제1 스캔 패턴을 상기 스캔 입력 포트에 입력해서 상기 스캔 출력 포트로부터 출력되는 출력 패턴에 대한 제1 예측 패턴; 및
상기 제2 스캔 패턴을 상기 스캔 입력 포트에 입력해서 상기 스캔 출력 포트로부터 출력되는 출력 패턴에 대한 제2 예측 패턴;을 포함하는 것을 특징으로 하는 스캔 테스트 데이터 작성 방법.
14. The method according to claim 13,
A first prediction pattern for an output pattern input from the scan output port by inputting the first scan pattern into the scan input port; And
And a second predicted pattern for an output pattern input from the scan output port by inputting the second scan pattern into the scan input port.
제10항에 있어서,
상기 제1 데이터는 상기 스캔 입력 포트에 첫 번째 입력되는 스캔 패턴을 상기 스캔 입력 포트에 입력해서 상기 스캔 출력 포트로부터 출력되는 출력 패턴에 대한 예측 패턴으로 돈케어(don't-care) 패턴을 포함하는 것을 특징으로 하는 스캔 테스트 데이터 작성 방법.
11. The method of claim 10,
The first data may include a don't-care pattern as a predictive pattern of an output pattern output from the scan output port by inputting a first scan pattern input to the scan input port to the scan input port Wherein the scan test data is generated based on the scan test data.
제13항에 있어서,
상기 제1 데이터는 상기 제2 스캔 패턴의 바로 뒤에 위치하는 제3 스캔 패턴을 더 포함하고,
상기 제2 스캔 패턴과 상기 제3 스캔 패턴은 상기 스캔 입력 포트에 순차적으로 입력되도록 구성된 것을 특징으로 하는 스캔 테스트 데이터 작성 방법.
14. The method of claim 13,
Wherein the first data further includes a third scan pattern positioned immediately after the second scan pattern,
Wherein the second scan pattern and the third scan pattern are sequentially input to the scan input port.
제16항에 있어서,
상기 제1 데이터는 상기 제3 스캔 패턴을 상기 스캔 입력 포트에 입력해서 상기 출력 포트로부터 출력되는 출력 패턴에 대한 제3 예측 패턴을 포함하는 것을 특징으로 하는 스캔 테스트 데이터 작성 방법.
17. The method of claim 16,
Wherein the first data includes a third prediction pattern for an output pattern input from the output port by inputting the third scan pattern into the scan input port.
제10항에 있어서,
상기 대상 스캔 섹션의 사용 가능한 쉬프트 주파수를 찾기 위해 사용되는 스캔 패턴의 개수는 상기 IC 칩을 테스트하기 위한 전체 스캔 패턴의 개수보다 적거나 같도록 설정되는 것을 특징으로 하는 스캔 테스트 데이터 작성 방법.
11. The method of claim 10,
Wherein the number of scan patterns used for finding the available shift frequency of the target scan section is set to be less than or equal to the total number of scan patterns for testing the IC chip.
제10항에 있어서,
최소한 하나 이상의 스캔 패턴으로부터 스캔 섹션의 비트 길이, 스캔 패턴을 스캔 섹션으로 구분하는 개수, 스캔 패턴에서 비트 값이 바뀌는 경계 비트, 또는 최적의 쉬프트 주파수를 검색하는데 걸리는 예상 소요 시간을 기준으로 스캔 섹션이 구분되는 것을 특징으로 하는 스캔 테스트 데이터 작성 방법.
11. The method of claim 10,
Based on the bit length of the scan section from at least one scan pattern, the number of sections that divide the scan pattern into scan sections, the boundary bit at which the bit value changes in the scan pattern, or the estimated time required to search for the optimal shift frequency, Wherein the scan test data is divided into a plurality of scan test data.
제10항 내지 제19항 중의 어느 한 항에 기재된 스캔 테스트 데이터 작성 방법을 실행시키기 위한 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.A computer-readable recording medium having recorded thereon a program for executing the method for generating a scan test data according to any one of claims 10 to 19. 스캔 입력 포트, 스캔 경로, 및 스캔 출력 포트를 포함하는 IC 칩의 상기 스캔 입력 포트에 스캔 패턴을 입력해서 상기 스캔 출력 포트로부터 출력되는 출력 결과를 사전 설정된 예측 결과와 비교하여 상기 IC 칩의 결함 유무를 검사하는 스캔 테스트 장치에 있어서,
상기 스캔 입력 포트에 입력되는 적어도 하나 이상의 스캔 패턴의 일부 또는 전부가 적어도 하나 이상의 스캔 섹션을 구성하고,
상기 적어도 하나 이상의 스캔 섹션에 대해 사용 가능한 쉬프트 주파수를 찾기 위하여 기록매체에 저장되는 스캔 테스트 데이터는,
상기 스캔 입력 포트에 순차적으로 입력되도록 구성된 적어도 하나 이상의 스캔 섹션을 포함하는 제1 데이터; 및
상기 제1 데이터 내에 포함된 각 스캔 섹션의 타이밍 정보를 포함하는 제2 데이터;를 포함하고,
상기 제2 데이터에서, 사용 가능한 쉬프트 주파수를 찾고자 하는 대상 스캔 섹션의 타이밍 정보와 상기 대상 스캔 섹션의 앞 또는 뒤에 위치한 스캔 섹션의 타이밍 정보는 서로 다르고,
상기 타이밍 정보는 상기 스캔 입력 포트에 입력되는 스캔 섹션의 쉬프트 주파수 또는 쉬프트 클록의 주기를 제어하기 위한 정보이고,
상기 대상 스캔 섹션의 사용 가능한 쉬프트 주파수는 상기 대상 스캔 섹션과 상기 대상 스캔 섹션의 앞 또는 뒤에 위치한 스캔 섹션을 상기 스캔 입력 포트에 서로 다른 타이밍 정보로 입력하여 수행한 스캔 테스트의 결과가 정상 또는 실패인지를 기초로 검색되는 것을 특징으로 하는 스캔 테스트 장치.
A scan pattern is input to the scan input port of an IC chip including a scan input port, a scan path, and a scan output port, and an output result output from the scan output port is compared with a predetermined prediction result to determine whether the IC chip has a defect The scan test apparatus comprising:
A part or all of at least one scan pattern inputted to the scan input port constitutes at least one scan section,
The scan test data stored in the recording medium to search for an available shift frequency for the at least one scan section,
First data including at least one scan section configured to be sequentially input to the scan input port; And
And second data including timing information of each scan section included in the first data,
In the second data, the timing information of a target scan section to which an available shift frequency is to be sought is different from the timing information of a scan section located before or after the target scan section,
The timing information is information for controlling a shift frequency or a shift clock cycle of a scan section input to the scan input port,
Wherein the usable shift frequency of the target scan section is obtained by inputting the scan section located before or after the target scan section and the target scan section as different timing information to the scan input port, The scan test apparatus comprising:
스캔 입력 포트, 스캔 경로, 및 스캔 출력 포트를 포함하는 IC 칩의 상기 스캔 입력 포트에 스캔 패턴을 입력해서 상기 스캔 출력 포트로부터 출력되는 출력 비트 값을 사전 설정된 예측 결과와 비교하여 상기 IC 칩의 결함 유무를 검사하는 스캔 테스트 방법에 있어서,
상기 스캔 입력 포트에 입력되는 적어도 하나 이상의 스캔 패턴의 일부 또는 전부가 적어도 하나 이상의 스캔 섹션을 구성하고,
상기 적어도 하나 이상의 스캔 섹션에 대해 사용 가능한 쉬프트 주파수를 찾기 위하여 기록매체에 저장되는 스캔 테스트 데이터는,
상기 스캔 입력 포트에 순차적으로 입력되도록 구성된 적어도 하나 이상의 스캔 섹션을 포함하는 제1 데이터; 및
상기 제1 데이터 내에 포함된 각 스캔 섹션의 타이밍 정보를 포함하는 제2 데이터;를 포함하고,
상기 제2 데이터에서, 사용 가능한 쉬프트 주파수를 찾고자 하는 대상 스캔 섹션의 타이밍 정보와 상기 대상 스캔 섹션의 앞 또는 뒤에 위치한 스캔 섹션의 타이밍 정보는 서로 다르고,
상기 타이밍 정보는 상기 스캔 입력 포트에 입력되는 스캔 섹션의 쉬프트 주파수 또는 쉬프트 클록의 주기를 제어하기 위한 정보이고,
상기 대상 스캔 섹션의 사용 가능한 쉬프트 주파수는 상기 대상 스캔 섹션과 상기 대상 스캔 섹션의 앞 또는 뒤에 위치한 스캔 섹션을 상기 스캔 입력 포트에 서로 다른 타이밍 정보로 입력하여 수행한 스캔 테스트의 결과가 정상 또는 실패인지를 기초로 검색되는 것을 특징으로 하는 스캔 테스트 방법.
A scan pattern is input to the scan input port of an IC chip including a scan input port, a scan path, and a scan output port, and an output bit value output from the scan output port is compared with a predetermined prediction result, The method of claim 1,
A part or all of at least one scan pattern inputted to the scan input port constitutes at least one scan section,
The scan test data stored in the recording medium to search for an available shift frequency for the at least one scan section,
First data including at least one scan section configured to be sequentially input to the scan input port; And
And second data including timing information of each scan section included in the first data,
In the second data, the timing information of a target scan section to which an available shift frequency is to be sought is different from the timing information of a scan section located before or after the target scan section,
The timing information is information for controlling a shift frequency or a shift clock cycle of a scan section input to the scan input port,
Wherein the usable shift frequency of the target scan section is obtained by inputting the scan section located before or after the target scan section and the target scan section as different timing information to the scan input port, The scan test method comprising:
제22항에 기재된 방법을 실행시키기 위한 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.

A computer-readable recording medium on which a program for executing the method according to claim 22 is recorded.

KR1020180002993A 2014-10-29 2018-01-09 Apparatus, method, and system for testing integrated circuit chip KR101923142B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR20140148443 2014-10-29
KR1020160052368A KR20170049357A (en) 2014-10-29 2016-04-28 Method for minimizing chip test time and apparatus therefor
KR1020160052368 2016-04-28

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020170053344A Division KR20170123260A (en) 2014-10-29 2017-04-26 Apparatus, method, and system for testing integrated circuit chip

Publications (2)

Publication Number Publication Date
KR20180006480A KR20180006480A (en) 2018-01-17
KR101923142B1 true KR101923142B1 (en) 2018-11-28

Family

ID=56024822

Family Applications (6)

Application Number Title Priority Date Filing Date
KR1020140159606A KR101618822B1 (en) 2014-10-29 2014-11-17 Method for minimizing scan test time and apparatus therefor
KR1020140167821A KR101649708B1 (en) 2014-10-29 2014-11-27 Method for minimizing burn-in test time and apparatus therefor
KR1020150149729A KR20160052348A (en) 2014-10-29 2015-10-27 Method for minimizing chip test time and apparatus therefor
KR1020160052368A KR20170049357A (en) 2014-10-29 2016-04-28 Method for minimizing chip test time and apparatus therefor
KR1020160053537A KR20160067815A (en) 2014-10-29 2016-04-29 Method for minimizing chip test time and apparatus therefor
KR1020180002993A KR101923142B1 (en) 2014-10-29 2018-01-09 Apparatus, method, and system for testing integrated circuit chip

Family Applications Before (5)

Application Number Title Priority Date Filing Date
KR1020140159606A KR101618822B1 (en) 2014-10-29 2014-11-17 Method for minimizing scan test time and apparatus therefor
KR1020140167821A KR101649708B1 (en) 2014-10-29 2014-11-27 Method for minimizing burn-in test time and apparatus therefor
KR1020150149729A KR20160052348A (en) 2014-10-29 2015-10-27 Method for minimizing chip test time and apparatus therefor
KR1020160052368A KR20170049357A (en) 2014-10-29 2016-04-28 Method for minimizing chip test time and apparatus therefor
KR1020160053537A KR20160067815A (en) 2014-10-29 2016-04-29 Method for minimizing chip test time and apparatus therefor

Country Status (3)

Country Link
JP (1) JP2018185343A (en)
KR (6) KR101618822B1 (en)
CN (1) CN109061432B (en)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6209299B1 (en) * 2016-04-28 2017-10-04 イノチオ インクInnotio Inc. IC chip test apparatus, IC chip test method, and IC chip test system
US11940483B2 (en) 2019-01-31 2024-03-26 Tektronix, Inc. Systems, methods and devices for high-speed input/output margin testing
JP2022524715A (en) 2019-01-31 2022-05-10 テクトロニクス・インコーポレイテッド Systems, methods and equipment for high speed I / O margin testing
CN113740701B (en) * 2020-05-28 2024-03-08 第一检测有限公司 Environment control equipment and chip test system
KR102486624B1 (en) * 2020-10-15 2023-01-11 (주)큐랩스 Method and system for verifying circuit at circuit diagram designed
KR20230108337A (en) * 2020-11-24 2023-07-18 텍트로닉스 인코포레이티드 Systems, methods and devices for high-speed input/output margin testing
CN112526319B (en) * 2020-11-25 2022-11-22 海光信息技术股份有限公司 Chip testing method and device, processor chip and server
CN113075487A (en) * 2021-03-31 2021-07-06 读书郎教育科技有限公司 Method for controlling aging test duration in factory
KR102373560B1 (en) * 2021-08-18 2022-03-14 (주)이노티오 Method and apparatus for generating search-data for searching optimal shift frequency of test data for IC chip scan test
US20230184821A1 (en) * 2021-12-09 2023-06-15 Nanya Technology Corporation Appratus for performing multiple tests on a device under test
TWI813481B (en) * 2022-10-25 2023-08-21 瑞昱半導體股份有限公司 Test device for testing on-chip clock controller having debug function
CN116581043B (en) * 2023-04-20 2023-12-12 深圳市晶存科技有限公司 Chip classification method, device, electronic equipment and computer readable storage medium
CN116953490B (en) * 2023-09-19 2023-12-26 西安智多晶微电子有限公司 Method, device and system for measuring internal voltage drop of FPGA chip

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006064395A (en) * 2004-08-24 2006-03-09 Seiko Epson Corp Critical path test method, integrated circuit device, critical path test system, and method for manufacturing integrated circuit device
US20090254787A1 (en) * 2008-04-07 2009-10-08 Open-Silicon, Inc. Shift-frequency scaling
JP2014001937A (en) 2012-06-15 2014-01-09 Renesas Electronics Corp Scan test method, program and scan test circuit

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4820560B2 (en) * 2005-03-07 2011-11-24 株式会社アドバンテスト Test apparatus, test method, electronic device production method, test simulator, and test simulation method
KR20100002357A (en) * 2008-06-30 2010-01-07 삼성전자주식회사 Methode for managing multi burn-in test
CN103018661A (en) * 2009-09-01 2013-04-03 新诺普系统公司 Scanning test system
KR101170433B1 (en) 2010-09-10 2012-08-07 주식회사 윈탑 Apparatus for Test of Burn-in Board and Test Method Using the Same, and Apparatus for Mounting of Burn-in Board
KR20120102876A (en) 2011-03-09 2012-09-19 삼성전자주식회사 Semiconductor device and test system including the same
KR101309079B1 (en) 2012-02-29 2013-09-17 주식회사 유니테스트 Burn-In Tester
US9395414B2 (en) * 2012-12-28 2016-07-19 Nvidia Corporation System for reducing peak power during scan shift at the local level for scan based tests
US9347991B1 (en) * 2014-11-12 2016-05-24 Texas Instruments Incorporated Scan throughput enhancement in scan testing of a device-under-test
JP6491507B2 (en) * 2015-03-20 2019-03-27 ルネサスエレクトロニクス株式会社 Semiconductor device, electronic device and self-diagnosis method of semiconductor device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006064395A (en) * 2004-08-24 2006-03-09 Seiko Epson Corp Critical path test method, integrated circuit device, critical path test system, and method for manufacturing integrated circuit device
US20090254787A1 (en) * 2008-04-07 2009-10-08 Open-Silicon, Inc. Shift-frequency scaling
JP2014001937A (en) 2012-06-15 2014-01-09 Renesas Electronics Corp Scan test method, program and scan test circuit

Also Published As

Publication number Publication date
KR101649708B1 (en) 2016-08-23
CN109061432B (en) 2020-09-04
KR20180006480A (en) 2018-01-17
KR20160051491A (en) 2016-05-11
JP2018185343A (en) 2018-11-22
CN109061432A (en) 2018-12-21
KR20160052348A (en) 2016-05-12
KR20160067815A (en) 2016-06-14
KR20170049357A (en) 2017-05-10
KR101618822B1 (en) 2016-05-18

Similar Documents

Publication Publication Date Title
KR101923142B1 (en) Apparatus, method, and system for testing integrated circuit chip
US9945904B1 (en) Apparatus, method, and system for testing IC chip
JP6209299B1 (en) IC chip test apparatus, IC chip test method, and IC chip test system
US7568139B2 (en) Process for identifying the location of a break in a scan chain in real time
US10067186B2 (en) Method and apparatus for generating featured test pattern
US8645778B2 (en) Scan test circuitry with delay defect bypass functionality
Hellebrand et al. FAST-BIST: Faster-than-at-Speed BIST targeting hidden delay defects
KR101848480B1 (en) Apparatus, method, and system for testing integrated circuit chip
JP2018010005A (en) Ic chip test device, ic test chip method and ic chip test system
US8056036B2 (en) Semiconductor integrated circuit and method of designing thereof based on TPI
KR102373560B1 (en) Method and apparatus for generating search-data for searching optimal shift frequency of test data for IC chip scan test
US6751765B1 (en) Method and system for determining repeatable yield detractors of integrated circuits
TW201625973A (en) Method for minimizing chip test time and apparatus therefor
KR101618821B1 (en) Method for minimizing scan test time and apparatus therefor
Lin et al. On achieving maximal chain diagnosis resolution through test pattern selection
KR101034661B1 (en) Method and apparatus for testing memory device using 2 loading memories
US20170010320A1 (en) Reducing test time and system-on-chip (soc) area reduction using simultaneous clock capture based on voltage sensor input
US8589751B2 (en) Don't-care-bit identification method and don't-care-bit identification program
Wang et al. Cost efficient methods to improve performance of broadcast scan
Yilmaz et al. Seed selection in LFSR-reseeding-based test compression for the detection of small-delay defects
Arslan et al. Delay test resource allocation and scheduling for multiple frequency domains
JPWO2009066764A1 (en) Semiconductor integrated circuit device and test method thereof
Mumtaz et al. Embedded Test for Highly Accurate Defect Localization

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
A302 Request for accelerated examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant