JP6654456B2 - Test point circuit, scan flip-flop for sequential test, semiconductor device and design device - Google Patents

Test point circuit, scan flip-flop for sequential test, semiconductor device and design device Download PDF

Info

Publication number
JP6654456B2
JP6654456B2 JP2016023384A JP2016023384A JP6654456B2 JP 6654456 B2 JP6654456 B2 JP 6654456B2 JP 2016023384 A JP2016023384 A JP 2016023384A JP 2016023384 A JP2016023384 A JP 2016023384A JP 6654456 B2 JP6654456 B2 JP 6654456B2
Authority
JP
Japan
Prior art keywords
scan
test
capture
test point
clock
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016023384A
Other languages
Japanese (ja)
Other versions
JP2017062222A (en
Inventor
浩幸 岩田
浩幸 岩田
潤 松嶋
潤 松嶋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to US15/220,427 priority Critical patent/US10078114B2/en
Publication of JP2017062222A publication Critical patent/JP2017062222A/en
Application granted granted Critical
Publication of JP6654456B2 publication Critical patent/JP6654456B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

本発明はテストポイント回路、シーケンシャルテスト用スキャンフリップフロップ、半導体装置及び設計装置に関し、例えばロジックビルトインセルフテスト(Logic Built-In Self Test:LBIST)に適用可能な技術に関する。   The present invention relates to a test point circuit, a scan flip-flop for a sequential test, a semiconductor device, and a design device, and relates to a technique applicable to, for example, a Logic Built-In Self Test (LBIST).

LSI(Large Scale Integration)の一般的なテスト手法としてスキャンテストがある。スキャンテストを実行可能にするため、回路内のフリップフロップ(FF)がスキャンFFと呼ばれるマルチプレクサ(MUX)付のFFに置換される。MUXは、スキャンイネーブル信号により、テスト入力と通常動作入力とを切り替えることができる。   A scan test is a general LSI (Large Scale Integration) test method. In order to make the scan test executable, the flip-flop (FF) in the circuit is replaced with an FF with a multiplexer (MUX) called a scan FF. The MUX can switch between a test input and a normal operation input by a scan enable signal.

スキャンテスト時には、スキャンFF同士がシリアルに接続され、LSIの外部端子から制御・観測可能なシフトレジスタ(これを、「スキャンチェイン」と呼ぶ)として動作する。スキャンチェインをシフト動作させることによって、任意のテストパターン(ロードデータ)がテスト入力から各スキャンFFに供給される(ロード)。これを、「スキャンシフト動作」と呼ぶ。各スキャンFFに設定されたテストパターンは、テスト対象の組合せ回路に印加される。   At the time of a scan test, scan FFs are serially connected to each other and operate as a shift register that can be controlled and observed from an external terminal of the LSI (this is called a “scan chain”). By shifting the scan chain, an arbitrary test pattern (load data) is supplied from a test input to each scan FF (load). This is called a “scan shift operation”. The test pattern set in each scan FF is applied to a combination circuit to be tested.

そして、スキャンイネーブル信号を切り替えることにより、組合せ回路での演算結果が通常動作入力からスキャンFFに取り込まれる。これを、「キャプチャ動作」と呼ぶ。キャプチャ動作で取得された演算結果は再びスキャンFFでシフトされ、応答が観測される(アンロード)。このアンロードと同時に、次のテストパターンの印加(ロード)が行われる。テスタによりアンロードされた値(アンロードデータ)とその期待値とを比較することにより、LSIのスキャンテストが実行される。   Then, by switching the scan enable signal, the operation result of the combinational circuit is taken into the scan FF from the normal operation input. This is called a “capture operation”. The operation result obtained by the capture operation is shifted again by the scan FF, and a response is observed (unload). Simultaneously with the unloading, application (loading) of the next test pattern is performed. The scan test of the LSI is executed by comparing the value unloaded by the tester (unload data) with its expected value.

スキャンテストでは、スキャンチェインに接続されているスキャンFF数に対応したシフトサイクル数が必要であるため、非常に大きなテストステップ数が必要となる。また、LSIのスキャンテストを実行するためには、スキャンシフト動作に必要なロードデータ及びアンロードデータの期待値を含むテストデータをテスタのメモリに格納する必要がある。テストステップ数が非常に大きい場合、テストデータがテスタのメモリに収まらなくなり、必要なテストが出来なくなる可能性が生じる。   In the scan test, the number of shift cycles corresponding to the number of scan FFs connected to the scan chain is required, so that a very large number of test steps is required. In addition, in order to execute an LSI scan test, it is necessary to store test data including expected values of load data and unload data required for a scan shift operation in a memory of a tester. If the number of test steps is very large, test data may not fit in the memory of the tester, and a necessary test may not be performed.

テストデータ量を減らすテスト容易化設計(Design For Testability:DFT)の一例として、ロジックビルトインセルフテスト(Logic Built-in Self Test :LBIST)が提案されている(非特許文献1)。LBISTでは、回路内部の擬似乱数生成器(Pseudo Random Pattern Generator:PRPG)から発生させたロードデータをスキャンチェインに供給してスキャンシフト動作を行い、キャプチャ動作後のアンロードデータを回路内部の応答圧縮器(Multiple Input Signature Register:MISR)で圧縮する。   As an example of a design for testability (DFT) that reduces the amount of test data, a Logic Built-in Self Test (LBIST) has been proposed (Non-Patent Document 1). In the LBIST, load data generated from a pseudo random pattern generator (PRPG) inside a circuit is supplied to a scan chain to perform a scan shift operation, and unload data after a capture operation is compressed into a response inside the circuit. (Multiple Input Signature Register: MISR).

このため、LBISTによるスキャンテスト期間中は、外部テスタからテストデータを印加する必要はなく、クロックの供給だけでテスト実行が可能になる。そして、任意の時間のテスト実行後に、MISRで圧縮した値を外部テスタで観測し、故障の有無を判定する。そのため、外部テスタに必要なテストデータ量は、LBISTコントローラの制御シーケンス、PRPGとMISRの初期値及びMISRから出力される値の期待値だけで済む。   For this reason, during the scan test period by LBIST, there is no need to apply test data from an external tester, and the test can be executed only by supplying a clock. Then, after executing the test for an arbitrary time, the value compressed by the MISR is observed by an external tester, and the presence or absence of a failure is determined. Therefore, the test data amount required for the external tester is only the control sequence of the LBIST controller, the initial values of PRPG and MISR, and the expected values of the values output from the MISR.

Debaleena Das、Nur A. Touba著、「Reducing test data volume using external/LBIST hybrid test patterns」、International Test Conference 2000Debaleena Das, Nur A. Touba, Reducing test data volume using external / LBIST hybrid test patterns, International Test Conference 2000

自動車用車載機器の機能安全国際規格ISO26262準拠の機能安全の実現のために、Power-on Self-Test(POST)が要求されている。POSTでは、テストデータ量の制約から論理部のテストはLBISTで行われる。LBISTでは、乱数パタン印加によるテスト実行のため、回路内の多くの故障は未検出で終わる可能性が高い。そのため、乱数印加時に回路内の故障の検出確率が高くなるようにテストポイント回路の挿入(Test Point Insertion:TPI)を行うのが一般的である。LBISTにおいて、故障検出率を高くするには大量のTPIが必要になり、面積オーバーヘッド(以下、面積OHとする)が大きくなるという問題がある。また、POSTの実行時間には制限があるため、テスト時間を短縮しつつ、故障検出率を上げる必要がある。   2. Description of the Related Art Power-on Self-Test (POST) is required to realize functional safety of automotive in-vehicle devices in accordance with the international standard ISO 26262. In POST, the test of the logic unit is performed by LBIST due to the limitation of the amount of test data. In LBIST, since a test is executed by applying a random number pattern, there is a high possibility that many faults in the circuit will end undetected. For this reason, it is common practice to insert a test point circuit (Test Point Insertion: TPI) so that the probability of detecting a fault in the circuit when a random number is applied is increased. In LBIST, a large amount of TPI is required to increase the failure detection rate, and there is a problem that an area overhead (hereinafter, referred to as an area OH) increases. In addition, since the POST execution time is limited, it is necessary to shorten the test time and increase the failure detection rate.

その他の課題と新規な特徴は、本明細書の記述および添付図面から明らかになるであろう。   Other problems and novel features will be apparent from the description of this specification and the accompanying drawings.

一実施の形態によれば、テストポイント回路は、スキャンチェインを構成し、クロックシーケンシャルテストの1回のキャプチャ動作期間において、第1キャプチャクロックで、前段のテストポイント回路又は最後段のテストポイント回路がキャプチャした第1演算結果を、第1キャプチャクロックの後の第2キャプチャクロックで取り込む。
なお、上記実施の形態の回路を方法や装置、システムに置き換えて表現したもの、該回路における一部の処理をコンピュータに実行せしめるプログラムなども、本発明の態様としては有効である。
According to one embodiment, the test point circuit constitutes a scan chain, and in one capture operation period of the clock sequential test, the first test clock circuit or the last test point circuit uses the first capture clock. The captured first operation result is captured by a second capture clock after the first capture clock.
Note that what is expressed by replacing the circuit of the above embodiment with a method, an apparatus, or a system, a program that causes a computer to execute a part of the processing in the circuit, and the like are also effective as aspects of the invention.

前記一実施の形態によれば、目標故障検出率を達成するのに必要なテストポイント回路の挿入数を少なくすることでき、面積オーバーヘッドの増大を抑制し、テスト時間を短縮することが可能となる。   According to the embodiment, the number of test point circuits required to achieve the target failure detection rate can be reduced, the increase in area overhead can be suppressed, and the test time can be reduced. .

LBISTを実行可能な半導体装置の一例を示す図である。FIG. 3 is a diagram illustrating an example of a semiconductor device capable of executing LBIST. 制御用テストポイント回路の挿入について説明する図である。FIG. 6 is a diagram for explaining insertion of a control test point circuit. 観測用テストポイント回路の挿入について説明する図である。It is a figure explaining insertion of a test point circuit for observation. スキャンテストの動作波形例を示す図である。FIG. 6 is a diagram illustrating an example of an operation waveform of a scan test. クロックシーケンシャルテストの動作波形例を示す図である。FIG. 6 is a diagram illustrating an example of an operation waveform of a clock sequential test. 実施の形態1に係るテストポイント回路を挿入した半導体装置の構成を示す図である。FIG. 2 is a diagram illustrating a configuration of a semiconductor device in which a test point circuit according to the first embodiment is inserted. 実施の形態1に係る半導体装置のスキャンテスト時間に対する故障検出率を示す図である。FIG. 3 is a diagram illustrating a failure detection rate with respect to a scan test time of the semiconductor device according to the first embodiment; 実施の形態1に係るテストポイント回路の他の構成を示す図である。FIG. 4 is a diagram illustrating another configuration of the test point circuit according to the first embodiment. 実施の形態2に係るテストポイント回路を挿入した半導体装置の構成を示す図である。FIG. 13 is a diagram showing a configuration of a semiconductor device in which a test point circuit according to a second embodiment is inserted. 実施の形態3に係るテストポイント回路を挿入した半導体装置の構成を示す図である。FIG. 14 is a diagram illustrating a configuration of a semiconductor device in which a test point circuit according to a third embodiment is inserted. 実施の形態4に係るテストポイント回路の構成を示す図である。FIG. 14 is a diagram illustrating a configuration of a test point circuit according to a fourth embodiment. 実施の形態に係る半導体装置を設計する設計装置の構成を示す図である。FIG. 2 is a diagram showing a configuration of a design device for designing a semiconductor device according to an embodiment. 実施の形態に係る半導体装置の設計フローを示す図である。FIG. 4 is a diagram showing a design flow of the semiconductor device according to the embodiment. 実施の形態に係る半導体装置の設計フローを示す図である。FIG. 4 is a diagram showing a design flow of the semiconductor device according to the embodiment. 実施の形態5に係るシーケンシャルテスト用スキャンフリップフロップを挿入した半導体装置の構成を示す図である。FIG. 15 is a diagram showing a configuration of a semiconductor device according to a fifth embodiment in which a scan flip-flop for a sequential test is inserted. 実施の形態5に係るシーケンシャルテスト用スキャンフリップフロップの構成を示す図である。FIG. 15 is a diagram showing a configuration of a sequential test scan flip-flop according to a fifth embodiment. 図15に係る半導体装置の動作波形例を示す図である。FIG. 16 is a diagram illustrating an example of an operation waveform of the semiconductor device according to FIG. 15. 図15に係る半導体装置の動作波形例を示す図である。FIG. 16 is a diagram illustrating an example of an operation waveform of the semiconductor device according to FIG. 15. 図16に示すシーケンシャルテスト用スキャンフリップフロップの真理値表である。17 is a truth table of the scan flip-flop for the sequential test shown in FIG. 16. シーケンシャルテスト用スキャンフリップフロップの概略構成を示す図である。FIG. 3 is a diagram illustrating a schematic configuration of a scan flip-flop for a sequential test. 図20に示すシーケンシャルテスト用スキャンフリップフロップの真理値表である。21 is a truth table of the scan flip-flop for the sequential test shown in FIG. 20. 実施の形態5に係るシーケンシャルテスト用スキャンフリップフロップの他の構成を示す図である。FIG. 15 is a diagram illustrating another configuration of the scan flip-flop for sequential test according to the fifth embodiment. 図22に示すシーケンシャルテスト用スキャンフリップフロップの真理値表である。23 is a truth table of the scan flip-flop for the sequential test shown in FIG. 22. 実施の形態5に係るシーケンシャルテスト用スキャンフリップフロップの他の構成を示す図である。FIG. 15 is a diagram illustrating another configuration of the scan flip-flop for sequential test according to the fifth embodiment. 図24に示すシーケンシャルテスト用スキャンフリップフロップの真理値表である。25 is a truth table of the scan flip-flop for the sequential test shown in FIG. 24. 実施の形態5に係るシーケンシャルテスト用スキャンフリップフロップの他の構成を示す図である。FIG. 15 is a diagram illustrating another configuration of the scan flip-flop for sequential test according to the fifth embodiment. 図26に示すシーケンシャルテスト用スキャンフリップフロップの真理値表である。27 is a truth table of the scan flip-flop for the sequential test shown in FIG. 26. 図24又は図26に示すシーケンシャルテスト用スキャンフリップフロップを挿入した半導体装置の動作波形例を示す図である。FIG. 27 is a diagram showing an operation waveform example of the semiconductor device into which the scan flip-flop for the sequential test shown in FIG. 24 or 26 is inserted. 図24又は図26に示すシーケンシャルテスト用スキャンフリップフロップを挿入した半導体装置の動作波形例を示す図である。FIG. 27 is a diagram showing an operation waveform example of the semiconductor device into which the scan flip-flop for the sequential test shown in FIG. 24 or 26 is inserted. 実施の形態6に係るシーケンシャルテスト用スキャンフリップフロップを挿入した半導体装置の構成を示す図である。FIG. 17 is a diagram showing a configuration of a semiconductor device according to a sixth embodiment in which a scan flip-flop for a sequential test is inserted. 実施の形態6に係る半導体装置のスキャンテスト時間に対する故障検出率を示す図である。FIG. 17 is a diagram illustrating a failure detection rate with respect to a scan test time of the semiconductor device according to the sixth embodiment. 実施の形態7に係るシーケンシャルテスト用スキャンフリップフロップを挿入した半導体装置の構成を示す図である。FIG. 21 is a diagram illustrating a configuration of a semiconductor device according to a seventh embodiment in which a scan flip-flop for a sequential test is inserted. 実施の形態8に係るシーケンシャルテスト用スキャンフリップフロップを挿入した半導体装置の構成を示す図である。FIG. 21 is a diagram showing a configuration of a semiconductor device according to an eighth embodiment in which a scan flip-flop for a sequential test is inserted. 実施の形態9に係るシーケンシャルテスト用スキャンフリップフロップを挿入した半導体装置の構成を示す図である。FIG. 19 is a diagram showing a configuration of a semiconductor device according to a ninth embodiment in which a scan flip-flop for a sequential test is inserted. 実施の形態9において用いられるシーケンシャルテスト用スキャンフリップフロップの概略構成を示す図である。FIG. 21 is a diagram showing a schematic configuration of a sequential test scan flip-flop used in a ninth embodiment. 図35に示すシーケンシャルテスト用スキャンフリップフロップの真理値表である。36 is a truth table of the scan flip-flop for the sequential test shown in FIG. 35. 実施の形態10に係るシーケンシャルテスト用スキャンフリップフロップを挿入した半導体装置の構成を示す図である。FIG. 21 is a diagram showing a configuration of a semiconductor device according to a tenth embodiment in which a scan flip-flop for a sequential test is inserted. 実施の形態に係る半導体装置を設計する設計装置の構成を示す図である。FIG. 2 is a diagram showing a configuration of a design device for designing a semiconductor device according to an embodiment. 実施の形態に係る半導体装置の設計フローを示す図である。FIG. 4 is a diagram showing a design flow of the semiconductor device according to the embodiment. 実施の形態に係るテストポイント回路とシーケンシャルテスト用スキャンフリップフロップとを挿入した半導体装置の構成を示す図である。FIG. 3 is a diagram illustrating a configuration of a semiconductor device in which a test point circuit and a scan flip-flop for a sequential test according to the embodiment are inserted.

以下、図面を参照しながら実施の形態について説明する。説明の明確化のため、以下の記載及び図面は、適宜、省略及び簡略化がなされている。以下の実施の形態に示す具体的な数値などは、発明の理解を容易とするための例示にすぎず、特に断る場合を除き、それに限定されるものではない。なお、各図面において、同一の要素には同一の符号が付されており、必要に応じて重複説明は省略されている。   Hereinafter, embodiments will be described with reference to the drawings. For clarity of explanation, the following description and drawings are appropriately omitted and simplified. Specific numerical values and the like shown in the following embodiments are merely examples for facilitating understanding of the present invention, and are not limited thereto unless otherwise specified. In each of the drawings, the same elements are denoted by the same reference numerals, and repeated description will be omitted as necessary.

また、様々な処理を行う機能ブロックとして図面に記載される各要素は、ハードウェア的には、CPU、メモリ、その他の回路で構成することができ、ソフトウェア的には、メモリにロードされたプログラムなどによって実現される。したがって、これらの機能ブロックがハードウェアのみ、ソフトウェアのみ、またはそれらの組合せによっていろいろな形で実現できることは当業者には理解されるところであり、いずれかに限定されるものではない。   In addition, each element described in the drawings as a functional block that performs various processes can be configured by a CPU, a memory, and other circuits in terms of hardware, and can be configured by a program loaded in the memory in terms of software. It is realized by such as. Therefore, it is understood by those skilled in the art that these functional blocks can be realized in various forms by only hardware, only software, or a combination thereof, and the present invention is not limited to any of them.

実施の形態は、ロジックビルトインセルフテスト(Logic Built-In Self Test:LBIST)を実行可能な半導体装置、これに用いられるテストポイント回路及びこの半導体装置の設計装置に関する。まず、図1を参照して、LBISTを実行可能な半導体装置について説明する。図1は、LBISTを実行可能な半導体装置の一例を示す図である。以下の説明では、テスト対象の組合せ回路をDUT(Design Under Test)と称する。   The embodiment relates to a semiconductor device capable of executing a Logic Built-In Self Test (LBIST), a test point circuit used for the semiconductor device, and a design device of the semiconductor device. First, a semiconductor device capable of executing LBIST will be described with reference to FIG. FIG. 1 is a diagram illustrating an example of a semiconductor device capable of executing LBIST. In the following description, a combination circuit to be tested is referred to as a DUT (Design Under Test).

図1に示すように、半導体装置1は、DUT2、擬似乱数生成器(Pseudo Random Pattern Generator:以下、PRPGとする)3、応答圧縮器(Multiple Input Signature Register:以下、MISRとする)4、LBISTコントローラ5、スキャンフリップフロップ(以下、SFFとする)10を備える。   As shown in FIG. 1, a semiconductor device 1 includes a DUT 2, a pseudo random pattern generator (hereinafter, referred to as PRPG) 3, a response compressor (Multiple Input Signature Register: hereinafter, referred to as MISR) 4, and an LBIST. A controller 5 includes a scan flip-flop (hereinafter, referred to as SFF) 10.

半導体装置1では、複数のSFF10がシリアルに接続されることにより、複数のスキャンチェインが構築される。図1に示す例では、1つのスキャンチェインにそれぞれ5つのSFF10が接続されている。SFF10は、スキャンシフト動作とキャプチャ動作とを切り替え可能なシフト用スキャンフリップフロップである。SFF10は、MUX(マルチプレクサ)11、FF(フリップフロップ)12、データ入力端子DATA、スキャンイン端子SIN、制御入力端子SMC、クロック端子CLK、データ出力端子Qを有している。   In the semiconductor device 1, a plurality of scan chains are constructed by connecting a plurality of SFFs 10 serially. In the example shown in FIG. 1, five SFFs 10 are connected to one scan chain, respectively. The SFF 10 is a shift scan flip-flop that can switch between a scan shift operation and a capture operation. The SFF 10 has a MUX (multiplexer) 11, an FF (flip-flop) 12, a data input terminal DATA, a scan-in terminal SIN, a control input terminal SMC, a clock terminal CLK, and a data output terminal Q.

MUX11は、スキャンイン端子SINから入力されるテスト信号と、データ入力端子DATAから入力されるDUT2からの演算結果とを受信する。MUX11は、制御入力端子SMCから入力されるスキャンイネーブル信号(scan−enable)に応じて、スキャンシフト動作とキャプチャ動作とを切り替える。すなわち、スキャンイネーブル信号は、スキャンシフト動作とキャプチャ動作とを切り替える制御信号である。   The MUX 11 receives a test signal input from the scan-in terminal SIN and an operation result from the DUT 2 input from the data input terminal DATA. The MUX 11 switches between a scan shift operation and a capture operation according to a scan enable signal (scan-enable) input from the control input terminal SMC. That is, the scan enable signal is a control signal for switching between the scan shift operation and the capture operation.

図1に示す例では、スキャンイネーブル信号がハイ(1)の時にスキャンイン端子SINが有効となる。また、スキャンイネーブル信号がロウ(0)の時に、データ入力端子DATAが有効となる。FF12は、クロック端子CLKから入力されるクロック信号(clock1又はclock2)に応じて、MUX11から出力される値を取り込み、データ出力端子Qから後段のSFF10のスキャンイン端子SINに出力する。なお、図1では、各スキャンチェインに供給されるクロック信号が異なる例が示されているが、同じであってもよい。   In the example shown in FIG. 1, when the scan enable signal is high (1), the scan-in terminal SIN becomes valid. When the scan enable signal is low (0), the data input terminal DATA becomes valid. The FF 12 captures a value output from the MUX 11 according to a clock signal (clock 1 or clock 2) input from the clock terminal CLK, and outputs the value from the data output terminal Q to the scan-in terminal SIN of the SFF 10 at the subsequent stage. Although FIG. 1 shows an example in which clock signals supplied to the respective scan chains are different, the clock signals may be the same.

複数のスキャンチェインの入力側には、PRPG3が接続される。PRPG3は、LBISTにおけるロードデータ(テスト信号)を生成し、スキャンチェインに供給する。SFF10は、スキャンシフト動作期間において、スキャンイン端子SINから入力されるテスト信号を後段のSFF10に出力する。スキャンチェインをシフト動作させることによって、任意のテストパターンが各SFF10に設定される。   The PRPG3 is connected to the input side of the plurality of scan chains. The PRPG 3 generates load data (test signal) in the LBIST and supplies it to the scan chain. The SFF 10 outputs a test signal input from the scan-in terminal SIN to the subsequent SFF 10 during the scan shift operation period. An arbitrary test pattern is set in each SFF 10 by performing a shift operation of the scan chain.

キャプチャ動作では、シフト動作期間において各SFF10に設定された値がDUT2に供給され、DUT2での演算結果が各SFF10にキャプチャされる。複数のスキャンチェインの出力側には、MISR4が接続されている。MISR4は、キャプチャ動作後、複数のスキャンチェインからのアンロードデータを圧縮する。この圧縮結果は「シグネチャ(signature)」と呼ばれる。また、MISR4が圧縮したシグネチャは、POST動作時には回路内の制御回路で、製造良品テスト時には外部テスタで期待値と比較され、故障の有無が判定される。   In the capture operation, the value set in each SFF 10 is supplied to the DUT 2 during the shift operation period, and the operation result in the DUT 2 is captured in each SFF 10. The MISR 4 is connected to the output side of the plurality of scan chains. After the capture operation, the MISR 4 compresses unload data from a plurality of scan chains. The result of this compression is called a "signature". The signature compressed by the MISR 4 is compared with an expected value by a control circuit in the circuit at the time of the POST operation and an expected value by an external tester at the time of a test of a good product to determine whether there is a failure.

PRPG3、MISR4には、LBISTコントローラ5が接続されている。LBISTコントローラ5、PRPG3、MISR4には、それぞれクロック信号tckが供給される。LBISTコントローラ5は、PRPG3に初期値を供給する。PRPG3は、クロック信号tckに同期して、LBISTコントローラ5から供給される初期値に基づき、ロードデータを生成する。なお、PRPG3の初期値は、外部から供給されるテストデータ入力信号tdiにより任意に書き換えが可能である。   The LBIST controller 5 is connected to the PRPG 3 and the MISR 4. The clock signal tck is supplied to each of the LBIST controller 5, PRPG3, and MISR4. The LBIST controller 5 supplies an initial value to the PRPG3. The PRPG 3 generates load data based on an initial value supplied from the LBIST controller 5 in synchronization with the clock signal tck. Note that the initial value of PRPG3 can be arbitrarily rewritten by a test data input signal tdi supplied from the outside.

また、LBISTコントローラ5は、MISR4で圧縮された圧縮結果をテストデータ出力信号tdoとして外部テスタに出力する。任意の時間のテスト実行後に、外部テスタで圧縮結果を期待値と照合することにより、DUTの故障の有無が判定される。   Further, the LBIST controller 5 outputs the compression result compressed by the MISR 4 to an external tester as a test data output signal tdo. After the test is executed for an arbitrary time, the presence or absence of a failure in the DUT is determined by comparing the compression result with an expected value using an external tester.

半導体装置1には、乱数印加時に回路内の故障の検出確率が高くなるようにテストポイント回路の挿入が行われる。ここで、テストポイント回路とは、テスト対象回路中の信号線や端子の可制御性や可観測性を向上させることを目的として挿入される論理回路である。   A test point circuit is inserted into the semiconductor device 1 so that the probability of detecting a failure in the circuit increases when a random number is applied. Here, the test point circuit is a logic circuit inserted for the purpose of improving the controllability and observability of signal lines and terminals in the test target circuit.

「可制御性」とは、DUTの内部状態が外部端子からどの程度容易にコントロールできるかを表す尺度である。具体的には、「可制御性」は、DUTに含まれる任意の信号線又は端子の値を0又は1に設定するために論理値を設定しなければならない信号線数の最小値をいう。「可観測性」とは、DUTの内部状態がどの程度容易に外部端子で観測できるか表す尺度である。具体的には、信号を観測点に伝搬させるために、論理値を設定しなければならない信号線数の最小値をいう。   "Controllability" is a measure of how easily the internal state of the DUT can be controlled from external terminals. Specifically, “controllability” refers to the minimum value of the number of signal lines for which a logical value must be set to set the value of any signal line or terminal included in the DUT to 0 or 1. “Observability” is a measure of how easily the internal state of the DUT can be observed at an external terminal. Specifically, it refers to the minimum value of the number of signal lines for which a logical value must be set in order to propagate a signal to an observation point.

テスト対象回路にテストポイント回路を挿入することにより、LBISTにおいて乱数印加時にDUT内の故障検出率を高くすることができる。ここで、可制御性を改善する目的で挿入されるテストポイント回路を、制御用テストポイント回路とする。制御用テストポイント回路は、DUT中の信号線の値を0又は1に設定可能な制御点として機能する論理回路である。制御用テストポイント回路は、例えば、ANDゲート、ORゲート等の組合せ回路や、外部入力端子、フリップフロップ等を含む。   By inserting the test point circuit into the test target circuit, it is possible to increase the failure detection rate in the DUT when applying a random number in LBIST. Here, a test point circuit inserted for the purpose of improving controllability is referred to as a control test point circuit. The control test point circuit is a logic circuit that functions as a control point that can set the value of the signal line in the DUT to 0 or 1. The control test point circuit includes, for example, a combinational circuit such as an AND gate and an OR gate, an external input terminal, and a flip-flop.

また、可観測性を改善する目的で挿入されるテストポイント回路を観測用テストポイント回路とする。観測用テストポイント回路は、DUTの演算結果を観測できる観測点として機能する。観測用テストポイント回路は、外部出力端子やSFFが該当する。なお、以下では、テストポイントをTPと略記する場合がある。また、テスタビリティ(可検査性、テスト容易性)の向上のためにDUTの信号線にTP回路を挿入することをTPI(Test Point Insertion)と略記する場合がある。   A test point circuit inserted for the purpose of improving observability is referred to as an observation test point circuit. The observation test point circuit functions as an observation point at which the operation result of the DUT can be observed. The external test terminal and the SFF correspond to the observation test point circuit. In the following, the test point may be abbreviated as TP. Inserting a TP circuit into a signal line of a DUT to improve testability (testability, testability) may be abbreviated as TPI (Test Point Insertion).

図2は、制御用TP回路の挿入について説明する図である。図2に示す例では、破線で示すように、制御用TP回路として、1の値の制御確率が低い信号線に対して、ANDゲート、ORゲート、制御用FFが挿入されている。制御用FFからの値の伝搬で、ANDゲート及びORゲートの挿入された部分を1に設定する確率を上げることができ、故障検出率を向上させることが可能となる。   FIG. 2 is a diagram illustrating insertion of a control TP circuit. In the example shown in FIG. 2, as indicated by a broken line, an AND gate, an OR gate, and a control FF are inserted as a control TP circuit for a signal line having a low control probability of 1 value. Propagation of the value from the control FF can increase the probability of setting the inserted part of the AND gate and the OR gate to 1, and can improve the failure detection rate.

図3は、観測用TP回路の挿入について説明する図である。図3に示す例では、破線で示すように、観測用TP回路として、終点FFまで故障の伝搬確率が低い部分に観測用FFが挿入される。観測用FFをファンアウトで接続することで、故障を観測用FFで観測することができ、故障検出率を向上させることが可能となる。   FIG. 3 is a diagram illustrating insertion of the observation TP circuit. In the example illustrated in FIG. 3, as indicated by the broken line, the observation FF is inserted as a monitoring TP circuit in a portion where the failure propagation probability is low up to the end point FF. By connecting the observation FF with a fan-out, the failure can be observed by the observation FF, and the failure detection rate can be improved.

上述の通り、LBISTにおいて、故障検出率を高くするためには大量のTPIが必要となり、面積OHが大きくなるという問題がある。本発明者らは、このような問題を鑑みて、挿入されるTP数又はLBIST実行時間を削減するため、LBISTにおいて、クロックシーケンシャルテスト(マルチサイクルテスト)を適用することを考案した。   As described above, in LBIST, a large amount of TPI is required to increase the failure detection rate, and there is a problem that the area OH increases. In view of such a problem, the present inventors have devised to apply a clock sequential test (multi-cycle test) to LBIST in order to reduce the number of inserted TPs or LBIST execution time.

クロックシーケンシャルテストは、1回のキャプチャ動作期間に複数のキャプチャクロックが入力され、複数のキャプチャクロックでDUT2によるテスト信号の応答をキャプチャするスキャンテストである。ここで、図4、5を参照して、単一クロックによるスキャンテストと、複数クロックによるクロックシーケンシャルテストの動作波形について説明する。   The clock sequential test is a scan test in which a plurality of capture clocks are input during one capture operation period and a response of a test signal by the DUT 2 is captured by the plurality of capture clocks. Here, the operation waveforms of the scan test using a single clock and the clock sequential test using a plurality of clocks will be described with reference to FIGS.

図4、5において、スキャンシフト動作期間におけるテスト信号のシフトと、キャプチャ動作期間における演算結果の取り込みは、テストクロック(test clock)のクロックエッジに同期して行われる。なお、以下の説明において、キャプチャ動作期間におけるテストクロックを、「キャプチャクロック」と称する。   4 and 5, the shift of the test signal during the scan shift operation period and the capture of the operation result during the capture operation period are performed in synchronization with the clock edge of the test clock. In the following description, a test clock during a capture operation period is referred to as a “capture clock”.

図4は、1回のキャプチャ動作期間に単一のクロックを印加する、単一縮退故障モデルに対するスキャンテストの動作波形例を示す図である。スキャンイネーブル信号がロウ(0)の時に、データ入力端子DATAから入力されるDUT2の演算結果がキャプチャされる。図4に示す例では、1回のキャプチャ動作期間に、1回のキャプチャクロックのみが入力される。   FIG. 4 is a diagram showing an operation waveform example of a scan test for a single stuck-at fault model in which a single clock is applied during one capture operation period. When the scan enable signal is low (0), the operation result of DUT2 input from the data input terminal DATA is captured. In the example shown in FIG. 4, only one capture clock is input during one capture operation period.

図5は、1回のキャプチャ動作期間に複数のクロックを印加する、クロックシーケンシャルテストの動作波形例を示す図である。図5に示す例では、1回のキャプチャ動作期間に、3回のキャプチャクロックが印加されている。図5において、図4と同様に、スキャンイネーブル信号がロウ(0)の時に、データ入力端子DATAから入力されるDUT2の演算結果がキャプチャされる。   FIG. 5 is a diagram showing an operation waveform example of a clock sequential test in which a plurality of clocks are applied during one capture operation period. In the example shown in FIG. 5, three capture clocks are applied during one capture operation period. In FIG. 5, as in FIG. 4, when the scan enable signal is low (0), the operation result of the DUT 2 input from the data input terminal DATA is captured.

このように、クロックシーケンシャルテストでは、1回のキャプチャ動作期間において、複数のキャプチャクロックでDUT2の演算結果をキャプチャすることができる。これにより、1回のキャプチャ動作期間に故障を活性化させる範囲を広げ、検出可能な故障数を増やすことができる。このため、目標故障検出率の達成に必要な挿入TP数の削減又はLBIST実行時間の削減を図ることが可能となる。   As described above, in the clock sequential test, the operation result of the DUT 2 can be captured by a plurality of capture clocks during one capture operation period. As a result, the range in which a fault is activated during one capture operation period can be expanded, and the number of detectable faults can be increased. For this reason, it is possible to reduce the number of inserted TPs or the LBIST execution time required to achieve the target failure detection rate.

図3において説明した観測用TP回路として用いられる観測用FFは、図1において説明したSFFと同じ構成を有しており、クロックシーケンシャルテストを想定していない。本発明者らは、クロックシーケンシャルテストの効果をより向上するために、クロックシーケンシャルテストを考慮したテストポイント回路を考案した。   The observation FF used as the observation TP circuit described in FIG. 3 has the same configuration as the SFF described in FIG. 1 and does not assume a clock sequential test. The present inventors have devised a test point circuit in consideration of the clock sequential test in order to further improve the effect of the clock sequential test.

実施の形態に係るテストポイント回路は、LSIのスキャンテストを実行することが可能なスキャンチェインを構成し、クロックシーケンシャルテストを行うものである。実施の形態に係るテストポイント回路は、テストポイント挿入による面積オーバーヘッドの増大を抑制し、テスト時間を短縮しつつ、故障検出率を高くすることが可能な論理構造を有する。具体的には、実施の形態に係るテストポイント回路は、クロックシーケンシャルテストの1回のキャプチャ動作期間において、第1キャプチャクロックで、前段のテストポイント回路又は最後段のテストポイント回路がキャプチャした第1演算結果を、第1キャプチャクロックの後の第2キャプチャクロックで取り込む。   The test point circuit according to the embodiment constitutes a scan chain capable of executing a scan test of an LSI and performs a clock sequential test. The test point circuit according to the embodiment has a logic structure capable of suppressing an increase in area overhead due to test point insertion, shortening the test time, and increasing the fault detection rate. Specifically, in the test point circuit according to the embodiment, during one capture operation period of the clock sequential test, the first capture clock captures the first or last test point circuit captured by the preceding test point circuit or the last test point circuit. The operation result is captured at a second capture clock after the first capture clock.

実施の形態のテストポイント回路を用いた半導体装置は、例えば、国際規格ISO26262準拠のPower-on Self-Test(POST)を実装した製品に適用可能である。また、この半導体装置は、量産テスト工程における、LBIST適用時のテストコスト削減を図りたい製品や、LBISTを実装する設計装置(EDA(electronic design automation)ツール)等にも適用することが可能である。   The semiconductor device using the test point circuit according to the embodiment can be applied to, for example, a product in which a Power-on Self-Test (POST) based on the international standard ISO26262 is mounted. In addition, this semiconductor device can be applied to a product that wants to reduce test cost when LBIST is applied in a mass production test process, a design device (EDA (electronic design automation) tool) for mounting LBIST, and the like. .

実施の形態1.
実施の形態1に係るテストポイント回路を挿入した半導体装置について、図6を参照して説明する。図6は、実施の形態1に係る半導体装置1Aの構成を示す図である。図6に示すように、半導体装置1Aは、DUT2、PRPG3、MISR4、LBISTコントローラ5、SFF10、観測用スキャンフリップフロップ(以下、観測用SFFとする)20を備えている。図6においては、観測用SFF20はobsSFFと示されている。なお、観測用SFF20以外の構成については、図1で説明したものと同一のため、詳細な説明は省略する。
Embodiment 1 FIG.
A semiconductor device in which the test point circuit according to the first embodiment is inserted will be described with reference to FIG. FIG. 6 is a diagram showing a configuration of the semiconductor device 1A according to the first embodiment. As shown in FIG. 6, the semiconductor device 1A includes a DUT 2, a PRPG 3, a MISR 4, an LBIST controller 5, an SFF 10, and an observation scan flip-flop (hereinafter, referred to as an observation SFF) 20. In FIG. 6, the observation SFF 20 is indicated as obsSFF. The configuration other than the observation SFF 20 is the same as that described with reference to FIG. 1, and a detailed description thereof will be omitted.

半導体装置1Aには、複数のスキャンチェインが構築されている。複数のスキャンチェインのうち少なくとも1つのスキャンチェインは、観測用SFF20のみで構成されている。図6に示す例では、1つのスキャンチェインが観測用SFF20のみで構成されており、残りのスキャンチェインはSFF10で構成されている。SFF10で構成されたスキャンチェインは、観測用SFF20のみで構成されたスキャンチェインとは別に構成されている。   A plurality of scan chains are built in the semiconductor device 1A. At least one of the plurality of scan chains includes only the observation SFF 20. In the example shown in FIG. 6, one scan chain is composed of only the observation SFF 20, and the other scan chains are composed of the SFF 10. The scan chain configured by the SFF 10 is configured separately from the scan chain configured only by the observation SFF 20.

図6では、観測用SFF20により構成されたスキャンチェインを、スキャンチェイン6Aと示している。スキャンチェイン6Aでは、5つの観測用SFF20がシリアルに接続されている。また、他のスキャンチェインでは、それぞれ5つのSFF10がシリアルに接続されている。   In FIG. 6, the scan chain constituted by the observation SFF 20 is indicated as a scan chain 6A. In the scan chain 6A, five observation SFFs 20 are serially connected. In other scan chains, five SFFs 10 are serially connected.

観測用SFF20は、可観測性を改善する目的で挿入される、クロックシーケンシャルテストの効率向上効果のある観測用テストポイント回路である。観測用SFF20は、スキャンインされるテスト信号に応じて演算結果を出力するDUT2中に挿入される。観測用SFF20は、XORゲート21、MUX22、FF23、データ入力端子DATA、スキャンイン端子SIN、制御入力端子SMC、クロック端子CLK、データ出力端子Q、を有している。すなわち、観測用SFF20は、SFF10と同様の入出力構成を有している。   The observation SFF 20 is an observation test point circuit inserted for the purpose of improving observability and having an effect of improving the efficiency of a clock sequential test. The observation SFF 20 is inserted into the DUT 2 that outputs an operation result according to a test signal to be scanned in. The observation SFF 20 has an XOR gate 21, a MUX 22, a FF 23, a data input terminal DATA, a scan-in terminal SIN, a control input terminal SMC, a clock terminal CLK, and a data output terminal Q. That is, the observation SFF 20 has the same input / output configuration as the SFF 10.

観測用SFF20の出力が、後段の観測用SFF20のスキャンイン端子SINに接続され、スキャンチェイン6Aが構成される。観測用SFF20は、スキャンシフト動作とキャプチャ動作とを切り替え可能である。   The output of the observation SFF 20 is connected to the scan-in terminal SIN of the observation SFF 20 at the subsequent stage, and the scan chain 6A is configured. The observation SFF 20 can switch between a scan shift operation and a capture operation.

観測用SFF20は、1回のキャプチャ動作期間において、複数のキャプチャクロックのそれぞれでDUT2からの演算結果を取り込む。例えば、1回のキャプチャ動作期間に印加される最初キャプチャクロックを第1キャプチャクロックとし、第1キャプチャクロックに続くキャプチャクロックを第2キャプチャクロックとする。また、第1キャプチャクロックで取り込まれるDUT2からの演算結果を第1演算結果とする。1回のキャプチャ動作期間における、1つのキャプチャクロックパルス動作を行う期間をキャプチャサイクルとする。   The observation SFF 20 captures the operation result from the DUT 2 at each of a plurality of capture clocks during one capture operation period. For example, the first capture clock applied during one capture operation period is the first capture clock, and the capture clock following the first capture clock is the second capture clock. The calculation result from the DUT 2 captured by the first capture clock is defined as a first calculation result. A period in which one capture clock pulse operation is performed in one capture operation period is defined as a capture cycle.

なお、第1キャプチャクロックは、1回のキャプチャ動作期間に最初に印加されるキャプチャクロックではなく、その後に印加されるものであってもよい。第2キャプチャクロックは、1回のキャプチャ動作期間において、第1キャプチャクロックの後に印加されるものであればよい。   Note that the first capture clock may not be applied first during one capture operation period, but may be applied later. The second capture clock only needs to be applied after the first capture clock in one capture operation period.

データ入力端子DATAには、キャプチャ動作期間に、DUT2からの演算結果が入力される。スキャンイン端子SINには、スキャンシフト動作期間にテスト信号が入力され、キャプチャ動作期間にスキャンチェインの前段の観測用SFF20が第1キャプチャクロックでキャプチャした第1演算結果が入力される。   The calculation result from the DUT 2 is input to the data input terminal DATA during the capture operation period. A test signal is input to the scan-in terminal SIN during the scan shift operation period, and a first operation result captured by the observation SFF 20 at the preceding stage of the scan chain with the first capture clock during the capture operation period is input.

XORゲート21は、スキャンイン端子SINから入力される信号と、データ入力端子DATAから入力される信号とを受信する。また、XORゲート21は、データ入力端子DATAから入力されたDUT2からの演算結果と、スキャンイン端子SINから入力されたスキャンチェインの前段の観測用SFF20が第1キャプチャクロックでキャプチャした第1演算結果との排他論理和を出力する。   The XOR gate 21 receives a signal input from the scan-in terminal SIN and a signal input from the data input terminal DATA. Further, the XOR gate 21 calculates the calculation result from the DUT 2 input from the data input terminal DATA, and the first calculation result captured by the observation SFF 20 at the preceding stage of the scan chain input from the scan-in terminal SIN using the first capture clock. And outputs an exclusive OR with

MUX22は、スキャンイン端子SINから入力される信号と、XORゲート21から出力される信号とを受信する。MUX22は、制御入力端子SMCから入力されるスキャンイネーブル信号に応じて、スキャンシフト動作とキャプチャ動作とを切り替える。図6に示す例では、MUX22は、スキャンイネーブル信号がハイ(1)の時にスキャンシフト動作となり、スキャンイン端子SINからの入力が有効となる。また、MUX22は、スキャンイネーブル信号がロウ(0)の時にキャプチャ動作となり、XORゲート21からの出力が有効となる。   The MUX 22 receives a signal input from the scan-in terminal SIN and a signal output from the XOR gate 21. The MUX 22 switches between a scan shift operation and a capture operation according to a scan enable signal input from the control input terminal SMC. In the example shown in FIG. 6, when the scan enable signal is high (1), the MUX 22 performs the scan shift operation, and the input from the scan-in terminal SIN becomes valid. The MUX 22 performs a capture operation when the scan enable signal is low (0), and the output from the XOR gate 21 becomes valid.

FF23は、クロック端子CLKから入力されるクロック信号(clock2)に応じて、MUX22から出力される値を取り込み、データ出力端子Qから後段の観測用SFF20のスキャンイン端子SINに出力する。スキャンシフト動作期間には、FF23は、テスト信号を取り込む。また、キャプチャ動作期間には、FF23は、第1キャプチャクロックに続く第2キャプチャクロックで、MUX22が出力する排他論理和を取り込む。すなわち、スキャンチェイン6Aの前段の観測用SFF20が第1キャプチャクロックでキャプチャした第1演算結果は、第1キャプチャクロックの後の第2キャプチャクロックで後段の観測用SFF20に伝搬される。   The FF 23 captures a value output from the MUX 22 according to a clock signal (clock2) input from the clock terminal CLK, and outputs the value from the data output terminal Q to the scan-in terminal SIN of the observation SFF 20 at the subsequent stage. During the scan shift operation period, the FF 23 captures a test signal. Also, during the capture operation period, the FF 23 captures the exclusive OR output from the MUX 22 with the second capture clock following the first capture clock. That is, the first calculation result captured by the observation SFF 20 at the preceding stage of the scan chain 6A with the first capture clock is propagated to the observation SFF 20 at the subsequent stage with the second capture clock after the first capture clock.

仮に、図6における観測用SFF20の代わりにSFF10で構成した場合、クロックシーケンシャルテストにおいて、第1キャプチャクロックで観測用SFF20のデータ入力端子DATAまで到達した演算結果は、次の第2キャプチャクロックで伝搬先がなく消失してしまう。   If an SFF 10 is used instead of the observation SFF 20 in FIG. 6, in the clock sequential test, the operation result that reaches the data input terminal DATA of the observation SFF 20 at the first capture clock is propagated at the next second capture clock. It disappears without a point.

これに対し、実施の形態1に係る半導体装置1Aでは、1回のキャプチャ動作期間において第1キャプチャクロックで観測用SFF20に到達した演算結果は、次の第2キャプチャクロックでスキャンチェイン6A上の後段の観測用SFF20にXORゲート21を経由して伝搬される。このように、半導体装置1Aでは、観測用SFF20に到達した演算結果を次のキャプチャサイクルで消失させることなく、スキャンチェイン6Aの後段の観測用SFF20に蓄積できる確率を高くすることが可能となる。   On the other hand, in the semiconductor device 1A according to the first embodiment, the operation result that has reached the observation SFF 20 with the first capture clock during one capture operation period is determined by the subsequent stage on the scan chain 6A with the next second capture clock. Is transmitted via the XOR gate 21 to the observation SFF 20. As described above, in the semiconductor device 1A, it is possible to increase the probability that the calculation result that has reached the observation SFF 20 can be accumulated in the observation SFF 20 subsequent to the scan chain 6A without being lost in the next capture cycle.

また、観測用SFF20は、前段の観測用SFF20に到達した演算結果の蓄積とともに、各キャプチャサイクルで、観測用SFF20のデータ入力端子DATAからXORゲート21を介してDUT2からの演算結果を取り込むことができる。このため、1回のキャプチャ動作期間に複数のキャプチャクロックを印加した時に、検出可能な故障数が多くなる。これにより、目標故障検出率を達成するのに必要な、挿入されるTP数を少なくすることが可能となる。従って、面積オーバーヘッドの増大を抑制することができる。   In addition, the observation SFF 20 accumulates the operation result that has reached the preceding observation SFF 20 and, at each capture cycle, captures the operation result from the DUT 2 via the XOR gate 21 from the data input terminal DATA of the observation SFF 20. it can. Therefore, when a plurality of capture clocks are applied during one capture operation period, the number of detectable faults increases. This makes it possible to reduce the number of inserted TPs required to achieve the target failure detection rate. Therefore, an increase in area overhead can be suppressed.

また、図6の半導体装置1Aにおいてクロックシーケンシャルテストを実行することで、1回のキャプチャ動作期間で多くの故障を検出できるようになり、結果としてテスト時間を削減することが可能となる。なお、スキャンテストでは、スキャンシフト動作時間がテスト時間の大半を占めるため、キャプチャ動作期間のキャプチャクロック数を増やしてもテスト時間への影響は殆どない。   In addition, by executing the clock sequential test in the semiconductor device 1A of FIG. 6, many failures can be detected in one capture operation period, and as a result, the test time can be reduced. In the scan test, since the scan shift operation time occupies most of the test time, increasing the number of capture clocks during the capture operation period has almost no effect on the test time.

ここで、図7を参照して、テスト時間の削減効果について説明する。図7は、テスト時間に対する故障検出率を示す図である。図7において、横軸はテスト時間を示しており、縦軸は故障検出率を示している。また、図7において、実線は実施の形態に係る観測用SFF20を用いた半導体装置1Aの結果を示しており、破線は図6の観測用SFF20の代わりにSFF10で構成した場合の半導体装置の結果を示している。   Here, the effect of reducing the test time will be described with reference to FIG. FIG. 7 is a diagram illustrating a failure detection rate with respect to a test time. In FIG. 7, the horizontal axis indicates the test time, and the vertical axis indicates the failure detection rate. In FIG. 7, the solid line indicates the result of the semiconductor device 1A using the observation SFF 20 according to the embodiment, and the broken line indicates the result of the semiconductor device when the observation SFF 20 is replaced with the SFF 10 in FIG. Is shown.

なお、図7に示す例では、1回のキャプチャ動作期間において10回のキャプチャクロックを印加するクロックシーケンシャルテストを適用した。図7に示すように、SFF10を用いた場合と比較すると、観測用SFF20を用いることにより、目標故障検出率の到達に必要なテスト時間を半分以下に抑えることが可能となった。   In the example shown in FIG. 7, a clock sequential test in which 10 capture clocks are applied in one capture operation period is applied. As shown in FIG. 7, by using the observation SFF 20, the test time required to reach the target failure detection rate can be reduced to half or less as compared with the case where the SFF 10 is used.

以上説明したように、実施の形態によれば、特別なテスト回路の追加することなく、観測用SFF20によるスキャンチェインの構成により、低面積OHでクロックシーケンシャルテストの効率向上を実現することができる。   As described above, according to the embodiment, the efficiency of the clock sequential test can be improved with a low area OH by using a scan chain configuration using the observation SFF 20 without adding a special test circuit.

なお、図6に示す半導体装置1AはLBISTを実行することが可能であり、PRPG3、MISR4が実装されているが、この例に限定されるものではない。クロックシーケンシャルテストは、特にLBISTにおいて、挿入されるTP数の削減又はLBIST実行時間の削減という高い効果を発揮するが、圧縮スキャンにおいても一定の効果を有する。   The semiconductor device 1A shown in FIG. 6 can execute LBIST, and has the PRPG3 and the MISR4 mounted thereon. However, the present invention is not limited to this example. The clock sequential test has a high effect of reducing the number of inserted TPs or the LBIST execution time, especially in LBIST, but has a certain effect in compression scan.

圧縮スキャンは、テストデータ量を減らすテスト容易化設計(Design For Testability:DFT)の一例である。圧縮スキャンでは、外部端子数よりも多くのスキャンチェインを内部に構築することで、スキャンチェイン1本辺りのSFFの段数を削減する。そして、外部入力端子から供給した値を、伸張器を経由して内部のスキャンチェイン本数分に展開し、各スキャンFFにテストパターンを印加する。また、スキャンチェインからの出力を圧縮器で圧縮して外部出力端子で観測する。   A compressed scan is an example of a design for testability (DFT) that reduces the amount of test data. In the compression scan, the number of SFFs per scan chain is reduced by constructing more scan chains inside than the number of external terminals. Then, the value supplied from the external input terminal is developed into the number of internal scan chains via the decompressor, and a test pattern is applied to each scan FF. The output from the scan chain is compressed by a compressor and observed at an external output terminal.

圧縮スキャンでは、故障検出に必要なスキャンFFの設定値(ケアビット)を少ないシフトサイクル数で供給し、また、少ないシフトサイクル数で各スキャンFFの観測を行うことができる。このため、外部入出力端子1ビット辺りの故障検出数を増やし、テストデータ量を削減することが可能となる。実施の形態に係る観測用SFF20は、圧縮スキャンテストを実行可能な半導体装置にも適用することもできる。   In the compression scan, a set value (care bit) of a scan FF required for failure detection is supplied with a small number of shift cycles, and each scan FF can be observed with a small number of shift cycles. For this reason, it is possible to increase the number of failure detections per bit of the external input / output terminal and reduce the amount of test data. The observation SFF 20 according to the embodiment can also be applied to a semiconductor device capable of executing a compression scan test.

圧縮スキャンを実行可能な半導体装置では、図6のPRPG3、MISR4の代わりに、外部から制御・観測可能な伸張器、圧縮器がそれぞれ接続される。圧縮スキャンを実行可能な半導体装置においても、図6に示す構成と同様の構成をとることにより、クロックシーケンシャルテストの効率を向上することができる。   In a semiconductor device capable of executing a compression scan, a decompressor and a compressor which can be controlled and observed from the outside are connected instead of the PRPG3 and the MISR4 in FIG. Even in a semiconductor device capable of performing a compression scan, the efficiency of the clock sequential test can be improved by adopting a configuration similar to the configuration illustrated in FIG.

なお、図6に示す例では、スキャンチェイン6Aに供給されるクロック信号(clock2)と、他のスキャンチェインに供給されるクロック信号(clock1)とは異なっているが、同じであってもよい。すなわち、SFF10で構成されるスキャンチェインと、観測用SFF20で構成されるスキャンチェインとは、異なるクロックドメインであってもよく、同一のクロックドメインであってもよい。   In the example shown in FIG. 6, the clock signal (clock2) supplied to the scan chain 6A is different from the clock signal (clock1) supplied to the other scan chains, but may be the same. That is, the scan chain including the SFF 10 and the scan chain including the observation SFF 20 may have different clock domains or may have the same clock domain.

図8に、観測用SFF20の他の例を示す。図8は、実施の形態1に係る他のテストポイント回路30の構成を示す図である。観測用SFF30は、図6の半導体装置1Aの観測用SFF20の代わりに用いられる。図8に示すように、観測用SFF30は、NORゲート31、XORゲート32、FF33、データ入力端子DATA、スキャンイン端子SIN、制御入力端子SMC、クロック端子CLK、データ出力端子Qを有している。   FIG. 8 shows another example of the observation SFF 20. FIG. 8 is a diagram showing a configuration of another test point circuit 30 according to the first embodiment. The observation SFF 30 is used instead of the observation SFF 20 of the semiconductor device 1A in FIG. As shown in FIG. 8, the observation SFF 30 has a NOR gate 31, an XOR gate 32, an FF 33, a data input terminal DATA, a scan-in terminal SIN, a control input terminal SMC, a clock terminal CLK, and a data output terminal Q. .

図6のスキャンチェイン6Aと同様に、複数の観測用SFF30が1つのスキャンチェインを構成する。また、半導体装置1A中の複数のスキャンチェインのうち、少なくとも1つのスキャンチェインが観測用SFF30のみにより構成される。   Similar to the scan chain 6A in FIG. 6, the plurality of observation SFFs 30 constitute one scan chain. Further, at least one scan chain among the plurality of scan chains in the semiconductor device 1A is configured only by the observation SFF 30.

観測用SFF30は、観測用SFF20と同様に、1回のキャプチャ動作期間において、複数のキャプチャクロックのそれぞれでDUT2からの演算結果を取り込む。データ入力端子DATAには、キャプチャ動作期間にDUT2からの演算結果が入力される。スキャンイン端子SINには、スキャンシフト動作期間にテスト信号が入力され、キャプチャ動作期間にスキャンチェインの前段の観測用SFF20が第1キャプチャクロックでキャプチャした第1演算結果が入力される。   The observation SFF 30, like the observation SFF 20, captures the operation result from the DUT 2 with each of the plurality of capture clocks during one capture operation period. The calculation result from the DUT 2 is input to the data input terminal DATA during the capture operation. A test signal is input to the scan-in terminal SIN during the scan shift operation period, and a first operation result captured by the observation SFF 20 at the preceding stage of the scan chain with the first capture clock during the capture operation period is input.

制御入力端子SMCには、スキャンシフト動作とキャプチャ動作とを切り替える制御信号であるスキャンイネーブル信号が入力される。NORゲート31は、キャプチャ動作期間において、制御入力端子SMCから入力されるスキャンイネーブル信号と、データ入力端子DATAから入力される信号とを受信して、否定論理和を出力する。XORゲート32は、スキャンイン端子SINに入力された第1演算結果と、NORゲート31からの否定論理和とを受信し、排他論理和を出力する。   A scan enable signal, which is a control signal for switching between a scan shift operation and a capture operation, is input to the control input terminal SMC. The NOR gate 31 receives a scan enable signal input from the control input terminal SMC and a signal input from the data input terminal DATA during the capture operation period, and outputs a NOR. The XOR gate 32 receives the first operation result input to the scan-in terminal SIN and the NOR of the NOR gate 31, and outputs an exclusive OR.

FF33は、クロック端子CLKから入力されるクロック信号に応じて、XORゲート32から出力される値を取り込み、データ出力端子Qから後段の観測用SFF20のスキャンイン端子SINに出力する。キャプチャ動作期間には、FF33は、第1キャプチャクロックに続く第2キャプチャクロックで、XORゲート32が出力する排他論理和を取り込む。   The FF 33 captures the value output from the XOR gate 32 in response to the clock signal input from the clock terminal CLK, and outputs the value from the data output terminal Q to the scan-in terminal SIN of the observation SFF 20 at the subsequent stage. During the capture operation period, the FF 33 captures the exclusive OR output from the XOR gate 32 with the second capture clock following the first capture clock.

スキャンシフト動作期間(スキャンイネーブル信号=1)では、データ入力端子DATAの値は遮断され、スキャンイン端子SINから入力されるテスト信号がFF33に取り込まれる。キャプチャ動作期間(スキャンイネーブル信号=0)では、データ入力端子DATAの値は反転してスルーされる。XORゲート32には、データ入力端子DATAの値の反転値とスキャンイン端子SINの値を受信する。FF33は、クロック端子CLKに応じてXORゲート32の出力値を取り込む。観測用SFF30のFF33は、取り込む値が反転する以外は、観測用SFF20のFF23と同等の機能を有する。   In the scan shift operation period (scan enable signal = 1), the value of the data input terminal DATA is cut off, and the test signal input from the scan-in terminal SIN is taken into the FF 33. During the capture operation period (scan enable signal = 0), the value of the data input terminal DATA is inverted and passed through. The XOR gate 32 receives the inverted value of the value of the data input terminal DATA and the value of the scan-in terminal SIN. The FF 33 captures the output value of the XOR gate 32 according to the clock terminal CLK. The FF 33 of the observation SFF 30 has the same function as the FF 23 of the observation SFF 20 except that the value to be taken is inverted.

観測用SFF30を使用した場合、キャプチャ動作期間には、データ入力端子DATAから入力される値の反転値がXORゲート32を経由してFF33に取り込まれる。観測用SFF30でデータ入力端子DATAの入力の反転値を取り込む場合でも、スキャンテスト動作、通常のユーザ動作への影響はない。   When the observation SFF 30 is used, the inverted value of the value input from the data input terminal DATA is taken into the FF 33 via the XOR gate 32 during the capture operation period. Even when the inverted value of the input of the data input terminal DATA is captured by the observation SFF 30, there is no effect on the scan test operation and the normal user operation.

クロックシーケンシャルテストでは、スキャンチェイン6Aの前段の観測用SFF20が第1キャプチャクロックでキャプチャした第1演算結果は、第1キャプチャクロックの後の第2キャプチャクロックで後段の観測用SFF20に伝搬される。これにより、低面積OHでクロックシーケンシャルテストの効率向上を実現することができる。また、観測用SFF30の構成は、観測用SFF20の構成と比較すると、回路面積、遅延が小さい。このため、観測用テストポイント回路として観測用SFF30を用いることで、面積OHをさらに抑制することができるとともに、動作速度低下を抑えることが可能となる。   In the clock sequential test, the first operation result captured by the observation SFF 20 at the preceding stage of the scan chain 6A with the first capture clock is propagated to the observation SFF 20 at the subsequent stage with the second capture clock after the first capture clock. Thus, the efficiency of the clock sequential test can be improved with a low area OH. Further, the configuration of the observation SFF 30 is smaller in circuit area and delay than the configuration of the observation SFF 20. For this reason, by using the observation SFF 30 as the observation test point circuit, the area OH can be further suppressed, and the decrease in operation speed can be suppressed.

実施の形態2.
実施の形態2に係るテストポイント回路を挿入した半導体装置について、図9を参照して説明する。図9は、実施の形態2に係る半導体装置1Bの構成を示す図である。図9に示すように、半導体装置1Bは、DUT2、PRPG3、MISR4、LBISTコントローラ5、SFF10、観測用SFF30、観測用SFF40を備えている。観測用SFF30、観測用SFF40は、可観測性を改善する目的で挿入される、クロックシーケンシャルテストの効率向上効果のある観測用テストポイント回路である。図9においては、観測用SFF30はobsSFFと示され、観測用SFF40はobsSFF2と示されている。
Embodiment 2 FIG.
A semiconductor device in which a test point circuit according to the second embodiment is inserted will be described with reference to FIG. FIG. 9 shows a configuration of a semiconductor device 1B according to the second embodiment. As shown in FIG. 9, the semiconductor device 1B includes a DUT 2, a PRPG 3, a MISR 4, an LBIST controller 5, an SFF 10, an observation SFF 30, and an observation SFF 40. The observation SFF 30 and the observation SFF 40 are observation test point circuits inserted for the purpose of improving observability and having an effect of improving the efficiency of the clock sequential test. In FIG. 9, the observation SFF 30 is indicated as obsSFF, and the observation SFF 40 is indicated as obsSFF2.

半導体装置1Bにおいて、複数のスキャンチェインのうち少なくとも1つのスキャンチェインは、観測用SFF30と観測用SFF40とにより構成されている。図6に示す例では、1つのスキャンチェインが観測用SFF30と観測用SFF40とにより構成されており、残りのスキャンチェインはSFF10で構成されている。図9では、観測用SFF30と観測用SFF40とにより構成されたスキャンチェインを、スキャンチェイン6Bと示している。   In the semiconductor device 1B, at least one scan chain of the plurality of scan chains includes the observation SFF 30 and the observation SFF 40. In the example shown in FIG. 6, one scan chain is configured by the observation SFF 30 and the observation SFF 40, and the remaining scan chains are configured by the SFF 10. In FIG. 9, the scan chain constituted by the observation SFF 30 and the observation SFF 40 is shown as a scan chain 6B.

スキャンチェイン6Bでは、最前段に観測用SFF40が接続されており、観測用SFF40の後段に4つの観測用SFF30がシリアルに接続されている。観測用SFF30としては、図8に示した構成のものが用いられる。なお、図9に示す例では観測用SFF30が用いられているが、観測用SFF30の代わりに図6に示した観測用SFF20を用いてもよい。すなわち、スキャンチェイン6Bにおいて、観測用SFF40と、4つの観測用SFF20がシリアルに接続されていてもよい。なお、観測用SFF30、観測用SFF40以外の構成については、図6で説明したものと同一のため、詳細な説明は省略する。   In the scan chain 6B, the observation SFF 40 is connected to the foremost stage, and four observation SFFs 30 are serially connected to the subsequent stage of the observation SFF 40. As the observation SFF 30, one having the configuration shown in FIG. 8 is used. Although the observation SFF 30 is used in the example shown in FIG. 9, the observation SFF 20 shown in FIG. 6 may be used instead of the observation SFF 30. That is, in the scan chain 6B, the observation SFF 40 and the four observation SFFs 20 may be serially connected. The configuration other than the observation SFF 30 and the observation SFF 40 is the same as that described with reference to FIG. 6, and a detailed description thereof will be omitted.

観測用SFF40の出力は、観測用SFF30のスキャンイン端子SINに接続されている。また、観測用SFF30の出力は、後段の観測用SFF30のスキャンイン端子SIN端子にそれぞれ接続され、スキャンチェイン6Bが構成される。最後段の観測用SFF30の出力は、観測用SFF40のデータ入力端子DATA2に接続される。観測用SFF40、観測用SFF30は、いずれもスキャンシフト動作とキャプチャ動作とを切り替え可能である。観測用SFF40は、観測用SFF30と同様に、1回のキャプチャ動作期間において、複数のキャプチャクロックのそれぞれでDUT2からの演算結果を取り込むことが可能である。   The output of the observation SFF 40 is connected to the scan-in terminal SIN of the observation SFF 30. Further, the output of the observation SFF 30 is connected to the scan-in terminal SIN terminal of the observation SFF 30 at the subsequent stage, respectively, to form the scan chain 6B. The output of the observation SFF 30 at the last stage is connected to the data input terminal DATA2 of the observation SFF 40. Both the observation SFF 40 and the observation SFF 30 can switch between a scan shift operation and a capture operation. The observation SFF 40 can capture the operation result from the DUT 2 with each of a plurality of capture clocks during one capture operation period, similarly to the observation SFF 30.

実施の形態2に係る半導体装置1Bでは、クロックシーケンシャルテストにおいて、最後段の観測用SFF30に伝搬された故障を、スキャンチェイン6B上で保持可能である。図9に示すように、観測用SFF40は、XORゲート41、MUX42、FF43、データ入力端子DATA、データ入力端子DATA2、スキャンイン端子SIN、制御入力端子SMC、クロック端子CLK、データ出力端子Qを有している。   In the semiconductor device 1B according to the second embodiment, in the clock sequential test, a failure propagated to the last observation SFF 30 can be held on the scan chain 6B. As shown in FIG. 9, the observation SFF 40 has an XOR gate 41, a MUX 42, an FF 43, a data input terminal DATA, a data input terminal DATA2, a scan-in terminal SIN, a control input terminal SMC, a clock terminal CLK, and a data output terminal Q. are doing.

スキャンイン端子SINには、スキャンシフト動作期間にテスト信号が入力される。データ入力端子DATAには、キャプチャ動作期間に、DUT2からの演算結果が入力される。また、データ入力端子DATA2には、キャプチャ動作期間に、最後段の観測用SFF30が第1キャプチャクロックでキャプチャした第1演算結果が入力される。すなわち、観測用SFF40は、2系統のデータ入力端子を有している。   A test signal is input to the scan-in terminal SIN during a scan shift operation period. The calculation result from the DUT 2 is input to the data input terminal DATA during the capture operation period. In addition, a first calculation result captured by the last observation SFF 30 with the first capture clock during the capture operation period is input to the data input terminal DATA2. That is, the observation SFF 40 has two data input terminals.

XORゲート41は、データ入力端子DATAから入力される信号と、データ入力端子DATA2から入力される信号とを受信する。XORゲート41は、データ入力端子DATAから入力されたDUT2からの演算結果と、データ入力端子DATA2から入力されたスキャンチェインの最後段の観測用SFF30が第1キャプチャクロックでキャプチャした第1演算結果との排他論理和を出力する。   XOR gate 41 receives a signal input from data input terminal DATA and a signal input from data input terminal DATA2. The XOR gate 41 calculates the operation result from the DUT 2 input from the data input terminal DATA, the first operation result captured by the last observation SFF 30 of the scan chain input from the data input terminal DATA 2 with the first capture clock, and The exclusive OR of is output.

MUX42は、スキャンイン端子SINから入力される信号と、XORゲート41から出力される信号とを受信する。MUX42は、制御入力端子SMCから入力されるスキャンイネーブル信号に応じて、スキャンシフト動作とキャプチャ動作とを切り替える。図9に示す例では、MUX42は、スキャンイネーブル信号がハイ(1)の時にスキャンシフト動作となり、スキャンイン端子SINからの入力が有効となる。また、MUX42は、スキャンイネーブル信号がロウ(0)の時にキャプチャ動作となり、XORゲート41からの出力が有効となる。   The MUX 42 receives a signal input from the scan-in terminal SIN and a signal output from the XOR gate 41. The MUX switches between a scan shift operation and a capture operation according to a scan enable signal input from the control input terminal SMC. In the example shown in FIG. 9, the MUX 42 performs a scan shift operation when the scan enable signal is high (1), and the input from the scan-in terminal SIN becomes valid. The MUX 42 performs a capture operation when the scan enable signal is low (0), and the output from the XOR gate 41 becomes valid.

FF43は、クロック端子CLKから入力されるクロック信号(clock2)に応じて、MUX42から出力される値を取り込み、データ出力端子Qから後段の観測用SFF30のスキャンイン端子SINに出力する。   The FF 43 takes in the value output from the MUX 42 in response to a clock signal (clock 2) input from the clock terminal CLK, and outputs the value from the data output terminal Q to the scan-in terminal SIN of the observation SFF 30 at the subsequent stage.

スキャンシフト動作期間(スキャンイネーブル信号=1)には、FF43は、スキャンイン端子SINから入力されるテスト信号を取り込む。また、キャプチャ動作期間(スキャンイネーブル信号=0)には、FF43は、第1キャプチャクロックに続く第2キャプチャクロックで、2個のデータ入力端子(DATA、DATA2)の排他論理和を取り込む。すなわち、スキャンチェイン6Bの最後段の観測用SFF30が第1キャプチャクロックでキャプチャした第1演算結果は、第1キャプチャクロックの後の第2キャプチャクロックで最前段の観測用SFF20に伝搬される。   During the scan shift operation period (scan enable signal = 1), the FF 43 captures a test signal input from the scan-in terminal SIN. Also, during the capture operation period (scan enable signal = 0), the FF 43 captures the exclusive OR of the two data input terminals (DATA, DATA2) with the second capture clock following the first capture clock. That is, the first operation result captured by the last observation SFF 30 of the scan chain 6B with the first capture clock is propagated to the first observation SFF 20 with the second capture clock after the first capture clock.

このように、実施の形態2に係る半導体装置1Bでは、クロックシーケンシャルテストにおいて1回のキャプチャ動作期間に複数のキャプチャクロックを印加した場合でも、あるキャプチャクロックでスキャンチェイン6Bの最後段の観測用SFF30に取り込まれた故障が、次のキャプチャクロックで最前段の観測用SFF40へ伝搬され、消失しない。   As described above, in the semiconductor device 1B according to the second embodiment, even when a plurality of capture clocks are applied during one capture operation period in the clock sequential test, the observation SFF 30 at the last stage of the scan chain 6B is driven by a certain capture clock. Is propagated to the observation SFF 40 at the forefront stage at the next capture clock and does not disappear.

回路構成の都合上、クロックシーケンシャルテストにおいて、キャプチャ動作期間にMISR4を使用できない場合や圧縮器を経由して外部テスタで観測できない場合がある。このような場合、最後段の観測用SFF30に取り込まれた演算結果は、次のキャプチャクロック出力時に伝搬先がなく消失してしまう。   Due to the circuit configuration, in the clock sequential test, the MISR 4 may not be used during the capture operation period or may not be observed by an external tester via the compressor. In such a case, the calculation result taken into the last observation SFF 30 at the last stage has no propagation destination when the next capture clock is output, and is lost.

実施の形態2のように、図9に示す回路構成を用いると、最後段の観測用SFF30に取り込まれた演算結果は、次キャプチャサイクルのキャプチャクロックで最前段の観測用SFF40へと伝搬でき、消失を防ぐことができる。   When the circuit configuration shown in FIG. 9 is used as in the second embodiment, the operation result captured in the last observation SFF 30 can be propagated to the first observation SFF 40 by the capture clock of the next capture cycle. Loss can be prevented.

なお、スキャンチェイン6Bにおいて、観測用SFF40の後段に接続される各観測用SFF30は、実施の形態1で説明したように、前段の観測用SFF40又は観測用SFF30が第1キャプチャクロックでキャプチャした第1演算結果を第2キャプチャクロックで取り込む。これにより、クロックシーケンシャルテストの1回のキャプチャ動作で検出可能な故障数を、実施の形態1と比較して増加することが可能となる。結果として、目標故障検出率の達成に必要な挿入されるTP数の削減又はLBIST実行時間の削減を図ることが可能となる。   In the scan chain 6B, each observation SFF 30 connected to the subsequent stage of the observation SFF 40 is, as described in the first embodiment, the first observation SFF 40 captured by the preceding observation SFF 40 or the observation SFF 30 with the first capture clock. One operation result is captured by the second capture clock. Thus, the number of faults that can be detected in one capture operation of the clock sequential test can be increased as compared with the first embodiment. As a result, it is possible to reduce the number of inserted TPs or the LBIST execution time required to achieve the target failure detection rate.

実施の形態3.
実施の形態3に係るテストポイント回路を挿入した半導体装置について、図10を参照して説明する。図10は、実施の形態3に係る半導体装置1Cの構成を示す図である。図10に示すように、半導体装置1Cは、DUT2、PRPG3、MISR4C、LBISTコントローラ5、SFF10、観測用SFF30を備えている。半導体装置1Cでは、クロックシーケンシャルテストにおいて、最後段の観測用SFF30に伝搬された故障を、MISR4Cで保持可能である。
Embodiment 3 FIG.
A semiconductor device having a test point circuit according to the third embodiment inserted will be described with reference to FIG. FIG. 10 is a diagram showing a configuration of a semiconductor device 1C according to the third embodiment. As shown in FIG. 10, the semiconductor device 1C includes a DUT 2, a PRPG 3, a MISR 4C, an LBIST controller 5, an SFF 10, and an observation SFF 30. In the semiconductor device 1C, in the clock sequential test, the fault propagated to the last observation SFF 30 can be held by the MISR 4C.

半導体装置1Cにおいて、複数のスキャンチェインのうち少なくとも1つのスキャンチェインは、観測用SFF30のみで構成されている。図6に示す例では、1つのスキャンチェインが観測用SFF30のみで構成されており、残りのスキャンチェインはSFF10で構成されている。図10では、観測用SFF30のみで構成されたスキャンチェインを、スキャンチェイン6Cと示している。   In the semiconductor device 1C, at least one scan chain of the plurality of scan chains includes only the observation SFF 30. In the example shown in FIG. 6, one scan chain is composed of only the observation SFF 30, and the remaining scan chains are composed of the SFF 10. In FIG. 10, a scan chain including only the observation SFF 30 is indicated as a scan chain 6C.

スキャンチェイン6Cでは、5つの観測用SFF30がシリアルに接続されている。観測用SFF30としては、図8に示した構成のものが用いられる。なお、図9に示す例では観測用SFF30が用いられているが、観測用SFF30の代わりに図6に示した観測用SFF20を用いてもよい。   In the scan chain 6C, five observation SFFs 30 are serially connected. As the observation SFF 30, one having the configuration shown in FIG. 8 is used. Although the observation SFF 30 is used in the example shown in FIG. 9, the observation SFF 20 shown in FIG. 6 may be used instead of the observation SFF 30.

キャプチャ動作期間(スキャンイネーブル信号=0)には、スキャンチェイン6Cの最後段の観測用SFF30が第1キャプチャクロックでキャプチャした第1演算結果は、第1キャプチャクロックの後の第2キャプチャクロックでMISR4Cに伝搬される。なお、図10に示す例では、MISR4には、クロック信号(clock2)が入力されている。MISR4Cは、クロック信号(clock2)に同期して、最後段の観測用SFF30から出力される値を取り込む。   In the capture operation period (scan enable signal = 0), the first operation result captured by the last observation SFF 30 of the scan chain 6C at the first capture clock is the MISR4C at the second capture clock after the first capture clock. Is propagated to In the example shown in FIG. 10, a clock signal (clock2) is input to the MISR4. The MISR 4C captures the value output from the last observation SFF 30 in synchronization with the clock signal (clock2).

MISR4Cは、キャプチャ動作後の複数のスキャンチェインからのアンロードデータを圧縮してシグネチャを生成するとともに、キャプチャ動作期間中に最後段の観測用SFF30の値を取り込んで圧縮動作を行うことができる。すなわち、MISR4Cは、シフト期間中だけでなく、クロックシーケンシャルテストでの複数キャプチャクロック印加時にも、キャプチャサイクル毎に最終段の観測用SFF30の出力値を取り込むことが可能である。   The MISR 4C can compress the unload data from the plurality of scan chains after the capture operation to generate a signature, and can perform the compression operation by taking in the value of the last observation SFF 30 during the capture operation. That is, the MISR 4C can capture the output value of the observation SFF 30 at the final stage every capture cycle not only during the shift period but also when a plurality of capture clocks are applied in the clock sequential test.

このように、各キャプチャサイクルで、最後段の観測用SFF30に到達した故障伝搬をMISR4Cで取り込むことができ、故障を消失させることなく観測できる。これにより、クロックシーケンシャルテストの1つのキャプチャ動作期間中に検出可能な故障数を増加させることができ、目標故障検出率の達成に必要な挿入TP数の削減又はLBIST実行時間の削減を図ることが可能となる。   As described above, in each capture cycle, the fault propagation that has reached the last observation SFF 30 can be captured by the MISR 4C and can be observed without losing the fault. As a result, the number of faults that can be detected during one capture operation period of the clock sequential test can be increased, and the number of inserted TPs required to achieve the target fault detection rate or the LBIST execution time can be reduced. It becomes possible.

実施の形態4.
実施の形態4に係るテストポイント回路について、図11を参照して説明する。図11は、実施の形態4に係る観測用SFF50の構成を示す図である。図11に示すように、観測用SFF50は、XORゲート51、MUX52、FF53、データ入力端子DATA、スキャンイン端子SIN、制御入力端子SMC、クロック端子CLK、データ出力端子Qを有している。
Embodiment 4 FIG.
The test point circuit according to the fourth embodiment will be described with reference to FIG. FIG. 11 is a diagram illustrating a configuration of the observation SFF 50 according to the fourth embodiment. As shown in FIG. 11, the observation SFF 50 has an XOR gate 51, a MUX 52, an FF 53, a data input terminal DATA, a scan-in terminal SIN, a control input terminal SMC, a clock terminal CLK, and a data output terminal Q.

観測用SFF50は、可観測性を改善する目的で挿入される、クロックシーケンシャルテストの効率向上効果のある観測用テストポイント回路である。観測用SFF50は、XORゲート51の自己ループ構造を有するSFFセルである。観測用SFF50は、クロックシーケンシャルテストにおいて複数のキャプチャクロックを印加するときに、データ入力端子DATAに到達した故障を自己のFF53内で保持する機能を有する。   The observation SFF 50 is an observation test point circuit inserted for the purpose of improving observability and having an effect of improving the efficiency of the clock sequential test. The observation SFF 50 is an SFF cell having a self-loop structure of the XOR gate 51. The observation SFF 50 has a function of retaining a failure that has reached the data input terminal DATA in its own FF 53 when a plurality of capture clocks are applied in the clock sequential test.

XORゲート51は、データ入力端子DATAから入力されるDUT2の演算結果と、FF53から出力される値を受信する。XORゲート51の排他論理和は、MUX52に入力される。また、MUX52には、スキャンイン端子SINから入力されるテスト信号が入力される。MUX52は、制御入力端子SMCから入力されるスキャンイネーブル信号に応じて、排他論理和とテスト信号のいずれか一方をFF53に出力する。   The XOR gate 51 receives the operation result of the DUT 2 input from the data input terminal DATA and the value output from the FF 53. The exclusive OR of the XOR gate 51 is input to the MUX 52. Further, a test signal input from the scan-in terminal SIN is input to the MUX 52. The MUX 52 outputs one of the exclusive OR and the test signal to the FF 53 according to the scan enable signal input from the control input terminal SMC.

スキャンシフト動作期間(スキャンイネーブル信号=1)では、データ入力端子DATAの値は遮断され、スキャンイン端子SINから入力されるテスト信号がFF53に取り込まれる。キャプチャ動作期間(スキャンイネーブル信号=0)では、データ入力端子DATAの値と、FF53から出力される値の排他論理和がFF53に取り込まれる。   In the scan shift operation period (scan enable signal = 1), the value of the data input terminal DATA is cut off, and the test signal input from the scan-in terminal SIN is taken into the FF 53. In the capture operation period (scan enable signal = 0), the exclusive OR of the value of the data input terminal DATA and the value output from the FF 53 is taken into the FF 53.

FF53は、1回のキャプチャ動作期間において、第1キャプチャクロックでデータ入力端子DATAから入力される第1演算結果を取り込む。そして、第1キャプチャクロックに続く第2キャプチャクロックで、FF53は、データ入力端子DATAから入力される値と第1演算結果との排他論理和を取り込む。   The FF 53 captures a first operation result input from the data input terminal DATA with a first capture clock during one capture operation period. Then, at the second capture clock following the first capture clock, the FF 53 captures the exclusive OR of the value input from the data input terminal DATA and the first operation result.

このように、あるキャプチャサイクルで観測用SFF50のFF53に取り込まれた故障が、次のキャプチャサイクルで自己ループのXORゲート51を経由して再度FF53に取り込まれ、消失せずに残る可能性がある。これにより、クロックシーケンシャルテストの1つのキャプチャ動作期間中に検出可能な故障数を増加させることができる。上述の実施の形態では、観測用テストポイント回路のみで構成したスキャンチェインを構築する必要があるが、観測用SFF50では、スキャンチェインの構成に依存せず、クロックシーケンシャルテストの効率を向上させることが可能となる。   As described above, a failure captured by the FF 53 of the observation SFF 50 in a certain capture cycle may be captured again by the FF 53 via the self-loop XOR gate 51 in the next capture cycle, and may remain without being lost. . Thereby, the number of faults that can be detected during one capture operation period of the clock sequential test can be increased. In the above embodiment, it is necessary to construct a scan chain composed of only the observation test point circuit. However, the observation SFF 50 can improve the efficiency of the clock sequential test without depending on the configuration of the scan chain. It becomes possible.

実施の形態に係る設計装置100について、図12〜14を参照して説明する。図12は、実施の形態に係る半導体装置を設計する設計装置の構成を示す図である。図13、14は、実施の形態に係る半導体装置の設計フローを示す図である。設計装置100は、上述したクロックシーケンシャルテストの効率の高い半導体装置を設計するものである。   A design apparatus 100 according to an embodiment will be described with reference to FIGS. FIG. 12 is a diagram showing a configuration of a design apparatus for designing a semiconductor device according to the embodiment. 13 and 14 are diagrams showing a design flow of the semiconductor device according to the embodiment. The design device 100 is for designing a semiconductor device with high efficiency of the clock sequential test described above.

設計装置100は、演算処理装置110、記憶装置120、制御入力装置130、表示出力装置131を有する。演算処理装置110は、半導体装置の設計に必要なプログラムをロードして設計に必要な各機能プロセスを実行可能な装置であり、CPUやメモリ等を含む。演算処理装置110は、テストポイント挿入プログラム111、スキャン化プログラム112を有している。   The design device 100 includes an arithmetic processing device 110, a storage device 120, a control input device 130, and a display output device 131. The arithmetic processing device 110 is a device capable of loading a program required for designing a semiconductor device and executing each functional process required for the design, and includes a CPU, a memory, and the like. The arithmetic processing device 110 has a test point insertion program 111 and a scanning program 112.

記憶装置120は、ネットリスト121、機能ライブラリ122、テスト制約DB123、テストポイント挿入済ネットリスト124、テストポイント挿入情報DB125、スキャン化ネットリスト126を有している。記憶装置120は、ライブラリやネットリストの情報を保存するHDDディスクやメモリなどの記憶媒体を指す。設計装置100は、演算処理装置110のプログラムを使用し、記憶装置120のネットリストやライブラリを参照して、実施の形態1〜3で説明した観測用テストポイント回路を挿入した半導体装置を生成する。   The storage device 120 has a net list 121, a function library 122, a test constraint DB 123, a test point inserted net list 124, a test point insertion information DB 125, and a scanned net list 126. The storage device 120 refers to a storage medium such as an HDD disk or a memory for storing information of a library or a netlist. The design device 100 generates a semiconductor device in which the observation test point circuit described in the first to third embodiments is inserted by using the program of the arithmetic processing device 110 and referring to the netlist and the library of the storage device 120. .

制御入力装置130は、ユーザが演算処理装置110及び記憶装置120を操作するための装置の総称で、キーボードやマウス等が挙げられる。表示出力装置131は、ユーザが演算処理装置110及び記憶装置120の動作を確認するためのもので、ディスプレイ等が挙げられる。   The control input device 130 is a general term for a device that allows a user to operate the arithmetic processing device 110 and the storage device 120, and includes a keyboard, a mouse, and the like. The display output device 131 is for the user to check the operation of the arithmetic processing device 110 and the storage device 120, and includes a display and the like.

ネットリスト121には、上述した観測用テストポイント回路のセル情報の他、ANDゲート、ORゲート、XORゲート等のセル情報、端子間の接続情報等が記憶されている。機能ライブラリには、セルの機能等が記憶されている。テスト制約DB123には、テスト時の回路動作に必要な情報が格納されている。テスト制約DB123に格納される情報には、例えば、外部出力端子を0に固定する等の情報が含まれる。   The netlist 121 stores cell information of an AND gate, an OR gate, an XOR gate, and the like, connection information between terminals, and the like, in addition to the cell information of the observation test point circuit described above. The function library stores cell functions and the like. The test constraint DB 123 stores information necessary for a circuit operation during a test. The information stored in the test constraint DB 123 includes, for example, information such as fixing the external output terminal to 0.

演算処理装置110は、ネットリスト121、機能ライブラリ122、テスト制約DB123を参照して、テストポイント挿入プログラム111を実行することにより、観測用テストポイント回路が挿入されたテストポイント挿入済ネットリスト124を生成する。テストポイント挿入プログラム111は、一般的なテストポイント挿入の機能に加えて、観測用テストポイント回路として、観測用SFF20、観測用SFF30又は観測用SFF40を挿入する機能を有する。   The arithmetic processing unit 110 executes the test point insertion program 111 with reference to the net list 121, the function library 122, and the test constraint DB 123, thereby converting the test point inserted net list 124 into which the observation test point circuit is inserted. Generate. The test point insertion program 111 has a function of inserting the observation SFF 20, observation SFF 30, or observation SFF 40 as an observation test point circuit in addition to a general test point insertion function.

また、演算処理装置110は、機能ライブラリ122、テスト制約DB123、テストポイント挿入済ネットリスト124、テストポイント挿入情報DB125を参照して、スキャン化プログラム112を実行することにより、クロックシーケンシャルテストの効率の高い半導体装置を生成する。テストポイント挿入情報DB125には、挿入されたテストポイント回路の接続情報等を含むテストポイント挿入情報が記憶されている。   Further, the arithmetic processing unit 110 refers to the function library 122, the test constraint DB 123, the test point inserted netlist 124, and the test point insertion information DB 125 to execute the scanning program 112, thereby improving the efficiency of the clock sequential test. Produce expensive semiconductor devices. The test point insertion information DB 125 stores test point insertion information including connection information of the inserted test point circuit.

スキャン化プログラム112は、一般的なスキャンチェインの構築機能に加えて、挿入された観測用SFFのみで少なくとも1本のスキャンチェインを構築する機能を有する。スキャン化プログラム112は、テストポイント回路挿入後のネットリストを用いて、テストポイント挿入情報に基づき、観測用SFFのみで少なくとも1本のスキャンチェインを構築する。なお、テストポイント挿入情報は、テストポイント挿入プログラム111から出力するか、又は、テストポイント挿入済ネットリストのインスタンスのセル名から生成することができる。   The scanning program 112 has a function of constructing at least one scan chain only with the inserted observation SFF in addition to a general scan chain construction function. The scanning program 112 uses the netlist after the insertion of the test point circuit, and builds at least one scan chain using only the observation SFF based on the test point insertion information. The test point insertion information can be output from the test point insertion program 111 or can be generated from the cell name of the instance of the test point inserted netlist.

また、スキャン化プログラム112は、各スキャンチェインの最前段のSFF又はobsSFFをPRPG3に接続する処理、各スキャンチェインの最後段のSFF又はobsSFFをMISR4に接続する処理を実行することも可能である。演算処理装置110は、スキャン化プログラム112を実行することにより、クロックシーケンシャルテストの効率を改善した、LBISTが実行可能な可能な半導体装置のスキャン化ネットリスト126を生成することができる。   Further, the scanning program 112 can also execute a process of connecting the SFF or obsSFF at the first stage of each scan chain to the PRPG3 and a process of connecting the last SFF or obsSFF of each scan chain to the MISR4. By executing the scan program 112, the arithmetic processing unit 110 can generate a scan netlist 126 of a semiconductor device capable of executing LBIST and having improved clock sequential test efficiency.

ここで、図13、14を参照して、半導体装置の設計フローについて説明する。まず、図13を参照して、テストポイント挿入済ネットリストの生成処理について説明する。図13は、テストポイント挿入済ネットリストの生成アルゴリズムの一例を示す図である。   Here, a design flow of the semiconductor device will be described with reference to FIGS. First, with reference to FIG. 13, a description will be given of a process of generating a test point inserted netlist. FIG. 13 is a diagram illustrating an example of an algorithm for generating a test point inserted netlist.

図13に示すように、まずネットリスト121、機能ライブラリ122、テスト制約DB123に所定の情報が入力される(ステップS11)。その後、入力された情報を解析することにより、回路情報、テスト制約情報が識別される(ステップS12)。ここでは、回路内のSFFや、シフトレジスタ構造を有するユーザFF、テスト制約情報等が取得される。テスト制約情報には、挿入されるテストポイント回路の目標数(目標挿入数)が定義される。   As shown in FIG. 13, first, predetermined information is input to the net list 121, the function library 122, and the test constraint DB 123 (step S11). Then, by analyzing the input information, circuit information and test constraint information are identified (step S12). Here, an SFF in the circuit, a user FF having a shift register structure, test constraint information, and the like are obtained. The test constraint information defines a target number of test point circuits to be inserted (target insertion number).

そして、識別したSFFの情報に基づき、一般的なTPIアルゴリズムにおける乱数ベースでの論理回路の可制御性及び可観測性解析が行われる(ステップS13)。そして、ステップS13の解析結果に基づき、制御用テストポイント回路/観測用テストポイント回路の挿入箇所が選定される(ステップS14)。   Then, based on the information of the identified SFF, the controllability and observability of the logic circuit are analyzed based on random numbers in a general TPI algorithm (step S13). Then, based on the analysis result of step S13, an insertion point of the control test point circuit / observation test point circuit is selected (step S14).

その後、挿入箇所が、テスト制約情報として定義した目標挿入数に到達するまでテストポイント回路の挿入箇所の選定が実行される(ステップS15)。挿入数の閾値に到達していない場合は(ステップS15NO)、S13からS15の処理が繰り返される。挿入箇所が目標挿入数に到達した場合(ステップS15YES)、選定された挿入箇所に観測用SFFが挿入される。なお、観測点には、観測用SFF20、観測用SFF30又は観測用SFF40が挿入される(ステップS16)。その後、テストポイント挿入済ネットリストが出力され(ステップS17)、テストポイント挿入済ネットリストの生成処理が終了する。   Thereafter, selection of the insertion point of the test point circuit is executed until the insertion point reaches the target number of insertions defined as the test constraint information (step S15). If the number of insertions has not reached the threshold value (NO in step S15), the processing from S13 to S15 is repeated. When the insertion point reaches the target number of insertions (step S15 YES), the observation SFF is inserted at the selected insertion point. The observation SFF 20, the observation SFF 30, or the observation SFF 40 is inserted into the observation point (step S16). Thereafter, the test point inserted netlist is output (step S17), and the test point inserted netlist generation processing ends.

次に、図14を参照して、スキャン化ネットリストの生成処理について説明する。図14は、スキャン化ネットリストの生成アルゴリズムの一例を示す図である。図14に示すように、まず、ネットリスト121、機能ライブラリ122、テスト制約DB123、テストポイント挿入情報DB125に所定の情報が入力される(ステップS21)。その後、入力された情報を解析することにより、回路情報、テスト制約情報が識別される(ステップS22)。そして、識別された回路情報から、回路内のSFFやクロックシーケンシャルテストに対応した観測用SFFが識別される(ステップS23)。   Next, referring to FIG. 14, a process of generating a scanned net list will be described. FIG. 14 is a diagram illustrating an example of an algorithm for generating a scanned netlist. As shown in FIG. 14, first, predetermined information is input to the net list 121, the function library 122, the test constraint DB 123, and the test point insertion information DB 125 (Step S21). Thereafter, by analyzing the input information, circuit information and test constraint information are identified (step S22). Then, the SFF in the circuit and the observation SFF corresponding to the clock sequential test are identified from the identified circuit information (step S23).

その後、識別された観測用SFFで、少なくとも1つのスキャンチェインが構築される(ステップS24)。演算処理装置110は、観測用SFFからなるスキャンチェインにおいて、実施の形態1のように観測用SFF20又は観測用SFF30のみをシリアルに接続する処理を実行する。又は、演算処理装置110は、実施の形態2のように観測用SFF40を最前段に接続し、その後段に観測用SFF20又は観測用SFF30を接続する。この場合、最後段の観測用SFFの出力は、最前段の観測用SFF40のデータ入力端子DATA2に接続される。このように、観測用SFFによりスキャンチェインを構成することで、クロックシーケンシャルテストの1つのキャプチャ動作期間中に検出可能な故障数を増加させることができる。   Thereafter, at least one scan chain is constructed with the identified observation SFF (step S24). The arithmetic processing unit 110 executes a process of serially connecting only the observation SFF 20 or the observation SFF 30 as in the first embodiment in the scan chain including the observation SFF. Alternatively, the arithmetic processing unit 110 connects the observation SFF 40 to the forefront stage as in Embodiment 2, and connects the observation SFF 20 or the observation SFF 30 to the subsequent stage. In this case, the output of the last observation SFF is connected to the data input terminal DATA2 of the first observation SFF 40. By configuring the scan chain with the observation SFF as described above, the number of faults that can be detected during one capture operation period of the clock sequential test can be increased.

そして、観測用SFFからなるスキャンチェインとは別に、SFFでスキャンチェインが構築される(ステップS25)。これにより、スキャン化ネットリストが出力され(ステップS26)、スキャン化ネットリストの生成処理が終了する。このようにして生成されたスキャン化ネットリストを用いることにより、クロックシーケンシャルテストの1つのキャプチャ動作期間中に検出可能な故障数を増加させる、目標故障検出率の達成に必要な挿入TP数の削減又はテスト実行時間の削減を図ることが可能な回路を設計することが可能となる。   Then, a scan chain is constructed by the SFF separately from the scan chain including the observation SFF (step S25). Thus, the scan netlist is output (step S26), and the process of generating the scan netlist ends. By using the scan netlist generated in this way, the number of faults detectable during one capture operation period of the clock sequential test is increased, and the number of inserted TPs necessary to achieve the target fault detection rate is reduced. Alternatively, it is possible to design a circuit capable of reducing the test execution time.

なお、図14のスキャンチェインの構築以降の工程として、演算処理装置110は、一般的な圧縮回路付加プログラムを実行することにより、LBISTを実行するための、PRPG3、MISR4、LBISTコントローラ5等のLBIST回路の付加を行う。なお、実施の形態3の半導体装置を設計する場合は、スキャンチェインの最後段の観測用SFFの出力側に、クロックシーケンシャルテストの1回のキャプチャ動作期間に観測用SFFからの出力を取り込むことが可能なMISR4を挿入する。これにより、MISR4は、1回のキャプチャ動作において、第1キャプチャクロックでスキャンチェインの最後段に設けられた観測用SFFがキャプチャした演算結果を、次の第2キャプチャクロックで取り込むことができる。なお、圧縮スキャンテストを行う場合は、演算処理装置110は、圧縮スキャンを実行するための伸張器、圧縮器等の付加を行う。   In addition, as a process after the construction of the scan chain in FIG. 14, the arithmetic processing unit 110 executes a general compression circuit addition program to execute the LBIST, so that the LBIST of the PRPG 3, the MISR 4, the LBIST controller 5, etc. Add a circuit. When the semiconductor device of the third embodiment is designed, the output from the observation SFF during one capture operation period of the clock sequential test may be input to the output side of the observation SFF at the last stage of the scan chain. Insert possible MISR4. Thus, in one capture operation, the MISR 4 can capture the calculation result captured by the observation SFF provided at the last stage of the scan chain by the first capture clock by the next second capture clock. When performing a compression scan test, the arithmetic processing unit 110 adds a decompressor, a compressor, and the like for performing a compression scan.

そして、演算処理装置110は、圧縮回路付加プログラムにより生成された回路に対して、一般的なテストパターン生成プログラムを実行することで、クロックシーケンシャルテストを実行するためのテストパターンを生成する。これにより、図7に示すように、短いテスト時間で目標検出率を達成することが可能となる。   Then, the arithmetic processing unit 110 generates a test pattern for executing the clock sequential test by executing a general test pattern generation program on the circuit generated by the compression circuit addition program. This makes it possible to achieve the target detection rate in a short test time as shown in FIG.

なお、図12に示した設計装置100を用いて、観測用SFF50を用いたクロックシーケンシャルテスト効率の高い論理回路を設計することも可能である。設計装置100は、テストポイント挿入プログラム111を実行して、観測点に観測用SFF50を挿入する。そして、設計装置100は、観測用SFF50によるスキャンチェインの構築は行わず、SFF10によるスキャンチェインを構築する。すなわち、図14におけるステップS24の処理を行わず、ステップS25の処理が実行される。このように、観測用SFF50を用いることで、観測用SFF50のみからなるスキャンチェインを構築するためのプログラムの機能を有する必要がない。   It is also possible to design a logic circuit with high clock sequential test efficiency using the observation SFF 50 using the design apparatus 100 shown in FIG. The design apparatus 100 executes the test point insertion program 111 to insert the observation SFF 50 at the observation point. Then, the design apparatus 100 does not construct a scan chain using the observation SFF 50, but constructs a scan chain using the SFF 10. That is, the process of step S25 is performed without performing the process of step S24 in FIG. As described above, by using the observation SFF 50, it is not necessary to have a function of a program for constructing a scan chain including only the observation SFF 50.

また、上述したプログラムは、様々なタイプの非一時的なコンピュータ可読媒体(non−transitory computer readable medium)を用いて格納され、コンピュータに供給することができる。非一時的なコンピュータ可読媒体は、様々なタイプの実体のある記録媒体(tangible storage medium)を含む。非一時的なコンピュータ可読媒体の例は、磁気記録媒体(例えばフレキシブルディスク、磁気テープ、ハードディスクドライブ)、光磁気記録媒体(例えば光磁気ディスク)、CD−ROM(Read Only Memory)CD−R、CD−R/W、半導体メモリ(例えば、マスクROM、PROM(Programmable ROM)、EPROM(Erasable PROM)、フラッシュROM、RAM(Random Access Memory))を含む。また、プログラムは、様々なタイプの一時的なコンピュータ可読媒体(transitory computer readable medium)によってコンピュータに供給されてもよい。一時的なコンピュータ可読媒体の例は、電気信号、光信号、及び電磁波を含む。一時的なコンピュータ可読媒体は、電線及び光ファイバ等の有線通信路、又は無線通信路を介して、プログラムをコンピュータに供給できる。   In addition, the above-described program may be stored using various types of non-transitory computer readable media and supplied to a computer. Non-transitory computer-readable media include various types of tangible storage media. Examples of the non-transitory computer readable medium are a magnetic recording medium (for example, a flexible disk, a magnetic tape, a hard disk drive), a magneto-optical recording medium (for example, a magneto-optical disk), a CD-ROM (Read Only Memory) CD-R, and a CD. -R / W, semiconductor memory (e.g., mask ROM, PROM (Programmable ROM), EPROM (Erasable PROM), flash ROM, RAM (Random Access Memory)). In addition, the program may be supplied to a computer by various types of transitory computer readable media. Examples of transitory computer readable media include electrical signals, optical signals, and electromagnetic waves. Transitory computer readable media can provide the program to a computer via a wired communication line such as an electric wire and an optical fiber, or a wireless communication line.

以上説明したように、実施の形態によれば、クロックシーケンシャルテストの1回のキャプチャ動作期間に、スキャン入力とデータ入力のXOR出力をフリップフロップに入力する構造を持つことにより、データ入力とスキャン入力に到達した故障伝搬を取り込むことができる。これにより、クロックシーケンシャルテストにおいて複数キャプチャクロックを印加した時に、各キャプチャサイクルでフリップフロップに到達した故障伝搬を消失させることなく、保持・圧縮できる。これにより、目標故障検出率に到達するためのテスト時間を削減することが可能である。   As described above, according to the embodiment, the XOR output of the scan input and the data input is input to the flip-flop during one capture operation period of the clock sequential test, so that the data input and the scan input are performed. Can be captured. Thus, when a plurality of capture clocks are applied in the clock sequential test, the failure propagation that has reached the flip-flop in each capture cycle can be retained and compressed without being lost. This makes it possible to reduce the test time required to reach the target failure detection rate.

なお、実施の形態にかかるテストポイント回路は、下記のように表現することもできる。以下に記載のテストポイント回路は、図11に対応する。   Note that the test point circuit according to the embodiment can also be expressed as follows. The test point circuit described below corresponds to FIG.

(付記1)
スキャンインされるテスト信号に応じて演算結果を出力する組合せ回路中に挿入されるテストポイント回路であって、
クロックシーケンシャルテストの1回のキャプチャ動作期間において、第1キャプチャクロックで、前記組合せ回路からの第1演算結果を取り込むフリップフロップと、
前記第1演算結果と前記組合せ回路からの第2演算結果との排他論理和を前記フリップフロップに出力するXORゲートと、
を含み、
前記フリップフロップは、前記第1キャプチャクロックに続く前記第2のキャプチャクロックで、前記排他論理和を取り込む、
テストポイント回路。
(Appendix 1)
A test point circuit inserted into a combinational circuit that outputs an operation result according to a test signal to be scanned in,
A flip-flop that captures a first operation result from the combinational circuit with a first capture clock during one capture operation period of a clock sequential test;
An XOR gate that outputs an exclusive OR of the first operation result and a second operation result from the combinational circuit to the flip-flop;
Including
The flip-flop captures the exclusive OR with the second capture clock following the first capture clock.
Test point circuit.

(付記2)
予め設定されたプログラムに従って所定の処理を実行可能な演算処理装置を備える半導体装置の設計装置であって、
前記半導体装置は、
スキャンチェインを構成するスキャンフリップフロップと、
付記1に記載のテストポイント回路と、
を含み、
前記演算処理装置は、
前記組合せ回路からの演算結果が所定の論理状態になる確率を解析する解析処理を実行し、
前記解析処理の結果に基づき、前記テストポイント回路の挿入位置を選定し、
選定された前記挿入位置に前記テストポイント回路を挿入し、
前記スキャンフリップフロップによるスキャンチェインを構築する際に、前記テストポイント回路によるスキャンチェインの構築を実行しない、
設計装置。
(Appendix 2)
A semiconductor device design device including an arithmetic processing device capable of executing a predetermined process according to a preset program,
The semiconductor device includes:
A scan flip-flop forming a scan chain;
A test point circuit according to appendix 1,
Including
The arithmetic processing unit,
Performing an analysis process for analyzing the probability that the operation result from the combinational circuit becomes a predetermined logic state,
Based on the result of the analysis processing, select an insertion position of the test point circuit,
Inserting the test point circuit at the selected insertion position,
When constructing a scan chain by the scan flip-flop, do not execute the construction of the scan chain by the test point circuit,
Design equipment.

上述の実施の形態では、LBISTを実行可能な半導体装置において、故障検出率を高くするためにテストポイント回路の挿入(TPI)を行い、クロックシーケンシャルテスト(マルチサイクルテスト)を行う例について説明した。   In the above-described embodiment, an example has been described in which, in a semiconductor device that can execute LBIST, a test point circuit is inserted (TPI) to increase a failure detection rate, and a clock sequential test (multi-cycle test) is performed.

以下の実施の形態では、LBISTを実行可能な半導体装置において、テストポイント回路の挿入を行わず、テスト実行時間を削減するためクロックシーケンシャルテストを行う例について説明する。   In the following embodiment, an example in which a clock sequential test is performed to reduce test execution time without inserting a test point circuit in a semiconductor device capable of executing LBIST will be described.

上述したように、クロックシーケンシャルテストは、1回のキャプチャ動作期間に複数回のキャプチャクロックで組み合わせ回路からの演算結果をキャプチャするマルチサイクルテストである。クロックシーケンシャルテストは、テストポイント回路を挿入しない場合でも、LBIST実行時間の削減に有効である。   As described above, the clock sequential test is a multi-cycle test that captures the operation result from the combinational circuit with a plurality of capture clocks during one capture operation period. The clock sequential test is effective for reducing the LBIST execution time even when a test point circuit is not inserted.

なお、以下の実施の形態においても、LBISTを実行可能な半導体装置の概略構成は、図1に示した半導体装置の構成と同一であるため、詳細な説明は省略する。また、複数クロックによるクロックシーケンシャルテストの動作波形例は、図5に説明した通りである。   In the following embodiments, a schematic configuration of a semiconductor device capable of executing LBIST is the same as the configuration of the semiconductor device shown in FIG. 1, and thus a detailed description is omitted. An operation waveform example of the clock sequential test using a plurality of clocks is as described in FIG.

図1において用いられているSFF10は、クロックシーケンシャルテストを想定していない。本発明者らは、クロックシーケンシャルテストの効果をより向上するために、図1のSFF10の代わりに用いられる、クロックシーケンシャルテストを考慮したシーケンシャルテスト用スキャンフリップフロップ(以下、seqSFFとする)を考案した。   The SFF 10 used in FIG. 1 does not assume a clock sequential test. The present inventors devised a scan flip-flop for a sequential test (hereinafter, referred to as seqSFF) in consideration of the clock sequential test, which is used in place of the SFF 10 in FIG. 1 in order to further improve the effect of the clock sequential test. .

実施の形態に係るシーケンシャルテスト用スキャンフリップフロップは、LSIのスキャンテストを実行することが可能なスキャンチェインを構成し、クロックシーケンシャルテストを行うものである。実施の形態に係るseqSFFは、テスト実行時間を削減するとともに、故障検出率を高くすることが可能な論理構造を有する。   The scan flip-flop for a sequential test according to the embodiment constitutes a scan chain capable of executing an LSI scan test, and performs a clock sequential test. The seqSFF according to the embodiment has a logical structure that can reduce the test execution time and increase the failure detection rate.

具体的には、実施の形態に係るseqSFFは、テストイネーブル信号が入力されるテストイネーブル入力端子を備える。seqSFFは、テストイネーブル信号に応じて、クロックシーケンシャルテストの1回のキャプチャ動作期間において、第1キャプチャクロックの後の第2キャプチャクロックで、スキャンチェインにおける当該シーケンシャルテスト用スキャンフリップフロップの前段又は前記スキャンチェインの最後段の第1セルが前記第1キャプチャクロックでキャプチャした組み合わせ回路からの第1演算結果を取り込む。   Specifically, the seqSFF according to the embodiment includes a test enable input terminal to which a test enable signal is input. The seqSFF is a second capture clock after the first capture clock during a single capture operation period of the clock sequential test according to the test enable signal, and is a stage preceding the scan flip-flop for the sequential test in the scan chain or the scan. The first cell at the last stage of the chain captures the first operation result from the combinational circuit captured by the first capture clock.

実施の形態のseqSFFを用いた半導体装置は、例えば、POSTを実装した製品に適用可能である。また、この半導体装置は、量産テスト工程における、LBIST適用時のテストコスト削減を図りたい製品や、LBISTを実装する設計装置(EDAツール)等にも適用することが可能である。   The semiconductor device using the seqSFF of the embodiment can be applied to, for example, a product mounted with POST. In addition, this semiconductor device can be applied to a product that wants to reduce test cost when LBIST is applied in a mass production test process, a design device (EDA tool) for mounting LBIST, and the like.

実施の形態5.
図15、16を参照して、クロックシーケンシャルテストを実行する半導体装置において用いられる、シーケンシャルテスト用スキャンフリップフロップ(seqSFF)について説明する。図15は、実施の形態5に係るseqSFFを挿入した半導体装置の構成を示す図である。図15の半導体装置1Dは、クロックシーケンシャルテストの複数クロック印加時にSFF10に到達した故障伝搬を、次のキャプチャサイクルでも消失させることなく保持することが可能な最小の構成を有している。図15では、seqSFF60を説明するための、半導体装置1Dの最小の構成のみを図示している。
Embodiment 5 FIG.
Referring to FIGS. 15 and 16, a sequential test scan flip-flop (seqSFF) used in a semiconductor device that executes a clock sequential test will be described. FIG. 15 is a diagram showing a configuration of a semiconductor device in which a seqSFF according to the fifth embodiment is inserted. The semiconductor device 1D of FIG. 15 has a minimum configuration capable of retaining the failure propagation that has reached the SFF 10 when a plurality of clocks are applied in the clock sequential test, without being lost even in the next capture cycle. FIG. 15 illustrates only the minimum configuration of the semiconductor device 1D for explaining the seqSFF 60.

図15に示すように、半導体装置1Dは、DUT2、SFF10、seqSFF60を備える。SFF10の後段にはseqSFF60がシリアルに接続され、1つのスキャンチェインが構築される。なお、DUT2、SFF10は、図1において説明した構成と同一であるため説明を省略する。   As shown in FIG. 15, the semiconductor device 1D includes a DUT 2, an SFF 10, and a seqSFF 60. A seqSFF 60 is serially connected to the subsequent stage of the SFF 10, and one scan chain is constructed. The DUT 2 and the SFF 10 have the same configurations as those described with reference to FIG.

図16は、実施の形態5に係るseqSFF60の構成を示す図である。図16に示すように、seqSFF60は、NORゲート61、NANDゲート62、XORゲート63、FF64、データ入力端子DATA、制御入力端子SMC、スキャンイン端子SIN、テストイネーブル入力端子SEQ_TEST_EN、クロック端子CLK、データ出力端子Qを有している。seqSFF60の入出力構成は、テストイネーブル入力端子SEQ_TEST_EN以外、SFF10と同一の入出力構成である。すなわち、seqSFF60は、SFF10の入出力構成に加えて、テストイネーブル入力端子SEQ_TEST_ENを有している。   FIG. 16 is a diagram illustrating a configuration of the seqSFF 60 according to the fifth embodiment. As shown in FIG. 16, the seqSFF 60 includes a NOR gate 61, a NAND gate 62, an XOR gate 63, an FF64, a data input terminal DATA, a control input terminal SMC, a scan-in terminal SIN, a test enable input terminal SEQ_TEST_EN, a clock terminal CLK, and a data terminal. It has an output terminal Q. The input / output configuration of the seqSFF 60 is the same as the input / output configuration of the SFF 10 except for the test enable input terminal SEQ_TEST_EN. That is, the seqSFF 60 has a test enable input terminal SEQ_TEST_EN in addition to the input / output configuration of the SFF 10.

SFF10の出力が、seqSFF60のスキャンイン端子SINに接続される。seqSFF60は、通常のユーザ動作とスキャンテスト動作を切り替え可能である。seqSFF60は、さらに、スキャンテスト動作期間において、スキャンシフト動作とキャプチャ動作とを切り替え可能である。seqSFF60は、1回のキャプチャ動作期間において、複数のキャプチャクロックのそれぞれでDUT2からの演算結果を取り込む。   The output of the SFF 10 is connected to the scan-in terminal SIN of the seqSFF 60. The seqSFF 60 can switch between a normal user operation and a scan test operation. The seqSFF 60 is further capable of switching between a scan shift operation and a capture operation during a scan test operation period. The seqSFF 60 captures the operation result from the DUT 2 at each of a plurality of capture clocks during one capture operation period.

例えば、1回のキャプチャ動作期間に印加される最初キャプチャクロックを第1キャプチャクロックとし、第1キャプチャクロックに続くキャプチャクロックを第2キャプチャクロックとする。また、第1キャプチャクロックで取り込まれるDUT2からの演算結果を第1演算結果とする。1回のキャプチャ動作期間における、1つのキャプチャクロックパルス動作を行う期間をキャプチャサイクルとする。   For example, the first capture clock applied during one capture operation period is the first capture clock, and the capture clock following the first capture clock is the second capture clock. The calculation result from the DUT 2 captured by the first capture clock is defined as a first calculation result. A period in which one capture clock pulse operation is performed in one capture operation period is defined as a capture cycle.

なお、第1キャプチャクロックは、1回のキャプチャ動作期間に最初に印加されるキャプチャクロックではなく、その後に印加されるものであってもよい。第2キャプチャクロックは、1回のキャプチャ動作期間において、第1キャプチャクロックの後に印加されるものであればよい。   Note that the first capture clock may not be applied first during one capture operation period, but may be applied later. The second capture clock only needs to be applied after the first capture clock in one capture operation period.

データ入力端子DATAには、キャプチャ動作期間に、DUT2からの演算結果が入力される。スキャンイン端子SINには、スキャンシフト動作期間にテスト信号が入力され、キャプチャ動作期間にスキャンチェインの前段のSFF10が第1キャプチャクロックでキャプチャした第1演算結果が入力される。   The calculation result from the DUT 2 is input to the data input terminal DATA during the capture operation period. A test signal is input to the scan-in terminal SIN during the scan shift operation period, and a first operation result captured by the SFF 10 at the preceding stage of the scan chain with the first capture clock is input during the capture operation period.

テストイネーブル入力端子SEQ_TEST_ENには、テストイネーブル信号(seq_test_enable)が入力される。seqSFF60は、テストイネーブル信号に応じて、通常のユーザ動作とスキャンテスト動作とを切り替える。例えば、テストイネーブル信号がロウ(0)の時にユーザ動作となり、テストイネーブル信号がハイ(1)のときにスキャンテスト動作となる。   A test enable signal (seq_test_enable) is input to the test enable input terminal SEQ_TEST_EN. The seqSFF 60 switches between a normal user operation and a scan test operation according to the test enable signal. For example, a user operation is performed when the test enable signal is low (0), and a scan test operation is performed when the test enable signal is high (1).

制御入力端子SMCには、スキャンイネーブル信号(scan_enable)が入力される。seqSFF60は、スキャンイネーブル信号に応じて、スキャンシフト動作とキャプチャ動作とを切り替える。例えば、テストイネーブル信号がハイ(1)の場合において、スキャンイネーブル信号がハイ(1)の時にスキャンシフト動作となり、スキャンイネーブル信号がロウ(0)の時にキャプチャ動作となる。   A scan enable signal (scan_enable) is input to the control input terminal SMC. The seqSFF 60 switches between a scan shift operation and a capture operation according to a scan enable signal. For example, when the test enable signal is high (1), the scan shift operation is performed when the scan enable signal is high (1), and the capture operation is performed when the scan enable signal is low (0).

NORゲート61は、制御入力端子SMCから入力されるスキャンイネーブル信号(scan−enable)と、データ入力端子DATAから入力される信号とを受信して、否定論理和を出力する。NANDゲート62は、スキャンイン端子SINに入力された第1演算結果と、テストイネーブル信号とを受信し、否定論理積を出力する。XORゲート63は、NORゲート61からの否定論理和と、NANDゲート62からの否定論理積とを受信し、排他論理和を出力する。   The NOR gate 61 receives a scan enable signal (scan-enable) input from the control input terminal SMC and a signal input from the data input terminal DATA, and outputs a NOR. The NAND gate 62 receives the first operation result input to the scan-in terminal SIN and the test enable signal, and outputs a NAND. The XOR gate 63 receives the NOR of the NOR gate 61 and the NAND of the NAND gate 62, and outputs an exclusive OR.

FF64は、クロック端子CLKから入力されるクロック信号(clock)に応じて、XORゲート63から出力される値を取り込み、データ出力端子Qから出力する。スキャンシフト動作期間には、FF64は、テスト信号を取り込む。また、キャプチャ動作期間には、FF64は、第1キャプチャクロックに続く第2キャプチャクロックで、XORゲート63が出力する排他論理和を取り込む。すなわち、スキャンチェインの前段のSFF10が第1キャプチャクロックでキャプチャした第1演算結果は、第1キャプチャクロックの後の第2キャプチャクロックで後段のseqSFF60に伝搬される。   The FF 64 captures a value output from the XOR gate 63 according to a clock signal (clock) input from the clock terminal CLK, and outputs the value from the data output terminal Q. During the scan shift operation period, the FF 64 captures a test signal. Also, during the capture operation period, the FF 64 captures the exclusive OR output from the XOR gate 63 with the second capture clock following the first capture clock. That is, the first operation result captured by the SFF 10 at the preceding stage of the scan chain at the first capture clock is transmitted to the seqSFF 60 at the subsequent stage at the second capture clock after the first capture clock.

ここで、半導体装置1Dの動作について説明する。図17、18は、図15に係る半導体装置1Dの動作波形例を示す図である。図17に示すように、ユーザ動作時は、スキャンイネーブル信号=0(constant LOW)、かつ、テストイネーブル信号=0(constant LOW)に設定される。このとき、SFF10、seqSFF60は、いずれもデータ入力端子DATAから入力される値を取り込む。   Here, the operation of the semiconductor device 1D will be described. 17 and 18 are diagrams showing examples of operation waveforms of the semiconductor device 1D according to FIG. As shown in FIG. 17, during the user operation, the scan enable signal = 0 (constant LOW) and the test enable signal = 0 (constant LOW) are set. At this time, the SFF 10 and the seqSFF 60 both take in the value input from the data input terminal DATA.

図18に示すように、スキャンテスト動作時には、テストイネーブル信号=1(constant HIGH)に設定される。スキャンテスト動作時において、スキャンシフト動作期間には、スキャンイネーブル信号=1(HIGH)に設定される。このとき、SFF10、seqSFF60は、スキャンイン端子SINからの値を取り込み、スキャンシフト動作が行われる。   As shown in FIG. 18, during the scan test operation, the test enable signal is set to 1 (constant HIGH). In the scan test operation, the scan enable signal is set to 1 (HIGH) during the scan shift operation period. At this time, the SFF 10 and the seqSFF 60 take in the value from the scan-in terminal SIN and perform a scan shift operation.

スキャンテスト動作時において、キャプチャ動作期間には、スキャンイネーブル信号=0(LOW)に設定される。このとき、SFF10はデータ入力端子DATAからの値を取り込み、seqSFF60は、データ入力端子DATAとスキャンイン端子SINからの値の排他的論理和を取り込む。図19に、図16に示すシーケンシャルテスト用スキャンフリップフロップの真理値表を示す。seqSFF60は、図19の真理値表に示す機能を満たす構成を有している。   In the scan test operation, the scan enable signal is set to 0 (LOW) during the capture operation period. At this time, the SFF 10 takes in the value from the data input terminal DATA, and the seqSFF 60 takes in the exclusive OR of the value from the data input terminal DATA and the value from the scan-in terminal SIN. FIG. 19 shows a truth table of the scan flip-flop for the sequential test shown in FIG. The seqSFF 60 has a configuration that satisfies the functions shown in the truth table of FIG.

ここで、クロックシーケンシャルテストで2回のキャプチャクロックが印加される場合を考える。1回目のキャプチャサイクルでSFF10がある故障伝搬の影響を取り込むものとする。すなわち、1回目のキャプチャクロック印加後のSFF10のデータ出力端子Qの出力値は、正常時と故障発生時で反転する。   Here, it is assumed that two capture clocks are applied in the clock sequential test. It is assumed that the SFF 10 takes in the influence of a certain fault propagation in the first capture cycle. That is, the output value of the data output terminal Q of the SFF 10 after the first capture clock application is inverted between a normal state and a failure state.

seqSFF60は、2回目のキャプチャサイクルでデータ入力端子DATAとスキャンイン端子SINからの値の排他的論理和を取り込むため、SFF10のデータ出力端子Qの値が反転した場合は、seqSFF60が取り込む値も反転する。このように、1回目のキャプチャサイクルでSFF10が取り込んだ故障は、2回目のキャプチャサイクルでseqSFF60に保持される。   The seqSFF 60 takes in the exclusive OR of the values from the data input terminal DATA and the scan-in terminal SIN in the second capture cycle. Therefore, when the value of the data output terminal Q of the SFF 10 is inverted, the value taken by the seqSFF 60 is also inverted. I do. As described above, the fault captured by the SFF 10 in the first capture cycle is held in the seqSFF 60 in the second capture cycle.

仮に、図15におけるseqSFF60の代わりにSFF10で構成した場合について考える。クロックシーケンシャルテストにおいて、第1キャプチャクロックで前段のSFF10のデータ入力端子DATAまで到達した演算結果は、DUT2を介して後段のSFF10に到達しない限り、次の第2キャプチャクロックで伝搬先がなく消失してしまう。この消失確率は、論理ゲート段数が多くなるに従って高くなる。一般的な論理においては多数の論理ゲートが存在するため、複数回のキャプチャクロックの印加で故障が保持できる可能性は低い。   Suppose a case where the seqSFF 60 in FIG. 15 is used instead of the seqSFF 60. In the clock sequential test, the operation result that has reached the data input terminal DATA of the preceding SFF 10 at the first capture clock is lost without any propagation destination at the next second capture clock unless it reaches the subsequent SFF 10 via the DUT 2. Would. This disappearance probability increases as the number of logic gate stages increases. In general logic, since a large number of logic gates exist, it is unlikely that a failure can be held by applying a capture clock multiple times.

これに対し、実施の形態5に係る半導体装置1Dでは、1回のキャプチャ動作期間において第1キャプチャクロックでSFF10に到達した演算結果は、次の第2キャプチャクロックでスキャンチェイン上の後段のseqSFF60に伝搬される。このように、半導体装置1Dでは、複数回のキャプチャクロックが印加された時の故障検出率を高くすることが可能となる。   In contrast, in the semiconductor device 1D according to the fifth embodiment, the operation result that has reached the SFF 10 with the first capture clock during one capture operation period is transmitted to the subsequent seqSFF 60 on the scan chain with the next second capture clock. Propagated. Thus, in the semiconductor device 1D, it is possible to increase the failure detection rate when a plurality of capture clocks are applied.

また、半導体装置1Dにおいてクロックシーケンシャルテストを実行することで、1回のキャプチャ動作期間で多くの故障を検出できるようになり、結果としてテスト時間を削減することが可能となる。   In addition, by executing the clock sequential test in the semiconductor device 1D, many failures can be detected in one capture operation period, and as a result, the test time can be reduced.

なお、seqSFF60は図16に示した論理構造に限定されるものではない。seqSFF60の構成は、図20に示すシーケンシャルテスト用スキャンフリップフロップの概略構成で、図21に示す真理値表に示す機能を満たすものであれば、どのような構成でも構わない。   The seqSFF 60 is not limited to the logical structure shown in FIG. The configuration of the seqSFF 60 may be any configuration as long as it is a schematic configuration of the scan flip-flop for the sequential test shown in FIG. 20 and satisfies the functions shown in the truth table shown in FIG.

すなわち、スキャンイネーブル信号=0かつテストイネーブル信号=0では、データ入力端子DATAの値が取り込まれる。スキャンイネーブル信号=0かつテストイネーブル信号=1では、データ入力端子DATAとスキャンイン端子SINの値の排他的論理和

Figure 0006654456
又は否定排他的論理和
Figure 0006654456
が取り込まれる。 That is, when the scan enable signal = 0 and the test enable signal = 0, the value of the data input terminal DATA is taken in. When the scan enable signal = 0 and the test enable signal = 1, the exclusive OR of the values of the data input terminal DATA and the scan-in terminal SIN is obtained.
Figure 0006654456
Or negated exclusive OR
Figure 0006654456
Is taken in.

スキャンイネーブル信号=1では、テストイネーブル信号の値にかかわらず、スキャンイン端子SINの値(SIN)又はスキャンイン端子SINの値の否定

Figure 0006654456
が取り込まれる。 When the scan enable signal = 1, the value of the scan-in terminal SIN (SIN) or the value of the scan-in terminal SIN is negated regardless of the value of the test enable signal.
Figure 0006654456
Is taken in.

図21の真理値表を満たす、seqSFF60の他の論理回路例を図22、24、26示す。図22のseqSFF60A、図24のseqSFF60B、図23のseqSFF60Cの真理値表を図23、25、27にそれぞれ示す。   FIGS. 22, 24 and 26 show other examples of the logic circuit of the seqSFF 60 satisfying the truth table of FIG. 23, 25, and 27 respectively show truth tables of the seqSFF 60A of FIG. 22, the seqSFF 60B of FIG. 24, and the seqSFF 60C of FIG.

図22に示すseqSFF60Aは、NORゲート61、XORゲート63、FF64、ORゲート65を備える。NORゲート61は、制御入力端子SMCから入力されるスキャンイネーブル信号(scan−enable)と、データ入力端子DATAから入力される信号とを受信して、否定論理和を出力する。   The seqSFF 60A shown in FIG. 22 includes a NOR gate 61, an XOR gate 63, an FF 64, and an OR gate 65. The NOR gate 61 receives a scan enable signal (scan-enable) input from the control input terminal SMC and a signal input from the data input terminal DATA, and outputs a NOR.

ORゲート65は、一方の入力端子が負論理となっている。ORゲート65は、スキャンイン端子SINからの値と、テストイネーブル信号の負論理とを受信し、論理和を出力する。XORゲート63は、NORゲート61からの否定論理和と、ORゲート65からの論理和とを受信し、排他論理和を出力する。FF64は、XORゲート63から出力される値を取り込み、データ出力端子Qから出力する。   The OR gate 65 has one input terminal of negative logic. The OR gate 65 receives the value from the scan-in terminal SIN and the negative logic of the test enable signal, and outputs a logical sum. The XOR gate 63 receives the NOR of the NOR gate 61 and the OR of the OR gate 65, and outputs an exclusive OR. The FF 64 takes in the value output from the XOR gate 63 and outputs it from the data output terminal Q.

なお、図22に示すseqSFF60Aの動作波形は、図17、18に示す動作波形と同一である。すなわち、図17に示すように、ユーザ動作時は、スキャンイネーブル信号=0(constant LOW)、かつ、テストイネーブル信号=0(constant LOW)に設定される。また、図18に示すように、スキャンテスト動作時には、テストイネーブル信号=1(constant HIGH)に設定される。スキャンテスト動作時において、スキャンシフト動作期間には、スキャンイネーブル信号=1(HIGH)に設定される。   The operation waveform of the seqSFF 60A shown in FIG. 22 is the same as the operation waveform shown in FIGS. That is, as shown in FIG. 17, during the user operation, the scan enable signal = 0 (constant LOW) and the test enable signal = 0 (constant LOW) are set. Further, as shown in FIG. 18, at the time of the scan test operation, the test enable signal is set to 1 (constant HIGH). In the scan test operation, the scan enable signal is set to 1 (HIGH) during the scan shift operation period.

このように、図22に示す構成であっても、キャプチャ動作期間には、スキャンチェインの前段のSFF10が第1キャプチャクロックでキャプチャした第1演算結果は、第1キャプチャクロックの後の第2キャプチャクロックで後段のseqSFF60に伝搬される。   As described above, even in the configuration shown in FIG. 22, during the capture operation period, the first operation result captured by the SFF 10 at the previous stage of the scan chain with the first capture clock is the second capture result after the first capture clock. The clock is transmitted to the seqSFF 60 at the subsequent stage.

図24に示すseqSFF60Bは、NORゲート61、NANDゲート62、XORゲート63、FF64、ORゲート66を備える。NORゲート61は、制御入力端子SMCから入力されるスキャンイネーブル信号(scan−enable)と、データ入力端子DATAから入力される信号とを受信して、否定論理和を出力する。   The seqSFF 60B shown in FIG. 24 includes a NOR gate 61, a NAND gate 62, an XOR gate 63, an FF 64, and an OR gate 66. The NOR gate 61 receives a scan enable signal (scan-enable) input from the control input terminal SMC and a signal input from the data input terminal DATA, and outputs a NOR.

ORゲート66は、スキャンイネーブル信号とテストイネーブル信号の論理和を出力する。NANDゲート62は、スキャンイン端子SINからの値と、ORゲート66からの論理和とを受信し、否定論理積を出力する。XORゲート63は、NORゲート61からの否定論理和と、NANDゲート62からの否定論理積とを受信し、排他論理和を出力する。FF64は、XORゲート63から出力される値を取り込み、データ出力端子Qから出力する。   The OR gate 66 outputs a logical sum of the scan enable signal and the test enable signal. The NAND gate 62 receives the value from the scan-in terminal SIN and the logical sum from the OR gate 66, and outputs a NAND. The XOR gate 63 receives the NOR of the NOR gate 61 and the NAND of the NAND gate 62, and outputs an exclusive OR. The FF 64 takes in the value output from the XOR gate 63 and outputs it from the data output terminal Q.

図26に示すseqSFF60Cは、XORゲート67、MUX68、MUX69、FF64を備えている。XORゲート67は、データ入力端子DATAからの値と、スキャンイン端子SINからの値とを受信し、排他的論理和を出力する。MUX68は、データ入力端子DATAからの値と、XORゲート67からの排他的論理和を受信する。MUX68は、テストイネーブル信号がロウ(0)の時にデータ入力端子DATAからの値が有効となり、ハイ(1)の時にXORゲート67からの値が有効となる。   The seqSFF 60C shown in FIG. 26 includes an XOR gate 67, a MUX 68, a MUX 69, and an FF 64. The XOR gate 67 receives the value from the data input terminal DATA and the value from the scan-in terminal SIN, and outputs an exclusive OR. The MUX 68 receives the value from the data input terminal DATA and the exclusive OR from the XOR gate 67. When the test enable signal is low (0), the value from the data input terminal DATA is valid, and when the test enable signal is high (1), the value from the XOR gate 67 is valid.

MUX68からの値は、MUX69に入力される。また、MUX69は、スキャンイン端子SINからの値を受信する。MUX69は、スキャンイネーブル信号ロウ(0)の時にMUX68からの値が有効となり、ハイ(1)の時にスキャンイン端子SINからの値が有効となる。FF64は、MUX69から出力される値を取り込み、データ出力端子Qから出力する。   The value from MUX 68 is input to MUX 69. Further, the MUX 69 receives a value from the scan-in terminal SIN. For the MUX 69, the value from the MUX 68 is valid when the scan enable signal is low (0), and the value from the scan-in terminal SIN is valid when the MUX 69 is high (1). The FF 64 takes in the value output from the MUX 69 and outputs it from the data output terminal Q.

図24、26に示すseqSFF60B、60Cを挿入した半導体装置の動作波形を、図28、29に示す。図28に示すように、ユーザ動作時は、スキャンイネーブル信号=0(constant LOW)に設定される。このとき、テストイネーブル信号は、0/1いずれの値でも構わない(don’t care)。   FIGS. 28 and 29 show operation waveforms of the semiconductor device in which the seqSFFs 60B and 60C shown in FIGS. 24 and 26 are inserted. As shown in FIG. 28, at the time of a user operation, the scan enable signal is set to 0 (constant LOW). At this time, the test enable signal may have any value of 0/1 (don't care).

図29に示すように、スキャンテスト動作時において、キャプチャ動作期間には、スキャンイネーブル信号=0(LOW)、テストイネーブル信号=1(HIGH)に設定される。スキャンテスト動作時において、スキャンシフト動作期間には、スキャンイネーブル信号=1(HIGH)に設定される。このとき、テストイネーブル信号は、0/1いずれの値でも構わない(don’t care)。   As shown in FIG. 29, in the scan test operation, during the capture operation period, the scan enable signal = 0 (LOW) and the test enable signal = 1 (HIGH). In the scan test operation, the scan enable signal is set to 1 (HIGH) during the scan shift operation period. At this time, the test enable signal may have any value of 0/1 (don't care).

このように、スキャンイン端子SINの値とデータ入力端子DATAの値との排他論理和又は否定排他論理和を取り込む構造を有することにより、スキャンイン端子SINとデータ入力端子DATAに到達した故障を同時に取り込むことができる。これにより、クロックシーケンシャルテストで複数回のキャプチャクロック印加時に、各キャプチャサイクルでseqSFF60まで到達した故障を保持することが可能となる。結果として、1回のキャプチャ期間で検出可能な故障数が増え、目標検出率に到達するためのテスト時間を削減することが可能である。   As described above, by adopting a structure in which an exclusive OR or a negative exclusive OR of the value of the scan-in terminal SIN and the value of the data input terminal DATA is taken, failures that have reached the scan-in terminal SIN and the data input terminal DATA can be simultaneously performed. Can be captured. Thus, when a capture clock is applied a plurality of times in the clock sequential test, it is possible to hold a failure that has reached the seqSFF 60 in each capture cycle. As a result, the number of faults that can be detected in one capture period increases, and the test time required to reach the target detection rate can be reduced.

実施の形態6.
実施の形態6に係るシーケンシャルテスト用スキャンフリップフロップ(seqSFF)を挿入した半導体装置について、図30を参照して説明する。図30は、実施の形態6に係るseqSFFを挿入した半導体装置1Eの構成を示す図である。
Embodiment 6 FIG.
A semiconductor device according to a sixth embodiment in which a scan flip-flop for sequential test (seqSFF) is inserted will be described with reference to FIG. FIG. 30 is a diagram showing a configuration of a semiconductor device 1E according to the sixth embodiment in which a seqSFF is inserted.

図30に示すように、半導体装置1Eは、DUT2、PRPG3、MISR4、LBISTコントローラ5、複数のseqSFF60を備えている。なお、seqSFF60以外の構成については、図1で説明したものと同一のため、詳細な説明は省略する。   As shown in FIG. 30, the semiconductor device 1E includes a DUT 2, a PRPG 3, a MISR 4, an LBIST controller 5, and a plurality of seqSFFs 60. Note that the configuration other than the seqSFF 60 is the same as that described with reference to FIG. 1, and a detailed description thereof will be omitted.

半導体装置1Eは、1以上の複数のスキャンチェインを有している。図30に示す例では、各スキャンチェインがseqSFF60のみで構成されている。図30においては、seqSFF60により構成された1つのスキャンチェインを、スキャンチェイン6Dと示している。スキャンチェイン6Dでは、5つのseqSFF60がシリアルに接続されている。   The semiconductor device 1E has one or more scan chains. In the example shown in FIG. 30, each scan chain is composed of only seqSFF60. In FIG. 30, one scan chain constituted by the seqSFF 60 is shown as a scan chain 6D. In the scan chain 6D, five seqSFFs 60 are serially connected.

seqSFF60は、図16に示した構成と同一のものである。なお、seqSFF60の代わりに、図21に示した真理値表の機能を満たす他の構成のseqSFFを用いることも可能である。   The seqSFF 60 has the same configuration as that shown in FIG. Instead of the seqSFF 60, it is also possible to use a seqSFF having another configuration that satisfies the function of the truth table shown in FIG.

各seqSFF60の制御入力端子SMCには、スキャンイネーブル信号が入力される。また、各seqSFF60のテストイネーブル入力端子SEQ_TEST_ENには、テストイネーブル信号が入力される。なお、テストイネーブル入力端子SEQ_TEST_ENは必ずしも外部入力端子で制御する必要はなく、テストモードレジスタなどから制御してもよい。   A scan enable signal is input to a control input terminal SMC of each seqSFF 60. A test enable signal is input to a test enable input terminal SEQ_TEST_EN of each seqSFF 60. Note that the test enable input terminal SEQ_TEST_EN is not necessarily controlled by an external input terminal, but may be controlled by a test mode register or the like.

ユーザ動作時には、テストイネーブル信号=0、スキャンイネーブル信号=0が与えられる。各seqSFF60の制御入力端子SMC、テストイネーブル入力端子SEQ_TEST_ENには、それぞれ、テストイネーブル信号=0、スキャンイネーブル信号=0が供給される。これにより,スキャンイン端子SINから入力される値は遮断され、seqSFF60はデータ入力端子DATAからの値を取込む。   During a user operation, a test enable signal = 0 and a scan enable signal = 0 are applied. A test enable signal = 0 and a scan enable signal = 0 are supplied to a control input terminal SMC and a test enable input terminal SEQ_TEST_EN of each seqSFF 60, respectively. As a result, the value input from the scan-in terminal SIN is cut off, and the seqSFF 60 takes in the value from the data input terminal DATA.

スキャンテスト動作時はテストイネーブル信号=1に設定される。スキャンシフト動作期間には、スキャンイネーブル信号=1に設定される。各seqSFF60はスキャンイン端子SINからの値を取り込むことで、スキャンシフト動作が行われる。キャプチャ動作時は、スキャンイネーブル信号=0に設定される。各seqSFF60は、データ入力端子DATAからの値と、スキャンイン端子SINからの値の排他論理和を取込む。   At the time of the scan test operation, the test enable signal = 1 is set. During the scan shift operation period, the scan enable signal = 1 is set. Each seqSFF 60 performs a scan shift operation by taking in the value from the scan-in terminal SIN. At the time of the capture operation, the scan enable signal = 0 is set. Each seqSFF 60 takes in the exclusive OR of the value from the data input terminal DATA and the value from the scan-in terminal SIN.

このため、クロックシーケンシャルテストによる複数キャプチャクロック印加時において、あるキャプチャサイクルでseqSFF60に到達した故障は、次のキャプチャサイクルでそのスキャンチェイン上の後段のseqSFF60にスキャンイン端子SINを経由して取り込まれる。   Therefore, when a plurality of capture clocks are applied by the clock sequential test, a failure that reaches the seqSFF 60 in a certain capture cycle is captured by the subsequent seqSFF 60 on the scan chain via the scan-in terminal SIN in the next capture cycle.

例えば、スキャンチェイン6Dの段数がN段のとき、先頭seqSFF60に取込まれた故障は、最大でN回のキャプチャクロックが印加されるまで、スキャンチェイン6D上のseqSFF60で保持できる可能性がある。この結果、クロックシーケンシャルテストにおけるキャプチャ動作期間中に検出可能な故障数を増大させることが可能となる。   For example, when the number of stages of the scan chain 6D is N, a failure captured in the head seqSFF 60 may be held by the seqSFF 60 on the scan chain 6D until N capture clocks are applied at most. As a result, the number of faults that can be detected during the capture operation period in the clock sequential test can be increased.

ここで、図31を参照して、テスト時間の削減効果について説明する。図31は、実施の形態6に係る半導体装置1Eのテスト時間に対する故障検出率を示す図である。図31において、横軸はテスト時間を示しており、縦軸は故障検出率を示している。また、図31において、実線は実施の形態に係るseqSFF60を用いた半導体装置1Eの結果を示しており、破線は図30のseqSFF60の代わりにSFF10で構成した場合の半導体装置の結果を示している。   Here, the effect of reducing the test time will be described with reference to FIG. FIG. 31 is a diagram illustrating a failure detection rate with respect to a test time of the semiconductor device 1E according to the sixth embodiment. In FIG. 31, the horizontal axis indicates the test time, and the vertical axis indicates the failure detection rate. Also, in FIG. 31, the solid line indicates the result of the semiconductor device 1E using the seqSFF 60 according to the embodiment, and the broken line indicates the result of the semiconductor device when the SFF 10 is used instead of the seqSFF 60 in FIG. .

図31に示すように、実施の形態6に係る半導体装置1Eでは、seqSFF60を用いることで、SFF10を用いた場合とよりも短いテスト時間で目標故障検出率を達成することが可能となる。   As shown in FIG. 31, in the semiconductor device 1E according to the sixth embodiment, by using the seqSFF60, it is possible to achieve the target failure detection rate in a shorter test time than when using the SFF10.

実施の形態7.
実施の形態7に係るシーケンシャルテスト用スキャンフリップフロップ(seqSFF)を挿入した半導体装置について、図32を参照して説明する。図32は、実施の形態7に係るseqSFFを挿入した半導体装置1Fの構成を示す図である。
Embodiment 7 FIG.
A semiconductor device according to the seventh embodiment in which a scan flip-flop for sequential test (seqSFF) is inserted will be described with reference to FIG. FIG. 32 is a diagram showing a configuration of a semiconductor device 1F according to the seventh embodiment in which a seqSFF is inserted.

図32に示す半導体装置1Fは、一般的なLBISTの構成において、一部のSFFがseqSFF60で置換されている。なお、seqSFF60の代わりに、図21に示した真理値表の機能を満たす他の構成のseqSFFを用いることも可能である。図32において、「FF」は、スキャンイン、スキャンアウト等のスキャン機能を持たないフリップフロップを示している。ここでは、スキャン機能を持たないフリップフロップを、ノーマルフリップフロップ(以下、ノーマルFF)と称する。   In a semiconductor device 1F shown in FIG. 32, a part of SFF is replaced by seqSFF60 in a general LBIST configuration. Instead of the seqSFF 60, it is also possible to use a seqSFF having another configuration that satisfies the function of the truth table shown in FIG. In FIG. 32, “FF” indicates a flip-flop having no scan function such as scan-in and scan-out. Here, a flip-flop having no scan function is referred to as a normal flip-flop (hereinafter, normal FF).

半導体装置1Fは、1以上の複数のスキャンチェインを有している。図32に示す例では、複数のスキャンチェインのうち、少なくとも1つのスキャンチェイン6Eには、ユーザ論理中のシフトレジスタ構造7Aが組み込まれている。シフトレジスタ構造7Aでは、先頭がseqSFF60に置換されており、seqSFF60の後段には、2つのノーマルFFがシリアルに接続されている。スキャンチェイン6Eにおいて、シフトレジスタ構造7Aの前段及び後段にはそれぞれseqSFF60が配置されている。   The semiconductor device 1F has one or more scan chains. In the example shown in FIG. 32, at least one scan chain 6E among a plurality of scan chains incorporates a shift register structure 7A in user logic. In the shift register structure 7A, the head is replaced by seqSFF60, and two normal FFs are serially connected at the subsequent stage of seqSFF60. In the scan chain 6E, seqSFFs 60 are arranged before and after the shift register structure 7A.

シフトレジスタ構造7AのseqSFF60のスキャンイン端子SINには、前段のseqSFF60のデータ出力端子Qが接続されている。シフトレジスタ構造7Aの先頭のseqSFF60がキャプチャするDUT2からの演算結果は、後段のノーマルFFにそのまま取り込まれる。また、シフトレジスタ構造7Aの最後段のノーマルFFのデータ出力端子Qは、DUT2への入力と、スキャンチェイン6E上の次段のseqSFF60のスキャンイン端子SINに接続されている。   The data output terminal Q of the preceding seqSFF 60 is connected to the scan-in terminal SIN of the seqSFF 60 of the shift register structure 7A. The operation result from the DUT 2 captured by the seqSFF 60 at the head of the shift register structure 7A is directly taken into the normal FF at the subsequent stage. The data output terminal Q of the last normal FF of the shift register structure 7A is connected to the input to the DUT 2 and the scan-in terminal SIN of the next seqSFF 60 on the scan chain 6E.

また、図32に示す例では、ユーザ論理中には、1つのseqSFF、1つのノーマルFFからなるシフトレジスタ構造7B、1つのseqSFF、2つのノーマルFFからなるシフトレジスタ構造7Cが構成されている。シフトレジスタ構造7Bと、シフトレジスタ構造7Cとは、1つのスキャンチェインを構成する。   In the example illustrated in FIG. 32, a shift register structure 7B including one seqSFF and one normal FF, a shift register structure 7C including one seqSFF and two normal FFs are configured in the user logic. The shift register structure 7B and the shift register structure 7C constitute one scan chain.

シフトレジスタ構造7Bでは、seqSFF60の後段にノーマルFFがシリアルに接続されている。シフトレジスタ構造7Cでは、seqSFF60の後段に2つのノーマルFFがシリアルに接続されている。シフトレジスタ構造7BのノーマルFFのデータ出力端子Qは、DUT2への入力と、シフトレジスタ構造7CのseqSFF60のスキャンイン端子SINに接続されている。   In the shift register structure 7B, a normal FF is serially connected after the seqSFF60. In the shift register structure 7C, two normal FFs are serially connected after the seqSFF 60. The data output terminal Q of the normal FF of the shift register structure 7B is connected to the input to the DUT 2 and the scan-in terminal SIN of the seqSFF 60 of the shift register structure 7C.

なお、ここでは図示していないが、シフトレジスタ構造以外のFFは、seqSFF60で置換されている。seqSFF60又はシフトレジスタ構造の出力は、後段にそれぞれ接続されるseqSFF60のスキャンイン端子SINに接続され、スキャンチェインが構成される。シフトレジスタ構造7A〜7C及び他のシフトレジスタ構造においても、SFFでスキャンチェインが構築された場合と同様に、スキャンシフト動作が行われる。   Although not shown here, FFs other than the shift register structure are replaced with seqSFF60. The output of the seqSFF 60 or the shift register structure is connected to the scan-in terminal SIN of the seqSFF 60 connected to the subsequent stage, thereby forming a scan chain. In the shift register structures 7A to 7C and other shift register structures, the scan shift operation is performed in the same manner as in the case where the scan chain is constructed by the SFF.

実施の形態6において説明したのと同様に、実施の形態7においても、各seqSFF60の制御入力端子SMCには、スキャンイネーブル信号が入力される。また、各seqSFF60のテストイネーブル入力端子SEQ_TEST_ENには、テストイネーブル信号が入力される。   As described in the sixth embodiment, the scan enable signal is input to the control input terminal SMC of each seqSFF 60 in the seventh embodiment. A test enable signal is input to a test enable input terminal SEQ_TEST_EN of each seqSFF 60.

実施の形態7では、クロックシーケンシャルテストによる複数キャプチャクロック印加時において、あるキャプチャサイクルでシフトレジスタ構造の先頭のseqSFF60に到達した故障は、次のキャプチャサイクルで損失することなく、シフトレジスタ構造の後段のノーマルFFへ伝搬する。これにより、クロックシーケンシャルテストの効率を向上させることが可能となる。   In the seventh embodiment, when a plurality of capture clocks are applied by the clock sequential test, a failure that has reached the first seqSFF 60 of the shift register structure in a certain capture cycle is not lost in the next capture cycle, and is not lost in the next capture cycle. Propagate to normal FF. This makes it possible to improve the efficiency of the clock sequential test.

また、実施の形態7では、シフトレジスタ構造の先頭以外をノーマルFFのまま、スキャンチェインに組み込んでいる。このため、すべてスキャン機能を有するフリップフロップに置換した場合と比較すると、面積の増大を抑制することができる。   In the seventh embodiment, a part other than the head of the shift register structure is incorporated in the scan chain while keeping the normal FF. Therefore, an increase in the area can be suppressed as compared with the case where all the flip-flops have a scan function.

実施の形態8.
実施の形態8に係るシーケンシャルテスト用スキャンフリップフロップ(seqSFF)を挿入した半導体装置について、図33を参照して説明する。図33は、実施の形態8に係るseqSFFを挿入した半導体装置1Gの構成を示す図である。
Embodiment 8 FIG.
A semiconductor device in which a scan flip-flop for sequential test (seqSFF) according to the eighth embodiment is inserted will be described with reference to FIG. FIG. 33 is a diagram showing a configuration of a semiconductor device 1G in which a seqSFF according to the eighth embodiment is inserted.

回路内のユーザFFの中には、seqSFF60に置換してもクロックシーケンシャルテストの効率向上に寄与しないものが存在する。seqSFF60は、SFFよりも面積が大きいため、すべてのユーザFFをseqSFF60で置換すると、面積が増大してしまう。   Some of the user FFs in the circuit do not contribute to the improvement of the efficiency of the clock sequential test even when replaced with the seqSFF60. Since the seqSFF 60 has a larger area than the SFF, replacing all the user FFs with the seqSFF 60 increases the area.

そこで、実施の形態8に係る半導体装置1Gは、seqSFF60への置換対象を一部のユーザFFに限定した構造を有する。図33において、スキャン機能を有さないフリップフロップをFFと示し、スキャン機能を有するフリップフロップをSFFと示す。   Therefore, the semiconductor device 1G according to the eighth embodiment has a structure in which the replacement target of the seqSFF 60 is limited to some user FFs. In FIG. 33, a flip-flop having no scan function is denoted as FF, and a flip-flop having a scan function is denoted as SFF.

まず、実施の形態8では、クロックシーケンシャルテストにおける複数キャプチャクロック印加時に、データ入力端子DATAに到達した故障を蓄積した場合に検出故障数が効率よく増加するユーザFFを選定する。   First, in the eighth embodiment, when a plurality of capture clocks are applied in the clock sequential test, a user FF that efficiently increases the number of detected faults when faults reaching the data input terminal DATA are accumulated is selected.

なお、クロックシーケンシャルテストの効率向上に寄与するユーザFFの選定は、データ入力端子DATAへの入力コーンの組合せ論理量や、確率ベースの故障シミュレーション等を利用して求めることができる。そして、選定したユーザFFのみをseqSFF60に置換して、スキャンチェインを構築する。   The selection of the user FF that contributes to the improvement of the efficiency of the clock sequential test can be obtained by using a combinational logic amount of an input cone to the data input terminal DATA, a failure-based failure simulation, or the like. Then, only the selected user FF is replaced with the seqSFF 60 to construct a scan chain.

このようにしてseqSFF60への置換対象を一部のユーザFFに限定した、半導体装置1Gの一例が、図33に示される。半導体装置1Gは、1以上の複数のスキャンチェインを有している。図33に示す例では、複数のスキャンチェインのうち、少なくとも1つのスキャンチェイン6Fには、ユーザ論理中のシフトレジスタ構造7Dが組み込まれている。スキャンチェイン6Fは、SFFを含まない。スキャンチェイン6Fは、2つのseqSFF60と、これら2つのseqSFF60の間に配置された、seqSFF60を先頭に持つシフトレジスタ構造7Dを有する。スキャンチェイン6Fは、実施の形態7のスキャンチェイン6Eと同様の構成であるため、詳細な説明は省略する。   FIG. 33 shows an example of the semiconductor device 1G in which the replacement target of the seqSFF 60 is limited to some of the user FFs. The semiconductor device 1G has one or more scan chains. In the example shown in FIG. 33, a shift register structure 7D in user logic is incorporated in at least one scan chain 6F among a plurality of scan chains. The scan chain 6F does not include the SFF. The scan chain 6F has two seqSFFs 60 and a shift register structure 7D arranged between the two seqSFFs 60 and having the seqSFF 60 at the top. The scan chain 6F has the same configuration as the scan chain 6E according to the seventh embodiment, and thus a detailed description is omitted.

なお、図33では、選定されたユーザFF中にシフトレジスタ構造7Dが含まれるため、シフトレジスタ構造7Dをスキャンチェイン6Fに組み込んでいるが、これに限定されるものではない。選定されたユーザFFをすべてseqSFF60で置換し、スキャンチェイン6FをseqSFF60のみで構成してもよい。   In FIG. 33, since the shift register structure 7D is included in the selected user FF, the shift register structure 7D is incorporated in the scan chain 6F, but the present invention is not limited to this. All the selected user FFs may be replaced with seqSFFs 60, and the scan chain 6F may be configured only with the seqSFFs 60.

また、複数のスキャンチェインのうち、少なくとも1つのスキャンチェイン6Gは、seqSFF60を含まない。スキャンチェイン6Gは、2つのSFFと、これら2つのSFFの間に配置された、SFFを先頭に持つシフトレジスタ8を有する。シフトレジスタ8は、SFFと2つのFFからなる。なお、スキャンチェイン6Gは、シフトレジスタ8を含んでいるが、シフトレジスタを含まないスキャンチェインがあってもよい。   Further, at least one scan chain 6G among the plurality of scan chains does not include the seqSFF60. The scan chain 6G has two SFFs, and a shift register 8 disposed between the two SFFs and having the SFF at the top. The shift register 8 includes an SFF and two FFs. Although the scan chain 6G includes the shift register 8, there may be a scan chain that does not include the shift register.

このように、seqSFF60への置換対象を、クロックシーケンシャルテストの効率向上効果の高い一部のユーザFFに限定することで、面積の増大を抑制するとともに、テスト時間の短縮を図ることが可能となる。   In this way, by limiting the replacement target to the seqSFF 60 to a part of the user FFs having a high effect of improving the efficiency of the clock sequential test, it is possible to suppress an increase in the area and to shorten the test time. .

実施の形態9.
実施の形態9に係るシーケンシャルテスト用スキャンフリップフロップ(seqSFF)を挿入した半導体装置について、図34を参照して説明する。図34は、実施の形態9に係るseqSFFを挿入した半導体装置1Hの構成を示す図である。図9に示すように、半導体装置1Hは、DUT2、PRPG3、MISR4、LBISTコントローラ5、seqSFF60、seqSFF70を有している。図34においては、seqSFF60はseqSFFと示され、seqSFF70はseqSFF2と示されている。
Embodiment 9 FIG.
The semiconductor device according to the ninth embodiment in which the scan flip-flop for sequential test (seqSFF) is inserted will be described with reference to FIG. FIG. 34 shows a configuration of a semiconductor device 1H in which a seqSFF according to the ninth embodiment is inserted. As shown in FIG. 9, the semiconductor device 1H includes a DUT 2, a PRPG 3, a MISR 4, an LBIST controller 5, a seqSFF60, and a seqSFF70. In FIG. 34, seqSFF60 is indicated as seqSFF, and seqSFF70 is indicated as seqSFF2.

半導体装置1Hにおいて、複数のスキャンチェインのうち少なくとも1つのスキャンチェイン6Hには、seqSFF60、seqSFF70が含まれている。図34に示す例では、スキャンチェイン6Hの先頭にseqSFF70が配置され、その後段にseqSFF60と2つのノーマルFFからなるシフトレジスタ構造が配置され、その後段にseqSFF60が接続されている。   In the semiconductor device 1H, at least one scan chain 6H among the plurality of scan chains includes the seqSFF60 and the seqSFF70. In the example shown in FIG. 34, a seqSFF 70 is arranged at the head of the scan chain 6H, a shift register structure including a seqSFF 60 and two normal FFs is arranged at the subsequent stage, and the seqSFF 60 is connected at the subsequent stage.

seqSFF60としては、図16に示した構成のものが用いられる。なお、図34に示す例ではseqSFF60が用いられているが、seqSFF60の代わりに図21に示した真理値表の機能を満たす他の構成のseqSFFを用いてもよい。seqSFF70以外の構成については、図33で説明したものと同一のため、詳細な説明は省略する。   As the seqSFF 60, the one shown in FIG. 16 is used. Although the seqSFF 60 is used in the example illustrated in FIG. 34, a seqSFF having another configuration that satisfies the function of the truth table illustrated in FIG. 21 may be used instead of the seqSFF 60. Structures other than the seqSFF 70 are the same as those described with reference to FIG. 33, and thus detailed description will be omitted.

なお、他のスキャンチェインでは、先頭にSFFが配置され、その後段にSFF、2つのノーマルFF、SFFが順に接続されている。すなわち、他のスキャンチェインにはシフトレジスタが含まれている。しかしながら、これに限定されるものではなく、すべてのスキャンチェインに、seqSFF60、seqSFF70が含まれるようにしてもよい。   In the other scan chains, the SFF is arranged at the head, and the SFF, two normal FFs, and the SFF are sequentially connected to the subsequent stage. That is, the other scan chains include shift registers. However, the present invention is not limited to this, and seqSFF60 and seqSFF70 may be included in all scan chains.

実施の形態9に係る半導体装置1Hでは、クロックシーケンシャルテストにおいて、最後段のseqSFF60に伝搬された故障を、スキャンチェイン6H上で保持可能である。図34に示すように、seqSFF70は、ANDゲート71、XORゲート72、MUX73、FF74、データ入力端子DATA、データ入力端子DATA2、テストイネーブル入力端子SEQ_TEST_EN、スキャンイン端子SIN、制御入力端子SMC、クロック端子CLK、データ出力端子Qを有している。   In the semiconductor device 1H according to the ninth embodiment, in the clock sequential test, the fault propagated to the last seqSFF 60 can be held on the scan chain 6H. As shown in FIG. 34, the seqSFF 70 includes an AND gate 71, an XOR gate 72, a MUX 73, an FF 74, a data input terminal DATA, a data input terminal DATA2, a test enable input terminal SEQ_TEST_EN, a scan-in terminal SIN, a control input terminal SMC, and a clock terminal. CLK and a data output terminal Q.

seqSFF70の出力は、その後段のseqSFF60のスキャンイン端子SINに接続されている。最後段のseqSFF60の出力は、seqSFF70のデータ入力端子DATA2に接続される。seqSFF70は、seqSFF60と同様に、1回のキャプチャ動作期間において、複数のキャプチャクロックのそれぞれでDUT2からの演算結果を取り込むことが可能である。   The output of the seqSFF 70 is connected to the scan-in terminal SIN of the subsequent seqSFF 60. The output of the last seqSFF 60 is connected to the data input terminal DATA2 of the seqSFF 70. Like the seqSFF 60, the seqSFF 70 can capture the operation result from the DUT 2 with each of a plurality of capture clocks during one capture operation period.

データ入力端子DATAには、キャプチャ動作期間に、DUT2からの演算結果が入力される。また、データ入力端子DATA2には、キャプチャ動作期間に、最後段のseqSFF60が第1キャプチャクロックでキャプチャした第1演算結果が入力される。すなわち、seqSFF70は、2系統のデータ入力端子を有している。   The calculation result from the DUT 2 is input to the data input terminal DATA during the capture operation period. Further, a first operation result captured by the last seqSFF 60 with the first capture clock during the capture operation period is input to the data input terminal DATA2. That is, the seqSFF 70 has two data input terminals.

ANDゲート71は、データ入力端子DATA2から入力される信号と、テストイネーブル信号との論理積を出力する。XORゲート72は、データ入力端子DATAから入力される信号と、ANDゲート71から入力される論理積とを受信し、排他論理和を出力する。   AND gate 71 outputs a logical product of a signal input from data input terminal DATA2 and a test enable signal. The XOR gate 72 receives the signal input from the data input terminal DATA and the logical product input from the AND gate 71, and outputs an exclusive OR.

MUX73は、スキャンイン端子SINから入力される信号と、XORゲート72から出力される信号とを受信する。MUX73は、制御入力端子SMCから入力されるスキャンイネーブル信号に応じて、スキャンシフト動作とキャプチャ動作とを切り替える。図34に示す例では、MUX73は、スキャンイネーブル信号がハイ(1)の時に、スキャンイン端子SINからの入力が有効となる。また、MUX73は、スキャンイネーブル信号がロウ(0)の時に、XORゲート72からの出力が有効となる。   The MUX 73 receives a signal input from the scan-in terminal SIN and a signal output from the XOR gate 72. The MUX 73 switches between a scan shift operation and a capture operation according to a scan enable signal input from the control input terminal SMC. In the example shown in FIG. 34, the input from the scan-in terminal SIN of the MUX 73 becomes valid when the scan enable signal is high (1). When the scan enable signal is low (0), the output from the XOR gate 72 of the MUX 73 is valid.

FF74は、クロック端子CLKから入力されるクロック信号(clock2)に応じて、MUX73から出力される値を取り込み、データ出力端子Qから後段のseqSFF60のスキャンイン端子SINに出力する。   The FF 74 takes in the value output from the MUX 73 in response to the clock signal (clock 2) input from the clock terminal CLK, and outputs the value from the data output terminal Q to the scan-in terminal SIN of the seqSFF 60 at the subsequent stage.

seqSFF70は、スキャンイネーブル信号=1の時にスキャンイン端子SINの値を取り込む。また、seqSFF70は、テストイネーブル信号=0かつスキャンイネーブル信号=0の時、データ入力端子DATAからの値を取り込む。テストイネーブル信号=1かつスキャンイネーブル信号=0の時は、第1キャプチャクロックに続く第2キャプチャクロックで、データ入力端子DATAの値とデータ入力端子DATA2の値の排他論理和が取り込まれる。   The seqSFF 70 takes in the value of the scan-in terminal SIN when the scan enable signal = 1. When the test enable signal = 0 and the scan enable signal = 0, the seqSFF 70 takes in the value from the data input terminal DATA. When the test enable signal = 1 and the scan enable signal = 0, the exclusive OR of the value of the data input terminal DATA and the value of the data input terminal DATA2 is captured by the second capture clock following the first capture clock.

すなわち、seqSFF70は、データ入力端子DATAと、データ入力端子DATA2の両方に到達した故障を同時に取り込むことができる。従って、スキャンチェイン6Hの最後段のseqSFF60が第1キャプチャクロックでキャプチャした第1演算結果は、第1キャプチャクロックの後の第2キャプチャクロックで最前段のseqSFF70に伝搬される。   That is, the seqSFF 70 can simultaneously capture failures that have reached both the data input terminal DATA and the data input terminal DATA2. Therefore, the first operation result captured by the last seqSFF 60 of the scan chain 6H with the first capture clock is transmitted to the forefront seqSFF 70 with the second capture clock after the first capture clock.

このように、実施の形態9に係る半導体装置1Hでは、クロックシーケンシャルテストにおいて1回のキャプチャ動作期間に複数のキャプチャクロックを印加した場合でも、あるキャプチャクロックでスキャンチェイン6Hの最後段のseqSFF60に取り込まれた故障が、次のキャプチャクロックで最前段のseqSFF70へ伝搬され、消失しない。   As described above, in the semiconductor device 1H according to the ninth embodiment, even when a plurality of capture clocks are applied during one capture operation period in the clock sequential test, the semiconductor device 1H captures the data into the last seqSFF 60 of the scan chain 6H with a certain capture clock. The fault that has occurred is propagated to the seqSFF 70 at the forefront stage at the next capture clock and does not disappear.

実施の形態5〜8の構成では、クロックシーケンシャルテストにおいて、あるキャプチャクロックで、スキャンチェインの最後段のseqSFF60に取り込まれた演算結果は、次のキャプチャサイクルで伝搬先がなく消失してしまう。   In the configurations of the fifth to eighth embodiments, in the clock sequential test, the operation result taken into the seqSFF 60 at the last stage of the scan chain with a certain capture clock has no propagation destination and disappears in the next capture cycle.

しかしながら、実施の形態9のように、図34に示す回路構成を用いると、最後段のseqSFF60に取り込まれた演算結果は、次キャプチャサイクルのキャプチャクロックで最前段のseqSFF70へと伝搬でき、消失を防ぐことができる。結果として、のキャプチャ動作期間中にキャプチャクロックを複数回印加したとき、検出可能な故障数が増え、テスト時間の短縮・故障検出率の向上が可能となる。   However, when the circuit configuration shown in FIG. 34 is used as in the ninth embodiment, the operation result captured in the last seqSFF 60 can be propagated to the foremost seqSFF 70 by the capture clock of the next capture cycle, and the disappearance can be reduced. Can be prevented. As a result, when the capture clock is applied a plurality of times during the capture operation, the number of detectable faults increases, and the test time can be reduced and the fault detection rate can be improved.

なお、seqSFF2としては、図34に示したseqSFF70の論理構造に限定されるものではない。seqSFF70の構成は、図35に示すシーケンシャルテスト用スキャンフリップフロップの概略構成で、図36に示す真理値表に示す機能を満たすものであれば、どのような構成でも構わない。   The seqSFF2 is not limited to the logical structure of the seqSFF 70 shown in FIG. The configuration of the seqSFF 70 is a schematic configuration of the scan flip-flop for the sequential test shown in FIG. 35, and any configuration may be used as long as it satisfies the functions shown in the truth table shown in FIG.

すなわち、スキャンイネーブル信号=0かつテストイネーブル信号=0では、データ入力端子DATAの値が取り込まれる。スキャンイネーブル信号=0かつテストイネーブル信号=1では、データ入力端子DATAとデータ入力端子DATA2の値の排他的論理和

Figure 0006654456
又は否定排他的論理和
Figure 0006654456
が取り込まれる。 That is, when the scan enable signal = 0 and the test enable signal = 0, the value of the data input terminal DATA is taken in. When the scan enable signal = 0 and the test enable signal = 1, the exclusive OR of the values of the data input terminals DATA and DATA2 is obtained.
Figure 0006654456
Or negated exclusive OR
Figure 0006654456
Is taken in.

スキャンイネーブル信号=1では、テストイネーブル信号の値にかかわらず、スキャンイン端子SINの値(SIN)又はスキャンイン端子SINの値の否定

Figure 0006654456
が取り込まれる。 When the scan enable signal = 1, the value of the scan-in terminal SIN (SIN) or the value of the scan-in terminal SIN is negated regardless of the value of the test enable signal.
Figure 0006654456
Is taken in.

実施の形態10.
実施の形態10に係るシーケンシャルテスト用スキャンフリップフロップ(seqSFF)を挿入した半導体装置について、図37を参照して説明する。図37は、実施の形態10に係るseqSFFを挿入した半導体装置1Iの構成を示す図である。図37に示すように、半導体装置1Iは、DUT2、PRPG3、MISR4I、LBISTコントローラ5、seqSFF60、を備えている。半導体装置1Iでは、クロックシーケンシャルテストにおいて、最後段のseqSFF60に伝搬された故障を、MISR4Iで保持可能である。
Embodiment 10 FIG.
A semiconductor device according to the tenth embodiment in which a scan flip-flop for sequential test (seqSFF) is inserted will be described with reference to FIG. FIG. 37 shows a configuration of a semiconductor device 1I according to the tenth embodiment in which a seqSFF is inserted. As shown in FIG. 37, the semiconductor device 1I includes a DUT 2, a PRPG 3, a MISR 4I, an LBIST controller 5, and a seqSFF60. In the semiconductor device 1I, in the clock sequential test, a fault propagated to the last seqSFF 60 can be held by the MISR 4I.

半導体装置1Iにおいて、複数のスキャンチェインのうち少なくとも1つのスキャンチェイン6Iは、seqSFF60、ノーマルFFとで構成されている。図37に示す例では、スキャンチェイン6Iの先頭にseqSFF60が配置され、その後段にseqSFF60と2つのノーマルFFとからなるシフトレジスタ構造が配置され、その後段にseqSFF60が接続されている。   In the semiconductor device 1I, at least one scan chain 6I among the plurality of scan chains includes a seqSFF 60 and a normal FF. In the example shown in FIG. 37, the seqSFF60 is arranged at the head of the scan chain 6I, a shift register structure including the seqSFF60 and two normal FFs is arranged at the subsequent stage, and the seqSFF60 is connected at the subsequent stage.

観測用SFF30としては、図16に示した構成のものが用いられる。なお、図34に示す例ではseqSFF60が用いられているが、seqSFF60の代わりに図21に示した真理値表の機能を満たす他の構成のseqSFFを用いてもよい。他のスキャンチェインでは、先頭にSFFが配置され、その後段にSFF、2つのノーマルFF、SFFが順に接続されている。スキャンチェインの構成については、図33の例と同一であるため、詳細な説明は省略する。   As the observation SFF 30, one having the configuration shown in FIG. 16 is used. Although the seqSFF 60 is used in the example illustrated in FIG. 34, a seqSFF having another configuration that satisfies the function of the truth table illustrated in FIG. 21 may be used instead of the seqSFF 60. In other scan chains, an SFF is arranged at the head, and an SFF, two normal FFs, and an SFF are sequentially connected at the subsequent stage. The configuration of the scan chain is the same as that in the example of FIG. 33, and thus detailed description is omitted.

スキャンチェイン6Iの最後段のseqSFF60が第1キャプチャクロックでキャプチャした第1演算結果は、第1キャプチャクロックの後の第2キャプチャクロックでMISR4Iに伝搬される。なお、図37に示す例では、MISR4Iには、クロック信号(clock2)が入力されている。MISR4Cは、クロック信号(clock2)に同期して、最後段のseqSFF60から出力される値を取り込む。   The first operation result captured by the last seqSFF 60 of the scan chain 6I at the first capture clock is transmitted to the MISR 4I at the second capture clock after the first capture clock. In the example shown in FIG. 37, the clock signal (clock2) is input to the MISR 4I. The MISR 4C captures a value output from the last seqSFF 60 in synchronization with the clock signal (clock2).

通常のスキャンテストでは、キャプチャ動作期間中のスキャンチェインの最終段フリップフロップの出力を観測する必要がない。このため、通常MISRは、キャプチャ動作期間中最終段フリップフロップの出力値を取り込む機能を持たない。   In a normal scan test, it is not necessary to observe the output of the last flip-flop of the scan chain during the capture operation. For this reason, the normal MISR does not have a function of capturing the output value of the last-stage flip-flop during the capture operation.

実施の形態10では、MISR4Iは、キャプチャ動作期間中もクロックが供給されて動作する。これにより、MISR4Iは、クロックシーケンシャルテストの複数キャプチャクロック印加時にも、スキャンチェイン6Iの最終段のseqSFF60の出力値を取り込むことができる。   In the tenth embodiment, the MISR 4I operates while being supplied with a clock even during the capture operation. Thus, the MISR 4I can capture the output value of the seqSFF 60 at the last stage of the scan chain 6I even when a plurality of capture clocks in the clock sequential test are applied.

このように、各キャプチャサイクルで、最後段のseqSFF60に到達した故障をMISR4Iで取り込むことができ、故障を消失させることなく観測できる。これにより、クロックシーケンシャルテストの1つのキャプチャ動作期間中に検出可能な故障数を増加させることができ、テスト時間の削減を図ることが可能となる。   As described above, in each capture cycle, the fault that has reached the last seqSFF 60 can be captured by the MISR 4I and can be observed without losing the fault. Thus, the number of faults that can be detected during one capture operation period of the clock sequential test can be increased, and the test time can be reduced.

実施の形態に係る設計装置200について、図38、39を参照して説明する。図38は、実施の形態5〜10に係る半導体装置を設計する設計装置200の構成を示す図である。図39は、実施の形態に係る半導体装置の設計フローを示す図である。設計装置200は、上述したseqSFFを用いた、クロックシーケンシャルテストの効率の高い半導体装置を設計するものである。   A design apparatus 200 according to the embodiment will be described with reference to FIGS. FIG. 38 is a diagram showing a configuration of a design apparatus 200 for designing a semiconductor device according to the fifth to tenth embodiments. FIG. 39 is a diagram showing a design flow of the semiconductor device according to the embodiment. The design device 200 is for designing a semiconductor device using the above-described seqSFF and having high efficiency in a clock sequential test.

設計装置200は、演算処理装置210、記憶装置220、制御入力装置230、表示出力装置231を有する。制御入力装置230、表示出力装置231は、図12で説明した、制御入力装置130、表示出力装置131と同様の構成であるため、説明を適宜省略する。   The design device 200 includes an arithmetic processing device 210, a storage device 220, a control input device 230, and a display output device 231. The control input device 230 and the display output device 231 have the same configuration as the control input device 130 and the display output device 131 described with reference to FIG.

演算処理装置210は、半導体装置1D〜1Iの設計に必要なプログラムをロードして設計に必要な各機能プロセスを実行可能な装置であり、CPUやメモリ等を含む。演算処理装置210は、スキャン化プログラム212を有している。スキャン化プログラム212は、一般的なスキャン化の機能に加えて、スキャン化対象ユーザFFの中から、図21の真理値表の機能を満たすseqSFFに置換することで、クロックックシーケンシャルテスト適用時の効果が高くなるユーザFFを選定する機能を持つ。   The arithmetic processing unit 210 is a device capable of loading a program required for designing the semiconductor devices 1D to 1I and executing each functional process required for the design, and includes a CPU, a memory, and the like. The arithmetic processing unit 210 has a scanning program 212. The scanning program 212 replaces the general scanning function with the seqSFF that satisfies the function of the truth table in FIG. It has a function to select a user FF that is more effective.

記憶装置220は、機能ライブラリ222、テスト制約DB223、スキャン化ネットリスト226を有している。記憶装置220は、ライブラリやネットリストの情報を保存するHDDディスクやメモリなどの記憶媒体を指す。   The storage device 220 has a function library 222, a test constraint DB 223, and a scan netlist 226. The storage device 220 refers to a storage medium such as an HDD disk or a memory for storing library and netlist information.

ネットリスト221には、上述したseqSFFのセル情報の他、ANDゲート、ORゲート、XORゲート等のセル情報、端子間の接続情報等が記憶されている。機能ライブラリ222には、セルの機能等が記憶されている。テスト制約DB223には、テスト時の回路動作に必要な情報が格納されている。   The netlist 221 stores cell information of an AND gate, an OR gate, an XOR gate, etc., connection information between terminals, and the like, in addition to the above-described seqSFF cell information. The function library 222 stores cell functions and the like. The test constraint DB 223 stores information necessary for circuit operation at the time of testing.

設計装置200は、演算処理装置210のスキャン化プログラム212を使用し、記憶装置220のネットリスト221や機能ライブラリ222、テスト制約DB223を参照して、実施の形態5〜10で説明したseqSFFを挿入した半導体装置を生成する。   The design device 200 inserts the seqSFF described in the fifth to tenth embodiments using the scan program 212 of the arithmetic processing device 210 and referring to the netlist 221 and the function library 222 and the test constraint DB 223 of the storage device 220. A semiconductor device is generated.

ここで、図39を参照して、半導体装置の設計フローについて説明する。図39に示すように、まずネットリスト221、機能ライブラリ222、テスト制約DB223に所定の情報が入力される(ステップS31)。その後、入力された情報を解析することにより、回路情報、テスト制約情報が識別される(ステップS32)。ここでは、回路内のスキャン化可能ユーザFFや、テスト制約情報等が取得される。そして、回路構造からシフトレジスタ構造を有するユーザFFが識別される(ステップS33)。   Here, a design flow of the semiconductor device will be described with reference to FIG. As shown in FIG. 39, first, predetermined information is input to the net list 221, the function library 222, and the test constraint DB 223 (step S31). Then, by analyzing the input information, circuit information and test constraint information are identified (step S32). Here, a scan-capable user FF in the circuit, test constraint information, and the like are acquired. Then, the user FF having the shift register structure is identified from the circuit structure (step S33).

そして、識別したスキャン化可能なユーザFFの情報に基づき、面積オーバーヘッドを考慮して、seqSFFに置換するユーザFFを任意の指定数分だけ選定して、seqSFFへの置換対象候補を決定する(ステップS34)。seqSFFへの置換対象候補の選定アルゴリズムは、例えば、置換対象ユーザFFの入力論理コーン上の可観測故障数の極大化を求める問題等に帰着させることができる。   Then, based on the information of the identified user FFs that can be converted into scans, an arbitrary specified number of user FFs to be replaced with seqSFFs are selected in consideration of the area overhead, and candidates for replacement with seqSFFs are determined (step S34). The algorithm for selecting the replacement target candidate to the seqSFF can be reduced to, for example, a problem of finding the maximum number of observable faults on the input logic cone of the replacement target user FF.

seqSFFへの置換対象候補を決定し終えたら、seqSFF置換対象ユーザFFをseqSFFに置換し、それに後続するシフトレジスタ構造を用いてスキャンチェインを構築する(ステップS35)。そして、それ以外のユーザFFについてもSFFに置換してスキャンチェインを構築する(ステップS36)。これにより、seqSFFを挿入したスキャン化ネットリストが出力され(ステップS37)、ネットリストの生成処理が終了する。図38に示す設計装置200を用いることで、シーケンシャルテストの効率の高い回路構造を持つスキャン化ネットリストを生成することができる。   When the candidate to be replaced with seqSFF has been determined, the user FF to be replaced with seqSFF is replaced with seqSFF, and a scan chain is constructed using the subsequent shift register structure (step S35). Then, the other user FFs are replaced with SFFs to construct a scan chain (step S36). Thus, the scanned netlist into which the seqSFF has been inserted is output (step S37), and the netlist generation processing ends. By using the design apparatus 200 shown in FIG. 38, it is possible to generate a scanned netlist having a circuit structure with high efficiency of the sequential test.

このようにして生成されたスキャン化ネットリストを用いることにより、クロックシーケンシャルテストの1つのキャプチャ動作期間中に検出可能な故障数を増加させ、テスト実行時間の削減を図ることが可能な半導体装置を設計することが可能となる。   By using the scan netlist generated in this manner, a semiconductor device capable of increasing the number of faults detectable during one capture operation period of the clock sequential test and reducing the test execution time is provided. It becomes possible to design.

なお、以降の工程として、一般的な圧縮回路付加プログラムで圧縮スキャン回路又はLBIST回路の付加を行い、一般的なテスト生成プログラムでクロックシーケンシャルテストパタンの生成を行う。これにより,図31に示すようなテスト時間削減効果が得られる。   In the following steps, a compression scan circuit or an LBIST circuit is added by a general compression circuit addition program, and a clock sequential test pattern is generated by a general test generation program. Thereby, the effect of reducing the test time as shown in FIG. 31 can be obtained.

なお、上述した実施の形態は、適宜組み合わせることが可能である。例えば、上述したテストポイント回路とシーケンシャルテスト用スキャンフリップフロップの両方を挿入した半導体装置を構成することも可能である。図40に、テストポイント回路20とseqSFF60とを挿入した半導体装置の構成例を示す。   Note that the above embodiments can be combined as appropriate. For example, it is also possible to configure a semiconductor device in which both the test point circuit and the scan flip-flop for sequential test described above are inserted. FIG. 40 shows a configuration example of a semiconductor device in which the test point circuit 20 and the seqSFF 60 are inserted.

図40に示すように、複数のスキャンチェインのうち、少なくとも1つは、観測用SFF20のみにより構成されている。また、他のスキャンチェインの少なくとも1つは、seqSFF60のみにより構成されている。図40に示す例では、1つのスキャンチェインにおいて5つの観測用SFF20がシリアルに接続されている。また、他の1つのスキャンチェインにおいて5つのseqSFF60がシリアルに接続されている。   As shown in FIG. 40, at least one of the plurality of scan chains is constituted only by the observation SFF 20. Further, at least one of the other scan chains is constituted only by the seqSFF 60. In the example shown in FIG. 40, five observation SFFs 20 are serially connected in one scan chain. In another scan chain, five seqSFFs 60 are serially connected.

このように、観測用SFF20とseqSFF60とを挿入することにより、クロックシーケンシャルテストを行う際に、テストポイント挿入による面積オーバーヘッドの増大を抑制するとともに、テスト時間を短縮しつつ、故障検出率を高くすることが可能となる。   As described above, by inserting the observation SFF 20 and the seqSFF 60, when performing the clock sequential test, it is possible to suppress an increase in the area overhead due to the insertion of the test point, and to shorten the test time and increase the fault detection rate. It becomes possible.

以上、本発明者によってなされた発明を実施の形態に基づき具体的に説明したが、本発明は既に述べた実施の形態に限定されるものではなく、その要旨を逸脱しない範囲において種々の変更が可能であることはいうまでもない。   As described above, the invention made by the inventor has been specifically described based on the embodiment. However, the present invention is not limited to the embodiment described above, and various changes may be made without departing from the gist of the invention. It goes without saying that it is possible.

1 半導体装置
1A〜1I 半導体装置
2 DUT
3 PRPG
4 MISR
4C MISR
4I MISR
5 LBISTコントローラ
6A〜6I スキャンチェイン
7A〜7D シフトレジスタ構造
8 シフトレジスタ
10 SFF
11 MUX
12 FF
20 観測用SFF
21 XORゲート
22 MUX
23 FF
30 観測用SFF
31 NORゲート
32 XORゲート
33 FF
40 観測用SFF
41 XORゲート
42 MUX
43 FF
50 観測用SFF
51 XORゲート
52 MUX
53 FF
60 seqSFF
60A〜60C seqSFF
61 NORゲート
62 NANDゲート
63 XORゲート
64 FF
65 ORゲート
66 ORゲート
67 XORゲート
68 MUX
69 MUX
70 seqSFF
71 ANDゲート
72 XORゲート
73 MUX
74 FF
SEQ_TEST_EN テストイネーブル入力端子
100 設計装置
110 演算処理装置
111 テストポイント挿入プログラム
112 スキャン化プログラム
120 記憶装置
121 ネットリスト
122 機能ライブラリ
123 テスト制約DB
124 テストポイント挿入済ネットリスト
125 テストポイント挿入情報DB
126 スキャン化ネットリスト
130 制御入力装置
131 表示出力装置
200 設計装置
210 演算処理装置
212 スキャン化プログラム
220 記憶装置
221 ネットリスト
222 機能ライブラリ
223 テスト制約DB
226 スキャン化ネットリスト
230 制御入力装置
231 表示出力装置
DATA データ入力端子
SIN スキャンイン端子
SMC 制御入力端子
CLK クロック端子
Q データ出力端子
DESCRIPTION OF SYMBOLS 1 Semiconductor device 1A-1I Semiconductor device 2 DUT
3 PRPG
4 MISR
4C MISR
4I MISR
5 LBIST controller 6A-6I scan chain 7A-7D shift register structure 8 shift register 10 SFF
11 MUX
12 FF
20 SFF for observation
21 XOR gate 22 MUX
23 FF
30 Observation SFF
31 NOR gate 32 XOR gate 33 FF
40 Observation SFF
41 XOR gate 42 MUX
43 FF
50 Observation SFF
51 XOR gate 52 MUX
53 FF
60 seqSFF
60A-60C seqSFF
61 NOR gate 62 NAND gate 63 XOR gate 64 FF
65 OR gate 66 OR gate 67 XOR gate 68 MUX
69 MUX
70 seqSFF
71 AND gate 72 XOR gate 73 MUX
74 FF
SEQ_TEST_EN Test enable input terminal 100 Design device 110 Processing unit 111 Test point insertion program 112 Scanning program 120 Storage device 121 Netlist 122 Function library 123 Test constraint DB
124 Test Point Inserted Netlist 125 Test Point Insertion Information DB
126 scan netlist 130 control input device 131 display output device 200 design device 210 arithmetic processing device 212 scan program 220 storage device 221 netlist 222 function library 223 test constraint DB
226 Scanned netlist 230 Control input device 231 Display output device DATA Data input terminal SIN Scan-in terminal SMC Control input terminal CLK Clock terminal Q Data output terminal

Claims (26)

スキャンインされるテスト信号に応じて演算結果を出力する組合せ回路中に挿入されるテストポイント回路であって、
前記テストポイント回路は、
スキャンシフト動作期間に前記テスト信号が入力されるスキャンイン端子と、
前記組合せ回路からの演算結果が入力されるデータ入力端子と、
クロックシーケンシャルテストの1回のキャプチャ動作期間において、前記データ入力端子に入力された演算結果と前記スキャンイン端子に入力された第1演算結果とを受信し、排他論理和を出力するXORゲートと、
前記排他論理和を第1キャプチャクロックの後の第2キャプチャクロックで取り込むフリップフロップと、
を備え、
複数の前記テストポイント回路は、スキャンチェインを構成し、
前記キャプチャ動作期間において、前記第1キャプチャクロックの後の前記第2キャプチャクロックで前記スキャンチェインの前段のテストポイント回路がキャプチャした前記第1演算結果を取り込み、
前記スキャンイン端子は、前記第1演算結果を受信する、
テストポイント回路。
A test point circuit inserted into a combinational circuit that outputs an operation result according to a test signal to be scanned in,
The test point circuit includes:
A scan-in terminal to which the test signal is input during a scan shift operation period;
A data input terminal to which an operation result from the combinational circuit is input;
An XOR gate that receives an operation result input to the data input terminal and a first operation result input to the scan-in terminal, and outputs an exclusive OR, during one capture operation period of the clock sequential test ;
A flip-flop that captures the exclusive OR with a second capture clock after the first capture clock;
With
The plurality of test point circuits form a scan chain,
In the capture operation period, the first operation result captured by the test point circuit at the preceding stage of the scan chain is captured by the second capture clock after the first capture clock ,
The scan-in terminal receives the first operation result,
Test point circuit.
前記キャプチャ動作期間において、前記データ入力端子に入力された演算結果とスキャンシフト動作からキャプチャ動作へ切替える制御信号とを受信して、否定論理和を出力するNORゲートをさらに備え、
前記XORゲートは、前記スキャンイン端子に入力された前記第1演算結果と、前記否定論理和とを受信して、排他論理和を出力し、
前記フリップフロップは、前記XORゲートから入力される前記排他論理和を前記第2キャプチャクロックで取り込む、
請求項1に記載のテストポイント回路。
In the capture operation period, further includes a NOR gate that receives an operation result input to the data input terminal and a control signal for switching from the scan shift operation to the capture operation, and outputs a NOR operation ,
The XOR gate receives the first operation result input to the scan-in terminal and the NOR, and outputs an exclusive OR ,
The flip-flop captures the exclusive OR input from the XOR gate with the second capture clock.
The test point circuit according to claim 1.
前記キャプチャ動作期間に前記スキャンチェインの最後段のテストポイント回路がキャプチャした前記第1演算結果が入力される第2データ入力端子をさらに備え、
前記XORゲートは、前記キャプチャ動作期間において、前記データ入力端子に入力された演算結果と前記第2データ入力端子に入力された前記第1演算結果とを受信して、排他論理和を出力し、
前記フリップフロップは、前記排他論理和を前記第2キャプチャクロックで取り込む、
請求項1に記載のテストポイント回路。
A second data input terminal to which the first operation result captured by the last-stage test point circuit of the scan chain during the capture operation period is input ;
The XOR gate, said in the capture operation period, to receive the said data input of the first arithmetic results input inputted calculation result and said second data input terminal to the terminal, and outputs the exclusive logical sum,
The flip-flop captures the exclusive OR with the second capture clock.
The test point circuit according to claim 1.
スキャンインされるテスト信号に応じて演算結果を出力する組合せ回路と、
前記組合せ回路中に挿入される複数のテストポイント回路と、
を備え、
複数の前記テストポイント回路は、スキャンチェインを構成し、
前記スキャンチェインは、
クロックシーケンシャルテストの1回のキャプチャ動作期間において、
第1キャプチャクロックで、第1演算結果をキャプチャする第1テストポイント回路と、
前記第1キャプチャクロックの後の第2キャプチャクロックで、前記第1テストポイント回路でキャプチャされた前記第1演算結果を取り込む、第2テストポイント回路と、
を含み、
前記第2テストポイント回路は、
スキャンシフト動作期間に前記テスト信号が入力され、前記キャプチャ動作期間に前記スキャンチェインの前段のテストポイント回路がキャプチャした前記第1演算結果が入力されるスキャンイン端子と、
前記組合せ回路からの演算結果が入力されるデータ入力端子と、
前記キャプチャ動作期間において、前記データ入力端子に入力された演算結果と前記スキャンイン端子に入力された前記第1演算結果とを受信し、排他論理和を出力するXORゲートと、
前記排他論理和を前記第2キャプチャクロックで取り込むフリップフロップと、
を備える、
半導体装置。
A combinational circuit that outputs an operation result according to a test signal to be scanned in;
A plurality of test point circuits inserted into the combinational circuit,
With
The plurality of test point circuits form a scan chain,
The scan chain is
In one capture operation period of the clock sequential test,
A first test point circuit for capturing a first operation result with a first capture clock;
A second test point circuit that captures the first operation result captured by the first test point circuit at a second capture clock after the first capture clock;
Only including,
The second test point circuit includes:
A scan-in terminal to which the test signal is input during a scan shift operation period and the first operation result captured by a test point circuit at a preceding stage of the scan chain during the capture operation period;
A data input terminal to which an operation result from the combinational circuit is input;
An XOR gate that receives an operation result input to the data input terminal and the first operation result input to the scan-in terminal during the capture operation period, and outputs an exclusive OR;
A flip-flop that captures the exclusive OR with the second capture clock;
Comprising,
Semiconductor device.
前記第2テストポイント回路は、前記第1テストポイント回路の後段に設けられている、
請求項に記載の半導体装置。
The second test point circuit is provided at a stage subsequent to the first test point circuit.
The semiconductor device according to claim 4 .
前記スキャンチェインの最後段に設けられた第3テストポイント回路をさらに含み、
前記第1テストポイント回路は、前記スキャンチェインの最前段に設けられており、
前記第3テストポイント回路は、前記第1キャプチャクロックで第2演算結果をキャプチャし、
前記第1テストポイント回路は、前記第2キャプチャクロックで、前記第3テストポイント回路でキャプチャされた前記第2演算結果を受信する、
請求項に記載の半導体装置。
A third test point circuit provided at the last stage of the scan chain;
The first test point circuit is provided at the forefront of the scan chain,
The third test point circuit captures a second operation result with the first capture clock,
The first test point circuit receives the second operation result captured by the third test point circuit at the second capture clock;
The semiconductor device according to claim 5 .
前記第1テストポイント回路は、前記スキャンチェインの最後段に設けられており、
前記第2テストポイント回路は、前記スキャンチェインの最前段に設けられている、
請求項に記載の半導体装置。
The first test point circuit is provided at the last stage of the scan chain,
The second test point circuit is provided at the forefront of the scan chain.
The semiconductor device according to claim 4 .
前記スキャンチェインから出力される応答結果を圧縮する圧縮器をさらに備え、
前記圧縮器は、前記第1キャプチャクロックで前記スキャンチェインの最後段に設けられたテストポイント回路がキャプチャした演算結果を、前記第2キャプチャクロックで取り込む、
請求項に記載の半導体装置。
Further comprising a compressor for compressing the response result output from the scan chain,
The compressor captures, with the second capture clock, a calculation result captured by a test point circuit provided at the last stage of the scan chain with the first capture clock.
The semiconductor device according to claim 4 .
前記第2テストポイント回路は、
前記キャプチャ動作期間において、前記データ入力端子に入力された演算結果とスキャンシフト動作からキャプチャ動作へ切替える制御信号とを受信して、否定論理和を出力するNORゲートをさらに備え、
前記XORゲートは、前記スキャンイン端子に入力された前記第1演算結果と、前記否定論理和とを受信して、排他論理和を出力し、
前記フリップフロップは、前記XORゲートから入力される前記排他論理和を前記第2キャプチャクロックで取り込む、
請求項に記載の半導体装置。
The second test point circuit includes:
In the capture operation period, further includes a NOR gate that receives an operation result input to the data input terminal and a control signal for switching from the scan shift operation to the capture operation, and outputs a NOR operation ,
The XOR gate receives the first operation result input to the scan-in terminal and the NOR, and outputs an exclusive OR ,
The flip-flop captures the exclusive OR input from the XOR gate with the second capture clock.
The semiconductor device according to claim 4 .
前記第2テストポイント回路は、
前記キャプチャ動作期間に前記スキャンチェインの最後段のテストポイント回路前記第1演算結果が入力される第2データ入力端子をさらに備え、
前記XORゲートは、前記キャプチャ動作期間において、前記データ入力端子に入力された演算結果と前記第2データ入力端子に入力された前記第1演算結果とを受信して、排他論理和を出力し、
前記フリップフロップは、前記排他論理和を前記第2キャプチャクロックで取り込む、
請求項に記載の半導体装置。
The second test point circuit includes:
A second data input terminal to which the first operation result is input during the capture operation period ;
The XOR gate, said in the capture operation period, to receive the said data input of the first arithmetic results input inputted calculation result and said second data input terminal to the terminal, and outputs the exclusive logical sum,
The flip-flop captures the exclusive OR with the second capture clock.
The semiconductor device according to claim 4 .
前記スキャンチェインの入力側に設けられ、前記テスト信号として擬似乱数を供給する擬似乱数生成器をさらに備える、
請求項に記載の半導体装置。
A pseudorandom number generator provided on the input side of the scan chain and supplying a pseudorandom number as the test signal,
The semiconductor device according to claim 5 .
予め設定されたプログラムに従って所定の処理を実行可能な演算処理装置を備える半導体装置の設計装置であって、
前記半導体装置は、
スキャンインされるテスト信号に応じて演算結果を出力する組合せ回路と、
前記組合せ回路中に挿入される複数のテストポイント回路と、
を備え、
複数の前記テストポイント回路は、スキャンチェインを構成し、
前記スキャンチェインは、
クロックシーケンシャルテストの1回のキャプチャ動作期間において、
第1キャプチャクロックで、第1演算結果をキャプチャする第1テストポイント回路と、
前記第1キャプチャクロックの後の第2キャプチャクロックで、前記第1テストポイント回路でキャプチャされた前記第1演算結果を取り込む、第2テストポイント回路と、
を含み、
前記演算処理装置は、ネットリストを参照して前記半導体装置を生成する回路生成処理を実行する設計装置であって、
前記第2テストポイント回路は、
スキャンシフト動作期間に前記テスト信号が入力され、前記キャプチャ動作期間に前記スキャンチェインの前段のテストポイント回路がキャプチャした前記第1演算結果が入力されるスキャンイン端子と、
前記組合せ回路からの演算結果が入力されるデータ入力端子と、
前記キャプチャ動作期間において、前記データ入力端子に入力された演算結果と前記スキャンイン端子に入力された前記第1演算結果とを受信し、排他論理和を出力するXORゲートと、
前記排他論理和を前記第2キャプチャクロックで取り込むフリップフロップと、
を備える、
設計装置。
A semiconductor device design device including an arithmetic processing device capable of executing a predetermined process according to a preset program,
The semiconductor device includes:
A combinational circuit that outputs an operation result according to a test signal to be scanned in;
A plurality of test point circuits inserted into the combinational circuit,
With
The plurality of test point circuits form a scan chain,
The scan chain is
In one capture operation period of the clock sequential test,
A first test point circuit for capturing a first operation result with a first capture clock;
A second test point circuit that captures the first operation result captured by the first test point circuit at a second capture clock after the first capture clock;
Including
The arithmetic processing device is a design device that executes a circuit generation process of generating the semiconductor device with reference to a netlist ,
The second test point circuit includes:
A scan-in terminal to which the test signal is input during a scan shift operation period and the first operation result captured by a test point circuit at a preceding stage of the scan chain during the capture operation period;
A data input terminal to which an operation result from the combinational circuit is input;
An XOR gate that receives an operation result input to the data input terminal and the first operation result input to the scan-in terminal during the capture operation period, and outputs an exclusive OR;
A flip-flop that captures the exclusive OR with the second capture clock;
Comprising,
Design equipment.
前記演算処理装置は、
前記組合せ回路からの演算結果が所定の論理状態になる確率を解析する解析処理を実行し、
前記解析処理の結果に基づき、テストポイント回路の挿入位置を選定し、
選定された前記挿入位置に前記第1テストポイント回路及び前記第2テストポイント回路を挿入し、
前記第1テストポイント回路と前記第2テストポイント回路とを用いて、前記スキャンチェインを構成する、
請求項12に記載の設計装置。
The arithmetic processing unit,
Performing an analysis process for analyzing the probability that the operation result from the combinational circuit becomes a predetermined logic state,
Based on the result of the analysis processing, select the insertion position of the test point circuit,
Inserting the first test point circuit and the second test point circuit at the selected insertion position;
Configuring the scan chain using the first test point circuit and the second test point circuit;
The design device according to claim 12 .
前記演算処理装置は、
前記スキャンチェインにおいて、前記第2テストポイント回路を前記第1テストポイント回路の後段に接続する処理を実行する、
請求項12に記載の設計装置。
The arithmetic processing unit,
Executing a process of connecting the second test point circuit to a stage subsequent to the first test point circuit in the scan chain;
The design device according to claim 12 .
前記半導体装置は、
前記第1及び第2テストポイント回路とともに、前記スキャンチェインを構成し、前記第2キャプチャクロックで、第2演算結果をキャプチャする第3テストポイント回路をさらに含み、
前記演算処理装置は、
前記第1テストポイント回路が前記第2演算結果を受信するよう、前記第1テストポイント回路を前記スキャンチェインの最前段に、前記第3テストポイント回路を前記スキャンチェインの最後段に接続する処理を実行する、
請求項12に記載の設計装置。
The semiconductor device includes:
A third test point circuit that configures the scan chain together with the first and second test point circuits and captures a second operation result with the second capture clock;
The arithmetic processing unit,
Connecting the first test point circuit to the first stage of the scan chain and the third test point circuit to the last stage of the scan chain so that the first test point circuit receives the second operation result. Execute,
The design device according to claim 12 .
前記演算処理装置は、
前記第1テストポイント回路を前記スキャンチェインの最後段に、前記第2テストポイント回路を前記スキャンチェインの最前段に接続する処理を実行する、
請求項12に記載の設計装置。
The arithmetic processing unit,
Executing a process of connecting the first test point circuit to the last stage of the scan chain and connecting the second test point circuit to the foremost stage of the scan chain;
The design device according to claim 12 .
前記演算処理装置は、前記スキャンチェインの最後段に設けられた前記テストポイント回路の出力側に、前記スキャンチェインから出力される応答結果を圧縮する圧縮器を挿入する処理を実行する、
請求項12に記載の設計装置。
The arithmetic processing device executes a process of inserting a compressor that compresses a response result output from the scan chain on an output side of the test point circuit provided at the last stage of the scan chain,
The design device according to claim 12 .
前記圧縮器は、前記第1キャプチャクロックで前記スキャンチェインの最後段に設けられたテストポイント回路がキャプチャした演算結果を、前記第2キャプチャクロックで取り込む、
請求項17に記載の設計装置。
The compressor captures, with the second capture clock, a calculation result captured by a test point circuit provided at the last stage of the scan chain with the first capture clock.
The design device according to claim 17 .
スキャンチェインを構成し、シフトレジスタとして動作するスキャンシフト動作と、組み合わせ回路からの演算結果をキャプチャするキャプチャ動作とを切り替え可能なシーケンシャルテスト用スキャンフリップフロップであって、
前記シーケンシャルテスト用スキャンフリップフロップは、
テストイネーブル信号が入力されるテストイネーブル入力端子を備え、
前記テストイネーブル信号に応じて、クロックシーケンシャルテストの1回のキャプチャ動作期間において、
第1キャプチャクロックの後の第2キャプチャクロックで、前記スキャンチェインにおける当該シーケンシャルテスト用スキャンフリップフロップの前段又は前記スキャンチェインの最後段の第1セルが前記第1キャプチャクロックでキャプチャした前記組み合わせ回路からの第1演算結果を取り込む、
シーケンシャルテスト用スキャンフリップフロップ。
A scan flip-flop for a sequential test, which configures a scan chain and can switch between a scan shift operation that operates as a shift register and a capture operation that captures an operation result from the combinational circuit,
The scan flip-flop for the sequential test,
A test enable input terminal to which a test enable signal is input;
In response to the test enable signal, during one capture operation period of the clock sequential test,
At the second capture clock after the first capture clock, the first cell of the preceding stage of the scan flip-flop for the sequential test in the scan chain or the last cell of the scan chain from the combinational circuit captured by the first capture clock. Capturing the first operation result of
Scan flip-flop for sequential test.
前記シーケンシャルテスト用スキャンフリップフロップは、
前記第2キャプチャクロックで、前記第1演算結果と当該シーケンシャルテスト用スキャンフリップフロップがキャプチャする前記組み合わせ回路からの第2演算結果との排他的論理和又は否定排他的論理和を取り込む、
請求項19に記載のシーケンシャルテスト用スキャンフリップフロップ。
The scan flip-flop for the sequential test,
Taking in the second capture clock an exclusive OR or a negative exclusive OR of the first operation result and the second operation result from the combinational circuit captured by the sequential test scan flip-flop;
The scan flip-flop for a sequential test according to claim 19 .
請求項19に記載のシーケンシャルテスト用スキャンフリップフロップと第1セルとを含むスキャンチェインと、組み合わせ回路とを備え、
前記スキャンチェイン内の前記第1セル及び前記シーケンシャルテスト用スキャンフリップフロップをシフトレジスタとして動作させるスキャンシフト動作と、前記組み合わせ回路からの演算結果をキャプチャするキャプチャ動作とを切り替え可能な半導体装置であって、
前記第1セルは、
クロックシーケンシャルテストの1回のキャプチャ動作期間における第1キャプチャクロックで、第1演算結果をキャプチャし、
前記シーケンシャルテスト用スキャンフリップフロップは、
テストイネーブル信号が入力されるテストイネーブル入力端子を備え、
前記テストイネーブル信号に応じて、前記第1キャプチャクロックの後の第2キャプチャクロックで、前記第1演算結果を取り込む、
半導体装置。
A scan chain including the sequential test scan flip-flop according to claim 19 and a first cell, and a combination circuit,
A semiconductor device capable of switching between a scan shift operation in which the first cell and the sequential test scan flip-flop in the scan chain operate as a shift register and a capture operation to capture an operation result from the combinational circuit. ,
The first cell is
Capturing a first operation result with a first capture clock in one capture operation period of a clock sequential test;
The scan flip-flop for the sequential test,
A test enable input terminal to which a test enable signal is input;
Capturing the first operation result at a second capture clock after the first capture clock in response to the test enable signal;
Semiconductor device.
前記シーケンシャルテスト用スキャンフリップフロップは、前記第2キャプチャクロックで、前記第1演算結果と、当該シーケンシャルテスト用スキャンフリップフロップがキャプチャする前記組み合わせ回路からの第2演算結果との排他的論理和又は否定排他的論理和を取り込む、
請求項21に記載の半導体装置。
The sequential test scan flip-flop is configured to perform an exclusive OR or negation of the first operation result and the second operation result from the combinational circuit captured by the sequential test scan flip-flop with the second capture clock. Including exclusive OR,
The semiconductor device according to claim 21 .
前記第1セルは、スキャンフリップフロップであり、
前記スキャンチェインにおいて、前記第1セルの後段に前記シーケンシャルテスト用スキャンフリップフロップが接続される、
請求項21に記載の半導体装置。
The first cell is a scan flip-flop,
In the scan chain, the sequential test scan flip-flop is connected to a stage subsequent to the first cell.
The semiconductor device according to claim 21 .
前記第1セルは、前記シーケンシャルテスト用スキャンフリップフロップと同様の構成を有している、
請求項21に記載の半導体装置。
The first cell has a configuration similar to that of the sequential test scan flip-flop.
The semiconductor device according to claim 21 .
前記スキャンチェインは、
前記シーケンシャルテスト用スキャンフリップフロップと同様の構成を有する第2セルと、
前記第2セルがキャプチャする前記組み合わせ回路からの第3演算結果をそのまま取り込むフリップフロップと、
を含むシフトレジスタ構造をさらに備える、
請求項21に記載の半導体装置。
The scan chain is
A second cell having a configuration similar to that of the sequential test scan flip-flop;
A flip-flop that directly captures a third operation result from the combinational circuit captured by the second cell;
Further comprising a shift register structure including:
The semiconductor device according to claim 21 .
前記スキャンチェインから出力される応答結果を圧縮する圧縮器をさらに備え、
前記圧縮器は、前記第1キャプチャクロックで前記スキャンチェインの最後段に設けられた前記シーケンシャルテスト用スキャンフリップフロップがキャプチャした演算結果を、前記第2キャプチャクロックで観測する、
請求項23に記載の半導体装置。
Further comprising a compressor for compressing the response result output from the scan chain,
The compressor observes, with the second capture clock, an operation result captured by the sequential test scan flip-flop provided at the last stage of the scan chain with the first capture clock.
The semiconductor device according to claim 23 .
JP2016023384A 2015-09-24 2016-02-10 Test point circuit, scan flip-flop for sequential test, semiconductor device and design device Active JP6654456B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/220,427 US10078114B2 (en) 2015-09-24 2016-07-27 Test point circuit, scan flip-flop for sequential test, semiconductor device and design device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015186270 2015-09-24
JP2015186270 2015-09-24

Publications (2)

Publication Number Publication Date
JP2017062222A JP2017062222A (en) 2017-03-30
JP6654456B2 true JP6654456B2 (en) 2020-02-26

Family

ID=58429608

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016023384A Active JP6654456B2 (en) 2015-09-24 2016-02-10 Test point circuit, scan flip-flop for sequential test, semiconductor device and design device

Country Status (1)

Country Link
JP (1) JP6654456B2 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7169044B2 (en) * 2018-02-23 2022-11-10 シナプティクス インコーポレイテッド Semiconductor integrated circuit, its design method, program and storage medium
CN109270432B (en) * 2018-09-28 2024-03-26 长鑫存储技术有限公司 Test method and test system
CN112100974B (en) * 2020-09-17 2024-06-07 深圳市一博科技股份有限公司 Test point checking method based on ALLEGRO software
CN114217204B (en) * 2021-11-29 2024-06-07 芯盟科技有限公司 Circuit and method for observing test points inserted into test circuit
CN116106729B (en) * 2023-02-10 2024-06-07 无锡玖熠半导体科技有限公司 Scan chain and combinational logic fault diagnosis method based on cost loss factor

Also Published As

Publication number Publication date
JP2017062222A (en) 2017-03-30

Similar Documents

Publication Publication Date Title
JP5537158B2 (en) Low power scan test technology and equipment
JP6654456B2 (en) Test point circuit, scan flip-flop for sequential test, semiconductor device and design device
US8261142B2 (en) Generating test sets for diagnosing scan chain failures
US8086923B2 (en) Accurately identifying failing scan bits in compression environments
US9075110B2 (en) Fault detection system, acquisition apparatus, fault detection method, program, and non-transitory computer-readable medium
CN112154336B (en) Deterministic star built-in self-test
US11747399B2 (en) Scan test control decoder with storage elements for use within integrated circuit (IC) devices having limited test interface
US10078114B2 (en) Test point circuit, scan flip-flop for sequential test, semiconductor device and design device
JP2016505859A (en) Circuit and method for dynamic allocation of scan test resources
US10996273B2 (en) Test generation using testability-based guidance
US20060041806A1 (en) Testing method for semiconductor device and testing circuit for semiconductor device
CN114667455A (en) Universal compressor architecture for test circuits
US11150299B2 (en) Flexible isometric decompressor architecture for test compression
JP5549622B2 (en) Failure diagnosis method, failure diagnosis apparatus, test system and program
US11320487B1 (en) Programmable test compactor for improving defect determination
JP2013019744A (en) Fail point estimation device, method and program
US11422188B2 (en) Isometric control data generation for test compression
Lagos-Benites et al. An Effective Approach for the Diagnosis of Transition-Delay Faults in SoCs, based on SBST and Scan Chains
Hussain et al. DESIGN OF SIGNATURE REGISTERS USING SCAN FLIP-FLOPS FOR ON-CHIP DELAY MEASUREMENT

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180913

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190702

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190628

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190902

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200107

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200130

R150 Certificate of patent or registration of utility model

Ref document number: 6654456

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150