JP2017062222A - Test point circuit, scan flip-flop for sequential test, semiconductor device and design device - Google Patents

Test point circuit, scan flip-flop for sequential test, semiconductor device and design device Download PDF

Info

Publication number
JP2017062222A
JP2017062222A JP2016023384A JP2016023384A JP2017062222A JP 2017062222 A JP2017062222 A JP 2017062222A JP 2016023384 A JP2016023384 A JP 2016023384A JP 2016023384 A JP2016023384 A JP 2016023384A JP 2017062222 A JP2017062222 A JP 2017062222A
Authority
JP
Japan
Prior art keywords
scan
test
capture
test point
clock
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016023384A
Other languages
Japanese (ja)
Other versions
JP6654456B2 (en
Inventor
浩幸 岩田
Hiroyuki Iwata
浩幸 岩田
潤 松嶋
Jun Matsushima
潤 松嶋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to US15/220,427 priority Critical patent/US10078114B2/en
Publication of JP2017062222A publication Critical patent/JP2017062222A/en
Application granted granted Critical
Publication of JP6654456B2 publication Critical patent/JP6654456B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

PROBLEM TO BE SOLVED: To suppress increase of area overhead, and to shorten a test time, by reducing the insertion number of test point circuits necessary for achieving a target fault detection rate.SOLUTION: A test point circuit in an embodiment constitutes a scan chain. In one-time capture operation period of a clock sequential test, a first arithmetic result captured in a first capture clock by a pre-stage test point circuit or by a last-stage test point circuit is taken in a second capture clock after the first capture clock.SELECTED DRAWING: Figure 6

Description

本発明はテストポイント回路、シーケンシャルテスト用スキャンフリップフロップ、半導体装置及び設計装置に関し、例えばロジックビルトインセルフテスト(Logic Built-In Self Test:LBIST)に適用可能な技術に関する。   The present invention relates to a test point circuit, a sequential test scan flip-flop, a semiconductor device, and a design device, for example, a technique applicable to a logic built-in self test (LBIST).

LSI(Large Scale Integration)の一般的なテスト手法としてスキャンテストがある。スキャンテストを実行可能にするため、回路内のフリップフロップ(FF)がスキャンFFと呼ばれるマルチプレクサ(MUX)付のFFに置換される。MUXは、スキャンイネーブル信号により、テスト入力と通常動作入力とを切り替えることができる。   There is a scan test as a general test method of LSI (Large Scale Integration). In order to make the scan test executable, the flip-flop (FF) in the circuit is replaced with an FF with a multiplexer (MUX) called a scan FF. The MUX can switch between a test input and a normal operation input by a scan enable signal.

スキャンテスト時には、スキャンFF同士がシリアルに接続され、LSIの外部端子から制御・観測可能なシフトレジスタ(これを、「スキャンチェイン」と呼ぶ)として動作する。スキャンチェインをシフト動作させることによって、任意のテストパターン(ロードデータ)がテスト入力から各スキャンFFに供給される(ロード)。これを、「スキャンシフト動作」と呼ぶ。各スキャンFFに設定されたテストパターンは、テスト対象の組合せ回路に印加される。   During the scan test, the scan FFs are serially connected and operate as a shift register (this is called a “scan chain”) that can be controlled and observed from an external terminal of the LSI. By shifting the scan chain, an arbitrary test pattern (load data) is supplied from the test input to each scan FF (load). This is called a “scan shift operation”. The test pattern set in each scan FF is applied to the combinational circuit to be tested.

そして、スキャンイネーブル信号を切り替えることにより、組合せ回路での演算結果が通常動作入力からスキャンFFに取り込まれる。これを、「キャプチャ動作」と呼ぶ。キャプチャ動作で取得された演算結果は再びスキャンFFでシフトされ、応答が観測される(アンロード)。このアンロードと同時に、次のテストパターンの印加(ロード)が行われる。テスタによりアンロードされた値(アンロードデータ)とその期待値とを比較することにより、LSIのスキャンテストが実行される。   Then, by switching the scan enable signal, the operation result in the combinational circuit is taken into the scan FF from the normal operation input. This is called “capture operation”. The calculation result obtained by the capture operation is shifted again by the scan FF, and a response is observed (unload). Simultaneously with this unloading, the next test pattern is applied (loaded). An LSI scan test is executed by comparing the value (unload data) unloaded by the tester with its expected value.

スキャンテストでは、スキャンチェインに接続されているスキャンFF数に対応したシフトサイクル数が必要であるため、非常に大きなテストステップ数が必要となる。また、LSIのスキャンテストを実行するためには、スキャンシフト動作に必要なロードデータ及びアンロードデータの期待値を含むテストデータをテスタのメモリに格納する必要がある。テストステップ数が非常に大きい場合、テストデータがテスタのメモリに収まらなくなり、必要なテストが出来なくなる可能性が生じる。   In the scan test, since the number of shift cycles corresponding to the number of scan FFs connected to the scan chain is required, a very large number of test steps is required. In addition, in order to execute an LSI scan test, it is necessary to store test data including expected values of load data and unload data necessary for a scan shift operation in a tester memory. When the number of test steps is very large, the test data cannot be stored in the tester memory, and the necessary test cannot be performed.

テストデータ量を減らすテスト容易化設計(Design For Testability:DFT)の一例として、ロジックビルトインセルフテスト(Logic Built-in Self Test :LBIST)が提案されている(非特許文献1)。LBISTでは、回路内部の擬似乱数生成器(Pseudo Random Pattern Generator:PRPG)から発生させたロードデータをスキャンチェインに供給してスキャンシフト動作を行い、キャプチャ動作後のアンロードデータを回路内部の応答圧縮器(Multiple Input Signature Register:MISR)で圧縮する。   As an example of design for testability (DFT) that reduces the amount of test data, a Logic Built-in Self Test (LBIST) has been proposed (Non-Patent Document 1). In LBIST, the load data generated from the pseudo random number generator (PRPG) in the circuit is supplied to the scan chain to perform the scan shift operation, and the unload data after the capture operation is compressed in the response in the circuit. (Multiple Input Signature Register: MISR).

このため、LBISTによるスキャンテスト期間中は、外部テスタからテストデータを印加する必要はなく、クロックの供給だけでテスト実行が可能になる。そして、任意の時間のテスト実行後に、MISRで圧縮した値を外部テスタで観測し、故障の有無を判定する。そのため、外部テスタに必要なテストデータ量は、LBISTコントローラの制御シーケンス、PRPGとMISRの初期値及びMISRから出力される値の期待値だけで済む。   Therefore, it is not necessary to apply test data from the external tester during the scan test period by LBIST, and the test can be executed only by supplying the clock. Then, after executing the test for an arbitrary time, the value compressed by the MISR is observed by an external tester to determine the presence or absence of a failure. Therefore, the amount of test data required for the external tester is only the control sequence of the LBIST controller, the initial values of PRPG and MISR, and the expected value output from the MISR.

Debaleena Das、Nur A. Touba著、「Reducing test data volume using external/LBIST hybrid test patterns」、International Test Conference 2000Debaleena Das, Nur A. Touba, "Reducing test data volume using external / LBIST hybrid test patterns", International Test Conference 2000

自動車用車載機器の機能安全国際規格ISO26262準拠の機能安全の実現のために、Power-on Self-Test(POST)が要求されている。POSTでは、テストデータ量の制約から論理部のテストはLBISTで行われる。LBISTでは、乱数パタン印加によるテスト実行のため、回路内の多くの故障は未検出で終わる可能性が高い。そのため、乱数印加時に回路内の故障の検出確率が高くなるようにテストポイント回路の挿入(Test Point Insertion:TPI)を行うのが一般的である。LBISTにおいて、故障検出率を高くするには大量のTPIが必要になり、面積オーバーヘッド(以下、面積OHとする)が大きくなるという問題がある。また、POSTの実行時間には制限があるため、テスト時間を短縮しつつ、故障検出率を上げる必要がある。   Power-on Self-Test (POST) is required in order to realize functional safety in accordance with ISO 26262, which is an international functional safety standard for in-vehicle devices for automobiles. In POST, the test of the logic unit is performed by LBIST due to the limitation of the test data amount. In LBIST, since a test is executed by applying a random number pattern, there is a high possibility that many faults in the circuit end without being detected. For this reason, test point insertion (TPI) is generally performed so that the probability of detecting a fault in the circuit increases when a random number is applied. In LBIST, a large amount of TPI is required to increase the failure detection rate, and there is a problem that the area overhead (hereinafter referred to as area OH) increases. Further, since there is a limit on the POST execution time, it is necessary to increase the failure detection rate while reducing the test time.

その他の課題と新規な特徴は、本明細書の記述および添付図面から明らかになるであろう。   Other problems and novel features will become apparent from the description of the specification and the accompanying drawings.

一実施の形態によれば、テストポイント回路は、スキャンチェインを構成し、クロックシーケンシャルテストの1回のキャプチャ動作期間において、第1キャプチャクロックで、前段のテストポイント回路又は最後段のテストポイント回路がキャプチャした第1演算結果を、第1キャプチャクロックの後の第2キャプチャクロックで取り込む。
なお、上記実施の形態の回路を方法や装置、システムに置き換えて表現したもの、該回路における一部の処理をコンピュータに実行せしめるプログラムなども、本発明の態様としては有効である。
According to one embodiment, the test point circuit constitutes a scan chain, and in the one capture operation period of the clock sequential test, the test point circuit of the previous stage or the test point circuit of the last stage is the first capture clock. The captured first calculation result is captured by the second capture clock after the first capture clock.
Note that a representation in which the circuit of the above embodiment is replaced with a method, apparatus, or system, and a program that causes a computer to execute a part of the processing in the circuit are also effective as an aspect of the present invention.

前記一実施の形態によれば、目標故障検出率を達成するのに必要なテストポイント回路の挿入数を少なくすることでき、面積オーバーヘッドの増大を抑制し、テスト時間を短縮することが可能となる。   According to the one embodiment, it is possible to reduce the number of test point circuits inserted to achieve the target failure detection rate, suppress an increase in area overhead, and shorten a test time. .

LBISTを実行可能な半導体装置の一例を示す図である。It is a figure which shows an example of the semiconductor device which can perform LBIST. 制御用テストポイント回路の挿入について説明する図である。It is a figure explaining insertion of the test point circuit for control. 観測用テストポイント回路の挿入について説明する図である。It is a figure explaining insertion of the test point circuit for observation. スキャンテストの動作波形例を示す図である。It is a figure which shows the example of an operation waveform of a scan test. クロックシーケンシャルテストの動作波形例を示す図である。It is a figure which shows the example of an operation | movement waveform of a clock sequential test. 実施の形態1に係るテストポイント回路を挿入した半導体装置の構成を示す図である。1 is a diagram showing a configuration of a semiconductor device in which a test point circuit according to a first embodiment is inserted. 実施の形態1に係る半導体装置のスキャンテスト時間に対する故障検出率を示す図である。FIG. 4 is a diagram showing a failure detection rate with respect to a scan test time of the semiconductor device according to the first embodiment. 実施の形態1に係るテストポイント回路の他の構成を示す図である。FIG. 5 is a diagram showing another configuration of the test point circuit according to the first embodiment. 実施の形態2に係るテストポイント回路を挿入した半導体装置の構成を示す図である。FIG. 6 is a diagram showing a configuration of a semiconductor device in which a test point circuit according to a second embodiment is inserted. 実施の形態3に係るテストポイント回路を挿入した半導体装置の構成を示す図である。It is a figure which shows the structure of the semiconductor device which inserted the test point circuit which concerns on Embodiment 3. FIG. 実施の形態4に係るテストポイント回路の構成を示す図である。FIG. 6 is a diagram showing a configuration of a test point circuit according to a fourth embodiment. 実施の形態に係る半導体装置を設計する設計装置の構成を示す図である。It is a figure which shows the structure of the design apparatus which designs the semiconductor device which concerns on embodiment. 実施の形態に係る半導体装置の設計フローを示す図である。It is a figure which shows the design flow of the semiconductor device which concerns on embodiment. 実施の形態に係る半導体装置の設計フローを示す図である。It is a figure which shows the design flow of the semiconductor device which concerns on embodiment. 実施の形態5に係るシーケンシャルテスト用スキャンフリップフロップを挿入した半導体装置の構成を示す図である。FIG. 10 is a diagram illustrating a configuration of a semiconductor device in which a sequential test scan flip-flop according to a fifth embodiment is inserted. 実施の形態5に係るシーケンシャルテスト用スキャンフリップフロップの構成を示す図である。FIG. 10 is a diagram showing a configuration of a sequential test scan flip-flop according to a fifth embodiment. 図15に係る半導体装置の動作波形例を示す図である。FIG. 16 is a diagram illustrating an example of operation waveforms of the semiconductor device according to FIG. 15. 図15に係る半導体装置の動作波形例を示す図である。FIG. 16 is a diagram illustrating an example of operation waveforms of the semiconductor device according to FIG. 15. 図16に示すシーケンシャルテスト用スキャンフリップフロップの真理値表である。FIG. 17 is a truth table of the sequential test scan flip-flop shown in FIG. 16. FIG. シーケンシャルテスト用スキャンフリップフロップの概略構成を示す図である。It is a figure which shows schematic structure of the scan flip-flop for a sequential test. 図20に示すシーケンシャルテスト用スキャンフリップフロップの真理値表である。FIG. 21 is a truth table of the sequential test scan flip-flop shown in FIG. 20. FIG. 実施の形態5に係るシーケンシャルテスト用スキャンフリップフロップの他の構成を示す図である。FIG. 10 is a diagram showing another configuration of the sequential test scan flip-flop according to the fifth embodiment. 図22に示すシーケンシャルテスト用スキャンフリップフロップの真理値表である。FIG. 23 is a truth table of the sequential test scan flip-flop shown in FIG. 22. FIG. 実施の形態5に係るシーケンシャルテスト用スキャンフリップフロップの他の構成を示す図である。FIG. 10 is a diagram showing another configuration of the sequential test scan flip-flop according to the fifth embodiment. 図24に示すシーケンシャルテスト用スキャンフリップフロップの真理値表である。FIG. 25 is a truth table of the sequential test scan flip-flop shown in FIG. 24. FIG. 実施の形態5に係るシーケンシャルテスト用スキャンフリップフロップの他の構成を示す図である。FIG. 10 is a diagram showing another configuration of the sequential test scan flip-flop according to the fifth embodiment. 図26に示すシーケンシャルテスト用スキャンフリップフロップの真理値表である。FIG. 27 is a truth table of the sequential test scan flip-flop shown in FIG. 26. FIG. 図24又は図26に示すシーケンシャルテスト用スキャンフリップフロップを挿入した半導体装置の動作波形例を示す図である。FIG. 27 is a diagram showing an example of operation waveforms of the semiconductor device in which the sequential test scan flip-flop shown in FIG. 24 or FIG. 26 is inserted. 図24又は図26に示すシーケンシャルテスト用スキャンフリップフロップを挿入した半導体装置の動作波形例を示す図である。FIG. 27 is a diagram showing an example of operation waveforms of the semiconductor device in which the sequential test scan flip-flop shown in FIG. 24 or FIG. 26 is inserted. 実施の形態6に係るシーケンシャルテスト用スキャンフリップフロップを挿入した半導体装置の構成を示す図である。FIG. 10 is a diagram showing a configuration of a semiconductor device in which a sequential test scan flip-flop according to a sixth embodiment is inserted. 実施の形態6に係る半導体装置のスキャンテスト時間に対する故障検出率を示す図である。FIG. 10 is a diagram illustrating a failure detection rate with respect to a scan test time of a semiconductor device according to a sixth embodiment. 実施の形態7に係るシーケンシャルテスト用スキャンフリップフロップを挿入した半導体装置の構成を示す図である。FIG. 10 is a diagram showing a configuration of a semiconductor device in which a sequential test scan flip-flop according to a seventh embodiment is inserted. 実施の形態8に係るシーケンシャルテスト用スキャンフリップフロップを挿入した半導体装置の構成を示す図である。FIG. 20 is a diagram illustrating a configuration of a semiconductor device in which a sequential test scan flip-flop according to an eighth embodiment is inserted. 実施の形態9に係るシーケンシャルテスト用スキャンフリップフロップを挿入した半導体装置の構成を示す図である。FIG. 20 is a diagram illustrating a configuration of a semiconductor device in which a sequential test scan flip-flop according to a ninth embodiment is inserted. 実施の形態9において用いられるシーケンシャルテスト用スキャンフリップフロップの概略構成を示す図である。FIG. 20 is a diagram showing a schematic configuration of a sequential test scan flip-flop used in the ninth embodiment. 図35に示すシーケンシャルテスト用スキャンフリップフロップの真理値表である。FIG. 36 is a truth table of the sequential test scan flip-flop shown in FIG. 35. FIG. 実施の形態10に係るシーケンシャルテスト用スキャンフリップフロップを挿入した半導体装置の構成を示す図である。It is a figure which shows the structure of the semiconductor device which inserted the scan flip-flop for sequential tests based on Embodiment 10. FIG. 実施の形態に係る半導体装置を設計する設計装置の構成を示す図である。It is a figure which shows the structure of the design apparatus which designs the semiconductor device which concerns on embodiment. 実施の形態に係る半導体装置の設計フローを示す図である。It is a figure which shows the design flow of the semiconductor device which concerns on embodiment. 実施の形態に係るテストポイント回路とシーケンシャルテスト用スキャンフリップフロップとを挿入した半導体装置の構成を示す図である。1 is a diagram showing a configuration of a semiconductor device in which a test point circuit and a sequential test scan flip-flop according to an embodiment are inserted. FIG.

以下、図面を参照しながら実施の形態について説明する。説明の明確化のため、以下の記載及び図面は、適宜、省略及び簡略化がなされている。以下の実施の形態に示す具体的な数値などは、発明の理解を容易とするための例示にすぎず、特に断る場合を除き、それに限定されるものではない。なお、各図面において、同一の要素には同一の符号が付されており、必要に応じて重複説明は省略されている。   Hereinafter, embodiments will be described with reference to the drawings. For clarity of explanation, the following description and drawings are omitted and simplified as appropriate. Specific numerical values and the like shown in the following embodiments are merely examples for facilitating understanding of the invention, and are not limited thereto unless otherwise specified. Note that, in each drawing, the same element is denoted by the same reference numeral, and redundant description is omitted as necessary.

また、様々な処理を行う機能ブロックとして図面に記載される各要素は、ハードウェア的には、CPU、メモリ、その他の回路で構成することができ、ソフトウェア的には、メモリにロードされたプログラムなどによって実現される。したがって、これらの機能ブロックがハードウェアのみ、ソフトウェアのみ、またはそれらの組合せによっていろいろな形で実現できることは当業者には理解されるところであり、いずれかに限定されるものではない。   Each element described in the drawings as a functional block for performing various processes can be configured by a CPU, a memory, and other circuits in terms of hardware, and a program loaded in the memory in terms of software. Etc. Therefore, it is understood by those skilled in the art that these functional blocks can be realized in various forms by hardware only, software only, or a combination thereof, and is not limited to any one.

実施の形態は、ロジックビルトインセルフテスト(Logic Built-In Self Test:LBIST)を実行可能な半導体装置、これに用いられるテストポイント回路及びこの半導体装置の設計装置に関する。まず、図1を参照して、LBISTを実行可能な半導体装置について説明する。図1は、LBISTを実行可能な半導体装置の一例を示す図である。以下の説明では、テスト対象の組合せ回路をDUT(Design Under Test)と称する。   The embodiment relates to a semiconductor device capable of executing a logic built-in self test (LBIST), a test point circuit used for the semiconductor device, and a design apparatus for the semiconductor device. First, a semiconductor device capable of executing LBIST will be described with reference to FIG. FIG. 1 is a diagram illustrating an example of a semiconductor device capable of executing LBIST. In the following description, the combinational circuit to be tested is referred to as DUT (Design Under Test).

図1に示すように、半導体装置1は、DUT2、擬似乱数生成器(Pseudo Random Pattern Generator:以下、PRPGとする)3、応答圧縮器(Multiple Input Signature Register:以下、MISRとする)4、LBISTコントローラ5、スキャンフリップフロップ(以下、SFFとする)10を備える。   As shown in FIG. 1, the semiconductor device 1 includes a DUT 2, a pseudo random number generator (Pseudo Random Pattern Generator: hereinafter referred to as PRPG) 3, a response compressor (Multiple Input Signature Register: hereinafter referred to as MISR) 4, an LBIST. A controller 5 and a scan flip-flop (hereinafter referred to as SFF) 10 are provided.

半導体装置1では、複数のSFF10がシリアルに接続されることにより、複数のスキャンチェインが構築される。図1に示す例では、1つのスキャンチェインにそれぞれ5つのSFF10が接続されている。SFF10は、スキャンシフト動作とキャプチャ動作とを切り替え可能なシフト用スキャンフリップフロップである。SFF10は、MUX(マルチプレクサ)11、FF(フリップフロップ)12、データ入力端子DATA、スキャンイン端子SIN、制御入力端子SMC、クロック端子CLK、データ出力端子Qを有している。   In the semiconductor device 1, a plurality of scan chains are constructed by serially connecting a plurality of SFFs 10. In the example shown in FIG. 1, five SFFs 10 are connected to one scan chain. The SFF 10 is a shift scan flip-flop that can switch between a scan shift operation and a capture operation. The SFF 10 includes a MUX (multiplexer) 11, an FF (flip-flop) 12, a data input terminal DATA, a scan-in terminal SIN, a control input terminal SMC, a clock terminal CLK, and a data output terminal Q.

MUX11は、スキャンイン端子SINから入力されるテスト信号と、データ入力端子DATAから入力されるDUT2からの演算結果とを受信する。MUX11は、制御入力端子SMCから入力されるスキャンイネーブル信号(scan−enable)に応じて、スキャンシフト動作とキャプチャ動作とを切り替える。すなわち、スキャンイネーブル信号は、スキャンシフト動作とキャプチャ動作とを切り替える制御信号である。   The MUX 11 receives the test signal input from the scan-in terminal SIN and the calculation result from the DUT 2 input from the data input terminal DATA. The MUX 11 switches between a scan shift operation and a capture operation according to a scan enable signal (scan-enable) input from the control input terminal SMC. That is, the scan enable signal is a control signal for switching between a scan shift operation and a capture operation.

図1に示す例では、スキャンイネーブル信号がハイ(1)の時にスキャンイン端子SINが有効となる。また、スキャンイネーブル信号がロウ(0)の時に、データ入力端子DATAが有効となる。FF12は、クロック端子CLKから入力されるクロック信号(clock1又はclock2)に応じて、MUX11から出力される値を取り込み、データ出力端子Qから後段のSFF10のスキャンイン端子SINに出力する。なお、図1では、各スキャンチェインに供給されるクロック信号が異なる例が示されているが、同じであってもよい。   In the example shown in FIG. 1, the scan-in terminal SIN is valid when the scan enable signal is high (1). Further, when the scan enable signal is low (0), the data input terminal DATA becomes valid. The FF 12 takes in a value output from the MUX 11 according to a clock signal (clock 1 or clock 2) input from the clock terminal CLK, and outputs the value from the data output terminal Q to the scan-in terminal SIN of the SFF 10 in the subsequent stage. Although FIG. 1 shows an example in which the clock signals supplied to each scan chain are different, they may be the same.

複数のスキャンチェインの入力側には、PRPG3が接続される。PRPG3は、LBISTにおけるロードデータ(テスト信号)を生成し、スキャンチェインに供給する。SFF10は、スキャンシフト動作期間において、スキャンイン端子SINから入力されるテスト信号を後段のSFF10に出力する。スキャンチェインをシフト動作させることによって、任意のテストパターンが各SFF10に設定される。   The PRPG 3 is connected to the input side of the plurality of scan chains. The PRPG 3 generates load data (test signal) in LBIST and supplies it to the scan chain. The SFF 10 outputs a test signal input from the scan-in terminal SIN to the subsequent SFF 10 during the scan shift operation period. An arbitrary test pattern is set in each SFF 10 by shifting the scan chain.

キャプチャ動作では、シフト動作期間において各SFF10に設定された値がDUT2に供給され、DUT2での演算結果が各SFF10にキャプチャされる。複数のスキャンチェインの出力側には、MISR4が接続されている。MISR4は、キャプチャ動作後、複数のスキャンチェインからのアンロードデータを圧縮する。この圧縮結果は「シグネチャ(signature)」と呼ばれる。また、MISR4が圧縮したシグネチャは、POST動作時には回路内の制御回路で、製造良品テスト時には外部テスタで期待値と比較され、故障の有無が判定される。   In the capture operation, the value set in each SFF 10 in the shift operation period is supplied to the DUT 2, and the operation result in the DUT 2 is captured in each SFF 10. The MISR 4 is connected to the output side of the plurality of scan chains. The MISR 4 compresses unload data from a plurality of scan chains after the capture operation. This compression result is called a “signature”. The signature compressed by the MISR 4 is compared with an expected value by a control circuit in the circuit at the time of POST operation and by an external tester at the time of a non-defective product test to determine the presence or absence of a failure.

PRPG3、MISR4には、LBISTコントローラ5が接続されている。LBISTコントローラ5、PRPG3、MISR4には、それぞれクロック信号tckが供給される。LBISTコントローラ5は、PRPG3に初期値を供給する。PRPG3は、クロック信号tckに同期して、LBISTコントローラ5から供給される初期値に基づき、ロードデータを生成する。なお、PRPG3の初期値は、外部から供給されるテストデータ入力信号tdiにより任意に書き換えが可能である。   The LBIST controller 5 is connected to the PRPG 3 and the MISR 4. The LBIST controller 5, PRPG3, and MISR4 are each supplied with a clock signal tck. The LBIST controller 5 supplies an initial value to the PRPG 3. The PRPG 3 generates load data based on the initial value supplied from the LBIST controller 5 in synchronization with the clock signal tck. The initial value of PRPG3 can be arbitrarily rewritten by a test data input signal tdi supplied from the outside.

また、LBISTコントローラ5は、MISR4で圧縮された圧縮結果をテストデータ出力信号tdoとして外部テスタに出力する。任意の時間のテスト実行後に、外部テスタで圧縮結果を期待値と照合することにより、DUTの故障の有無が判定される。   The LBIST controller 5 outputs the compression result compressed by the MISR 4 to the external tester as a test data output signal tdo. After the execution of the test for an arbitrary time, the presence or absence of a DUT failure is determined by comparing the compression result with an expected value using an external tester.

半導体装置1には、乱数印加時に回路内の故障の検出確率が高くなるようにテストポイント回路の挿入が行われる。ここで、テストポイント回路とは、テスト対象回路中の信号線や端子の可制御性や可観測性を向上させることを目的として挿入される論理回路である。   A test point circuit is inserted into the semiconductor device 1 so that the probability of detecting a failure in the circuit increases when a random number is applied. Here, the test point circuit is a logic circuit inserted for the purpose of improving the controllability and observability of signal lines and terminals in the test target circuit.

「可制御性」とは、DUTの内部状態が外部端子からどの程度容易にコントロールできるかを表す尺度である。具体的には、「可制御性」は、DUTに含まれる任意の信号線又は端子の値を0又は1に設定するために論理値を設定しなければならない信号線数の最小値をいう。「可観測性」とは、DUTの内部状態がどの程度容易に外部端子で観測できるか表す尺度である。具体的には、信号を観測点に伝搬させるために、論理値を設定しなければならない信号線数の最小値をいう。   “Controllability” is a measure representing how easily the internal state of a DUT can be controlled from an external terminal. Specifically, “controllability” refers to the minimum value of the number of signal lines that must be set to a logical value in order to set the value of any signal line or terminal included in the DUT to 0 or 1. “Observability” is a measure representing how easily the internal state of a DUT can be observed at an external terminal. Specifically, it means the minimum value of the number of signal lines for which a logical value must be set in order to propagate a signal to an observation point.

テスト対象回路にテストポイント回路を挿入することにより、LBISTにおいて乱数印加時にDUT内の故障検出率を高くすることができる。ここで、可制御性を改善する目的で挿入されるテストポイント回路を、制御用テストポイント回路とする。制御用テストポイント回路は、DUT中の信号線の値を0又は1に設定可能な制御点として機能する論理回路である。制御用テストポイント回路は、例えば、ANDゲート、ORゲート等の組合せ回路や、外部入力端子、フリップフロップ等を含む。   By inserting a test point circuit into the circuit to be tested, the failure detection rate in the DUT can be increased when a random number is applied in LBIST. Here, a test point circuit inserted for the purpose of improving controllability is referred to as a control test point circuit. The control test point circuit is a logic circuit that functions as a control point that can set the value of the signal line in the DUT to 0 or 1. The control test point circuit includes, for example, a combinational circuit such as an AND gate and an OR gate, an external input terminal, a flip-flop, and the like.

また、可観測性を改善する目的で挿入されるテストポイント回路を観測用テストポイント回路とする。観測用テストポイント回路は、DUTの演算結果を観測できる観測点として機能する。観測用テストポイント回路は、外部出力端子やSFFが該当する。なお、以下では、テストポイントをTPと略記する場合がある。また、テスタビリティ(可検査性、テスト容易性)の向上のためにDUTの信号線にTP回路を挿入することをTPI(Test Point Insertion)と略記する場合がある。   A test point circuit inserted for the purpose of improving observability is defined as an observation test point circuit. The observation test point circuit functions as an observation point capable of observing the calculation result of the DUT. The observation test point circuit corresponds to an external output terminal or SFF. Hereinafter, the test point may be abbreviated as TP. Further, in order to improve testability (inspectability, testability), inserting a TP circuit into a signal line of a DUT may be abbreviated as TPI (Test Point Insertion).

図2は、制御用TP回路の挿入について説明する図である。図2に示す例では、破線で示すように、制御用TP回路として、1の値の制御確率が低い信号線に対して、ANDゲート、ORゲート、制御用FFが挿入されている。制御用FFからの値の伝搬で、ANDゲート及びORゲートの挿入された部分を1に設定する確率を上げることができ、故障検出率を向上させることが可能となる。   FIG. 2 is a diagram for explaining insertion of the control TP circuit. In the example shown in FIG. 2, as indicated by a broken line, an AND gate, an OR gate, and a control FF are inserted as a control TP circuit with respect to a signal line having a low control probability of a value of 1. Propagation of the value from the control FF can increase the probability of setting the portion where the AND gate and the OR gate are inserted to 1, thereby improving the failure detection rate.

図3は、観測用TP回路の挿入について説明する図である。図3に示す例では、破線で示すように、観測用TP回路として、終点FFまで故障の伝搬確率が低い部分に観測用FFが挿入される。観測用FFをファンアウトで接続することで、故障を観測用FFで観測することができ、故障検出率を向上させることが可能となる。   FIG. 3 is a diagram for explaining the insertion of the observation TP circuit. In the example shown in FIG. 3, as shown by the broken line, the observation FF is inserted in the portion where the failure propagation probability is low up to the end point FF as the observation TP circuit. By connecting the observation FFs by fan-out, the failure can be observed by the observation FF, and the failure detection rate can be improved.

上述の通り、LBISTにおいて、故障検出率を高くするためには大量のTPIが必要となり、面積OHが大きくなるという問題がある。本発明者らは、このような問題を鑑みて、挿入されるTP数又はLBIST実行時間を削減するため、LBISTにおいて、クロックシーケンシャルテスト(マルチサイクルテスト)を適用することを考案した。   As described above, in LBIST, in order to increase the failure detection rate, a large amount of TPI is required, and there is a problem that the area OH is increased. In view of such a problem, the present inventors devised applying a clock sequential test (multi-cycle test) in LBIST in order to reduce the number of inserted TPs or LBIST execution time.

クロックシーケンシャルテストは、1回のキャプチャ動作期間に複数のキャプチャクロックが入力され、複数のキャプチャクロックでDUT2によるテスト信号の応答をキャプチャするスキャンテストである。ここで、図4、5を参照して、単一クロックによるスキャンテストと、複数クロックによるクロックシーケンシャルテストの動作波形について説明する。   The clock sequential test is a scan test in which a plurality of capture clocks are input during one capture operation period and the response of the test signal by the DUT 2 is captured by the plurality of capture clocks. Here, with reference to FIGS. 4 and 5, operation waveforms of a scan test using a single clock and a clock sequential test using a plurality of clocks will be described.

図4、5において、スキャンシフト動作期間におけるテスト信号のシフトと、キャプチャ動作期間における演算結果の取り込みは、テストクロック(test clock)のクロックエッジに同期して行われる。なお、以下の説明において、キャプチャ動作期間におけるテストクロックを、「キャプチャクロック」と称する。   4 and 5, the shift of the test signal during the scan shift operation period and the capture of the calculation result during the capture operation period are performed in synchronization with the clock edge of the test clock (test clock). In the following description, the test clock in the capture operation period is referred to as “capture clock”.

図4は、1回のキャプチャ動作期間に単一のクロックを印加する、単一縮退故障モデルに対するスキャンテストの動作波形例を示す図である。スキャンイネーブル信号がロウ(0)の時に、データ入力端子DATAから入力されるDUT2の演算結果がキャプチャされる。図4に示す例では、1回のキャプチャ動作期間に、1回のキャプチャクロックのみが入力される。   FIG. 4 is a diagram illustrating an example of operation waveforms of a scan test for a single stuck-at fault model in which a single clock is applied during one capture operation period. When the scan enable signal is low (0), the operation result of DUT2 input from the data input terminal DATA is captured. In the example shown in FIG. 4, only one capture clock is input during one capture operation period.

図5は、1回のキャプチャ動作期間に複数のクロックを印加する、クロックシーケンシャルテストの動作波形例を示す図である。図5に示す例では、1回のキャプチャ動作期間に、3回のキャプチャクロックが印加されている。図5において、図4と同様に、スキャンイネーブル信号がロウ(0)の時に、データ入力端子DATAから入力されるDUT2の演算結果がキャプチャされる。   FIG. 5 is a diagram illustrating an example of operation waveforms of a clock sequential test in which a plurality of clocks are applied during one capture operation period. In the example shown in FIG. 5, three capture clocks are applied during one capture operation period. In FIG. 5, as in FIG. 4, when the scan enable signal is low (0), the operation result of DUT2 input from the data input terminal DATA is captured.

このように、クロックシーケンシャルテストでは、1回のキャプチャ動作期間において、複数のキャプチャクロックでDUT2の演算結果をキャプチャすることができる。これにより、1回のキャプチャ動作期間に故障を活性化させる範囲を広げ、検出可能な故障数を増やすことができる。このため、目標故障検出率の達成に必要な挿入TP数の削減又はLBIST実行時間の削減を図ることが可能となる。   As described above, in the clock sequential test, the operation result of the DUT 2 can be captured with a plurality of capture clocks in one capture operation period. As a result, it is possible to expand the range in which failures are activated during one capture operation period and increase the number of detectable failures. Therefore, it is possible to reduce the number of inserted TPs necessary for achieving the target failure detection rate or to reduce the LBIST execution time.

図3において説明した観測用TP回路として用いられる観測用FFは、図1において説明したSFFと同じ構成を有しており、クロックシーケンシャルテストを想定していない。本発明者らは、クロックシーケンシャルテストの効果をより向上するために、クロックシーケンシャルテストを考慮したテストポイント回路を考案した。   The observation FF used as the observation TP circuit described in FIG. 3 has the same configuration as the SFF described in FIG. 1, and does not assume a clock sequential test. In order to further improve the effect of the clock sequential test, the present inventors have devised a test point circuit in consideration of the clock sequential test.

実施の形態に係るテストポイント回路は、LSIのスキャンテストを実行することが可能なスキャンチェインを構成し、クロックシーケンシャルテストを行うものである。実施の形態に係るテストポイント回路は、テストポイント挿入による面積オーバーヘッドの増大を抑制し、テスト時間を短縮しつつ、故障検出率を高くすることが可能な論理構造を有する。具体的には、実施の形態に係るテストポイント回路は、クロックシーケンシャルテストの1回のキャプチャ動作期間において、第1キャプチャクロックで、前段のテストポイント回路又は最後段のテストポイント回路がキャプチャした第1演算結果を、第1キャプチャクロックの後の第2キャプチャクロックで取り込む。   The test point circuit according to the embodiment constitutes a scan chain capable of executing an LSI scan test, and performs a clock sequential test. The test point circuit according to the embodiment has a logic structure that can suppress an increase in area overhead due to test point insertion, shorten a test time, and increase a failure detection rate. Specifically, the test point circuit according to the embodiment captures the first capture point captured by the previous test point circuit or the last test point circuit with the first capture clock in one capture operation period of the clock sequential test. The calculation result is captured by the second capture clock after the first capture clock.

実施の形態のテストポイント回路を用いた半導体装置は、例えば、国際規格ISO26262準拠のPower-on Self-Test(POST)を実装した製品に適用可能である。また、この半導体装置は、量産テスト工程における、LBIST適用時のテストコスト削減を図りたい製品や、LBISTを実装する設計装置(EDA(electronic design automation)ツール)等にも適用することが可能である。   The semiconductor device using the test point circuit according to the embodiment can be applied to, for example, a product on which a power-on self-test (POST) compliant with the international standard ISO26262 is mounted. In addition, this semiconductor device can be applied to a product that is intended to reduce test costs when applying LBIST in a mass production test process, a design device (EDA (electronic design automation) tool) that implements LBIST, or the like. .

実施の形態1.
実施の形態1に係るテストポイント回路を挿入した半導体装置について、図6を参照して説明する。図6は、実施の形態1に係る半導体装置1Aの構成を示す図である。図6に示すように、半導体装置1Aは、DUT2、PRPG3、MISR4、LBISTコントローラ5、SFF10、観測用スキャンフリップフロップ(以下、観測用SFFとする)20を備えている。図6においては、観測用SFF20はobsSFFと示されている。なお、観測用SFF20以外の構成については、図1で説明したものと同一のため、詳細な説明は省略する。
Embodiment 1 FIG.
A semiconductor device in which the test point circuit according to the first embodiment is inserted will be described with reference to FIG. FIG. 6 is a diagram showing a configuration of the semiconductor device 1A according to the first embodiment. As shown in FIG. 6, the semiconductor device 1 </ b> A includes a DUT 2, a PRPG 3, a MISR 4, an LBIST controller 5, an SFF 10, and an observation scan flip-flop (hereinafter referred to as an observation SFF) 20. In FIG. 6, the observation SFF 20 is indicated as obsSFF. The configuration other than the observation SFF 20 is the same as that described with reference to FIG.

半導体装置1Aには、複数のスキャンチェインが構築されている。複数のスキャンチェインのうち少なくとも1つのスキャンチェインは、観測用SFF20のみで構成されている。図6に示す例では、1つのスキャンチェインが観測用SFF20のみで構成されており、残りのスキャンチェインはSFF10で構成されている。SFF10で構成されたスキャンチェインは、観測用SFF20のみで構成されたスキャンチェインとは別に構成されている。   A plurality of scan chains are constructed in the semiconductor device 1A. At least one scan chain among the plurality of scan chains is composed of only the observation SFF 20. In the example shown in FIG. 6, one scan chain is configured by only the observation SFF 20, and the remaining scan chains are configured by the SFF 10. The scan chain configured by the SFF 10 is configured separately from the scan chain configured by only the observation SFF 20.

図6では、観測用SFF20により構成されたスキャンチェインを、スキャンチェイン6Aと示している。スキャンチェイン6Aでは、5つの観測用SFF20がシリアルに接続されている。また、他のスキャンチェインでは、それぞれ5つのSFF10がシリアルに接続されている。   In FIG. 6, the scan chain constituted by the observation SFF 20 is indicated as a scan chain 6A. In the scan chain 6A, five observation SFFs 20 are serially connected. In other scan chains, five SFFs 10 are connected in series.

観測用SFF20は、可観測性を改善する目的で挿入される、クロックシーケンシャルテストの効率向上効果のある観測用テストポイント回路である。観測用SFF20は、スキャンインされるテスト信号に応じて演算結果を出力するDUT2中に挿入される。観測用SFF20は、XORゲート21、MUX22、FF23、データ入力端子DATA、スキャンイン端子SIN、制御入力端子SMC、クロック端子CLK、データ出力端子Q、を有している。すなわち、観測用SFF20は、SFF10と同様の入出力構成を有している。   The observation SFF 20 is an observation test point circuit inserted for the purpose of improving the observability and having an effect of improving the efficiency of the clock sequential test. The observation SFF 20 is inserted into the DUT 2 that outputs a calculation result in accordance with the test signal to be scanned in. The observation SFF 20 has an XOR gate 21, MUX 22, FF 23, a data input terminal DATA, a scan-in terminal SIN, a control input terminal SMC, a clock terminal CLK, and a data output terminal Q. That is, the observation SFF 20 has the same input / output configuration as the SFF 10.

観測用SFF20の出力が、後段の観測用SFF20のスキャンイン端子SINに接続され、スキャンチェイン6Aが構成される。観測用SFF20は、スキャンシフト動作とキャプチャ動作とを切り替え可能である。   The output of the observation SFF 20 is connected to the scan-in terminal SIN of the subsequent observation SFF 20, and the scan chain 6A is configured. The observation SFF 20 can switch between a scan shift operation and a capture operation.

観測用SFF20は、1回のキャプチャ動作期間において、複数のキャプチャクロックのそれぞれでDUT2からの演算結果を取り込む。例えば、1回のキャプチャ動作期間に印加される最初キャプチャクロックを第1キャプチャクロックとし、第1キャプチャクロックに続くキャプチャクロックを第2キャプチャクロックとする。また、第1キャプチャクロックで取り込まれるDUT2からの演算結果を第1演算結果とする。1回のキャプチャ動作期間における、1つのキャプチャクロックパルス動作を行う期間をキャプチャサイクルとする。   The observation SFF 20 takes in the calculation result from the DUT 2 at each of a plurality of capture clocks in one capture operation period. For example, a first capture clock applied during one capture operation period is a first capture clock, and a capture clock subsequent to the first capture clock is a second capture clock. In addition, the calculation result from the DUT 2 captured by the first capture clock is set as the first calculation result. A period in which one capture clock pulse operation is performed in one capture operation period is defined as a capture cycle.

なお、第1キャプチャクロックは、1回のキャプチャ動作期間に最初に印加されるキャプチャクロックではなく、その後に印加されるものであってもよい。第2キャプチャクロックは、1回のキャプチャ動作期間において、第1キャプチャクロックの後に印加されるものであればよい。   Note that the first capture clock may be applied after the capture clock is not applied first during one capture operation period. The second capture clock only needs to be applied after the first capture clock in one capture operation period.

データ入力端子DATAには、キャプチャ動作期間に、DUT2からの演算結果が入力される。スキャンイン端子SINには、スキャンシフト動作期間にテスト信号が入力され、キャプチャ動作期間にスキャンチェインの前段の観測用SFF20が第1キャプチャクロックでキャプチャした第1演算結果が入力される。   The data input terminal DATA receives the calculation result from the DUT 2 during the capture operation period. A test signal is input to the scan-in terminal SIN during the scan shift operation period, and a first calculation result captured by the observation SFF 20 in the previous stage of the scan chain with the first capture clock is input during the capture operation period.

XORゲート21は、スキャンイン端子SINから入力される信号と、データ入力端子DATAから入力される信号とを受信する。また、XORゲート21は、データ入力端子DATAから入力されたDUT2からの演算結果と、スキャンイン端子SINから入力されたスキャンチェインの前段の観測用SFF20が第1キャプチャクロックでキャプチャした第1演算結果との排他論理和を出力する。   The XOR gate 21 receives a signal input from the scan-in terminal SIN and a signal input from the data input terminal DATA. Further, the XOR gate 21 calculates the calculation result from the DUT 2 input from the data input terminal DATA and the first calculation result captured by the observation SFF 20 in the previous stage of the scan chain input from the scan-in terminal SIN with the first capture clock. And the exclusive OR.

MUX22は、スキャンイン端子SINから入力される信号と、XORゲート21から出力される信号とを受信する。MUX22は、制御入力端子SMCから入力されるスキャンイネーブル信号に応じて、スキャンシフト動作とキャプチャ動作とを切り替える。図6に示す例では、MUX22は、スキャンイネーブル信号がハイ(1)の時にスキャンシフト動作となり、スキャンイン端子SINからの入力が有効となる。また、MUX22は、スキャンイネーブル信号がロウ(0)の時にキャプチャ動作となり、XORゲート21からの出力が有効となる。   The MUX 22 receives a signal input from the scan-in terminal SIN and a signal output from the XOR gate 21. The MUX 22 switches between a scan shift operation and a capture operation according to a scan enable signal input from the control input terminal SMC. In the example shown in FIG. 6, the MUX 22 performs a scan shift operation when the scan enable signal is high (1), and the input from the scan-in terminal SIN becomes valid. The MUX 22 performs a capture operation when the scan enable signal is low (0), and the output from the XOR gate 21 is valid.

FF23は、クロック端子CLKから入力されるクロック信号(clock2)に応じて、MUX22から出力される値を取り込み、データ出力端子Qから後段の観測用SFF20のスキャンイン端子SINに出力する。スキャンシフト動作期間には、FF23は、テスト信号を取り込む。また、キャプチャ動作期間には、FF23は、第1キャプチャクロックに続く第2キャプチャクロックで、MUX22が出力する排他論理和を取り込む。すなわち、スキャンチェイン6Aの前段の観測用SFF20が第1キャプチャクロックでキャプチャした第1演算結果は、第1キャプチャクロックの後の第2キャプチャクロックで後段の観測用SFF20に伝搬される。   The FF 23 takes in the value output from the MUX 22 according to the clock signal (clock 2) input from the clock terminal CLK, and outputs the value from the data output terminal Q to the scan-in terminal SIN of the observation SFF 20 at the subsequent stage. During the scan shift operation period, the FF 23 takes in a test signal. Further, during the capture operation period, the FF 23 takes in the exclusive OR output from the MUX 22 with the second capture clock following the first capture clock. That is, the first calculation result captured by the observation SFF 20 in the previous stage of the scan chain 6A with the first capture clock is transmitted to the observation SFF 20 in the subsequent stage with the second capture clock after the first capture clock.

仮に、図6における観測用SFF20の代わりにSFF10で構成した場合、クロックシーケンシャルテストにおいて、第1キャプチャクロックで観測用SFF20のデータ入力端子DATAまで到達した演算結果は、次の第2キャプチャクロックで伝搬先がなく消失してしまう。   If the SFF 10 is used instead of the observation SFF 20 in FIG. 6, the operation result that has reached the data input terminal DATA of the observation SFF 20 by the first capture clock in the clock sequential test is propagated by the next second capture clock. It disappears without a tip.

これに対し、実施の形態1に係る半導体装置1Aでは、1回のキャプチャ動作期間において第1キャプチャクロックで観測用SFF20に到達した演算結果は、次の第2キャプチャクロックでスキャンチェイン6A上の後段の観測用SFF20にXORゲート21を経由して伝搬される。このように、半導体装置1Aでは、観測用SFF20に到達した演算結果を次のキャプチャサイクルで消失させることなく、スキャンチェイン6Aの後段の観測用SFF20に蓄積できる確率を高くすることが可能となる。   On the other hand, in the semiconductor device 1A according to the first embodiment, the calculation result that has reached the observation SFF 20 with the first capture clock in one capture operation period is the subsequent stage on the scan chain 6A with the next second capture clock. To the observation SFF 20 via the XOR gate 21. As described above, in the semiconductor device 1A, it is possible to increase the probability that the calculation result that has reached the observation SFF 20 can be accumulated in the observation SFF 20 in the subsequent stage of the scan chain 6A without being lost in the next capture cycle.

また、観測用SFF20は、前段の観測用SFF20に到達した演算結果の蓄積とともに、各キャプチャサイクルで、観測用SFF20のデータ入力端子DATAからXORゲート21を介してDUT2からの演算結果を取り込むことができる。このため、1回のキャプチャ動作期間に複数のキャプチャクロックを印加した時に、検出可能な故障数が多くなる。これにより、目標故障検出率を達成するのに必要な、挿入されるTP数を少なくすることが可能となる。従って、面積オーバーヘッドの増大を抑制することができる。   Further, the observation SFF 20 stores the calculation results from the DUT 2 via the XOR gate 21 from the data input terminal DATA of the observation SFF 20 in each capture cycle, together with the accumulation of the calculation results that have reached the previous observation SFF 20. it can. For this reason, when a plurality of capture clocks are applied in one capture operation period, the number of detectable failures increases. This makes it possible to reduce the number of inserted TPs necessary to achieve the target failure detection rate. Therefore, an increase in area overhead can be suppressed.

また、図6の半導体装置1Aにおいてクロックシーケンシャルテストを実行することで、1回のキャプチャ動作期間で多くの故障を検出できるようになり、結果としてテスト時間を削減することが可能となる。なお、スキャンテストでは、スキャンシフト動作時間がテスト時間の大半を占めるため、キャプチャ動作期間のキャプチャクロック数を増やしてもテスト時間への影響は殆どない。   In addition, by executing the clock sequential test in the semiconductor device 1A of FIG. 6, many failures can be detected in one capture operation period, and as a result, the test time can be reduced. In the scan test, since the scan shift operation time occupies most of the test time, increasing the number of capture clocks in the capture operation period has little effect on the test time.

ここで、図7を参照して、テスト時間の削減効果について説明する。図7は、テスト時間に対する故障検出率を示す図である。図7において、横軸はテスト時間を示しており、縦軸は故障検出率を示している。また、図7において、実線は実施の形態に係る観測用SFF20を用いた半導体装置1Aの結果を示しており、破線は図6の観測用SFF20の代わりにSFF10で構成した場合の半導体装置の結果を示している。   Here, the effect of reducing the test time will be described with reference to FIG. FIG. 7 is a diagram illustrating the failure detection rate with respect to the test time. In FIG. 7, the horizontal axis indicates the test time, and the vertical axis indicates the failure detection rate. In FIG. 7, the solid line indicates the result of the semiconductor device 1A using the observation SFF 20 according to the embodiment, and the broken line indicates the result of the semiconductor device in the case where the SFF 10 is configured instead of the observation SFF 20 of FIG. Is shown.

なお、図7に示す例では、1回のキャプチャ動作期間において10回のキャプチャクロックを印加するクロックシーケンシャルテストを適用した。図7に示すように、SFF10を用いた場合と比較すると、観測用SFF20を用いることにより、目標故障検出率の到達に必要なテスト時間を半分以下に抑えることが可能となった。   In the example shown in FIG. 7, a clock sequential test is applied in which 10 capture clocks are applied in one capture operation period. As shown in FIG. 7, compared to the case where the SFF 10 is used, the use of the observation SFF 20 makes it possible to reduce the test time required to reach the target failure detection rate to half or less.

以上説明したように、実施の形態によれば、特別なテスト回路の追加することなく、観測用SFF20によるスキャンチェインの構成により、低面積OHでクロックシーケンシャルテストの効率向上を実現することができる。   As described above, according to the embodiment, the efficiency of the clock sequential test can be improved with a low area OH by the configuration of the scan chain by the observation SFF 20 without adding a special test circuit.

なお、図6に示す半導体装置1AはLBISTを実行することが可能であり、PRPG3、MISR4が実装されているが、この例に限定されるものではない。クロックシーケンシャルテストは、特にLBISTにおいて、挿入されるTP数の削減又はLBIST実行時間の削減という高い効果を発揮するが、圧縮スキャンにおいても一定の効果を有する。   Note that the semiconductor device 1A illustrated in FIG. 6 can execute LBIST and is mounted with PRPG3 and MISR4, but is not limited to this example. The clock sequential test exhibits a high effect of reducing the number of inserted TPs or reducing the LBIST execution time particularly in LBIST, but also has a certain effect in compressed scanning.

圧縮スキャンは、テストデータ量を減らすテスト容易化設計(Design For Testability:DFT)の一例である。圧縮スキャンでは、外部端子数よりも多くのスキャンチェインを内部に構築することで、スキャンチェイン1本辺りのSFFの段数を削減する。そして、外部入力端子から供給した値を、伸張器を経由して内部のスキャンチェイン本数分に展開し、各スキャンFFにテストパターンを印加する。また、スキャンチェインからの出力を圧縮器で圧縮して外部出力端子で観測する。   A compressed scan is an example of design for testability (DFT) that reduces the amount of test data. In the compressed scan, the number of SFFs per scan chain is reduced by constructing more scan chains inside than the number of external terminals. Then, the value supplied from the external input terminal is expanded to the number of internal scan chains via the decompressor, and a test pattern is applied to each scan FF. The output from the scan chain is compressed by a compressor and observed at an external output terminal.

圧縮スキャンでは、故障検出に必要なスキャンFFの設定値(ケアビット)を少ないシフトサイクル数で供給し、また、少ないシフトサイクル数で各スキャンFFの観測を行うことができる。このため、外部入出力端子1ビット辺りの故障検出数を増やし、テストデータ量を削減することが可能となる。実施の形態に係る観測用SFF20は、圧縮スキャンテストを実行可能な半導体装置にも適用することもできる。   In the compression scan, scan FF setting values (care bits) necessary for failure detection can be supplied with a small number of shift cycles, and each scan FF can be observed with a small number of shift cycles. For this reason, it is possible to increase the number of faults detected per bit of the external input / output terminal and reduce the amount of test data. The observation SFF 20 according to the embodiment can also be applied to a semiconductor device capable of executing a compression scan test.

圧縮スキャンを実行可能な半導体装置では、図6のPRPG3、MISR4の代わりに、外部から制御・観測可能な伸張器、圧縮器がそれぞれ接続される。圧縮スキャンを実行可能な半導体装置においても、図6に示す構成と同様の構成をとることにより、クロックシーケンシャルテストの効率を向上することができる。   In a semiconductor device capable of executing a compression scan, an expander and a compressor that can be controlled and observed from the outside are connected instead of PRPG3 and MISR4 in FIG. Even in a semiconductor device capable of executing a compression scan, the efficiency of the clock sequential test can be improved by adopting a configuration similar to the configuration shown in FIG.

なお、図6に示す例では、スキャンチェイン6Aに供給されるクロック信号(clock2)と、他のスキャンチェインに供給されるクロック信号(clock1)とは異なっているが、同じであってもよい。すなわち、SFF10で構成されるスキャンチェインと、観測用SFF20で構成されるスキャンチェインとは、異なるクロックドメインであってもよく、同一のクロックドメインであってもよい。   In the example shown in FIG. 6, the clock signal (clock 2) supplied to the scan chain 6A is different from the clock signal (clock 1) supplied to other scan chains, but they may be the same. That is, the scan chain configured by the SFF 10 and the scan chain configured by the observation SFF 20 may be different clock domains or the same clock domain.

図8に、観測用SFF20の他の例を示す。図8は、実施の形態1に係る他のテストポイント回路30の構成を示す図である。観測用SFF30は、図6の半導体装置1Aの観測用SFF20の代わりに用いられる。図8に示すように、観測用SFF30は、NORゲート31、XORゲート32、FF33、データ入力端子DATA、スキャンイン端子SIN、制御入力端子SMC、クロック端子CLK、データ出力端子Qを有している。   FIG. 8 shows another example of the observation SFF 20. FIG. 8 is a diagram showing a configuration of another test point circuit 30 according to the first embodiment. The observation SFF 30 is used instead of the observation SFF 20 of the semiconductor device 1A of FIG. As shown in FIG. 8, the observation SFF 30 has a NOR gate 31, an XOR gate 32, an FF 33, a data input terminal DATA, a scan-in terminal SIN, a control input terminal SMC, a clock terminal CLK, and a data output terminal Q. .

図6のスキャンチェイン6Aと同様に、複数の観測用SFF30が1つのスキャンチェインを構成する。また、半導体装置1A中の複数のスキャンチェインのうち、少なくとも1つのスキャンチェインが観測用SFF30のみにより構成される。   Similar to the scan chain 6A of FIG. 6, a plurality of observation SFFs 30 constitute one scan chain. In addition, at least one of the plurality of scan chains in the semiconductor device 1A is configured by only the observation SFF 30.

観測用SFF30は、観測用SFF20と同様に、1回のキャプチャ動作期間において、複数のキャプチャクロックのそれぞれでDUT2からの演算結果を取り込む。データ入力端子DATAには、キャプチャ動作期間にDUT2からの演算結果が入力される。スキャンイン端子SINには、スキャンシフト動作期間にテスト信号が入力され、キャプチャ動作期間にスキャンチェインの前段の観測用SFF20が第1キャプチャクロックでキャプチャした第1演算結果が入力される。   Similar to the observation SFF 20, the observation SFF 30 captures the calculation result from the DUT 2 at each of the plurality of capture clocks in one capture operation period. An operation result from the DUT 2 is input to the data input terminal DATA during the capture operation period. A test signal is input to the scan-in terminal SIN during the scan shift operation period, and a first calculation result captured by the observation SFF 20 in the previous stage of the scan chain with the first capture clock is input during the capture operation period.

制御入力端子SMCには、スキャンシフト動作とキャプチャ動作とを切り替える制御信号であるスキャンイネーブル信号が入力される。NORゲート31は、キャプチャ動作期間において、制御入力端子SMCから入力されるスキャンイネーブル信号と、データ入力端子DATAから入力される信号とを受信して、否定論理和を出力する。XORゲート32は、スキャンイン端子SINに入力された第1演算結果と、NORゲート31からの否定論理和とを受信し、排他論理和を出力する。   A scan enable signal that is a control signal for switching between a scan shift operation and a capture operation is input to the control input terminal SMC. In the capture operation period, the NOR gate 31 receives the scan enable signal input from the control input terminal SMC and the signal input from the data input terminal DATA, and outputs a negative logical sum. The XOR gate 32 receives the first operation result input to the scan-in terminal SIN and the negative logical sum from the NOR gate 31, and outputs an exclusive logical sum.

FF33は、クロック端子CLKから入力されるクロック信号に応じて、XORゲート32から出力される値を取り込み、データ出力端子Qから後段の観測用SFF20のスキャンイン端子SINに出力する。キャプチャ動作期間には、FF33は、第1キャプチャクロックに続く第2キャプチャクロックで、XORゲート32が出力する排他論理和を取り込む。   The FF 33 takes in the value output from the XOR gate 32 according to the clock signal input from the clock terminal CLK, and outputs it from the data output terminal Q to the scan-in terminal SIN of the observation SFF 20 in the subsequent stage. During the capture operation period, the FF 33 takes in the exclusive OR output from the XOR gate 32 at the second capture clock following the first capture clock.

スキャンシフト動作期間(スキャンイネーブル信号=1)では、データ入力端子DATAの値は遮断され、スキャンイン端子SINから入力されるテスト信号がFF33に取り込まれる。キャプチャ動作期間(スキャンイネーブル信号=0)では、データ入力端子DATAの値は反転してスルーされる。XORゲート32には、データ入力端子DATAの値の反転値とスキャンイン端子SINの値を受信する。FF33は、クロック端子CLKに応じてXORゲート32の出力値を取り込む。観測用SFF30のFF33は、取り込む値が反転する以外は、観測用SFF20のFF23と同等の機能を有する。   In the scan shift operation period (scan enable signal = 1), the value of the data input terminal DATA is cut off, and the test signal input from the scan-in terminal SIN is taken into the FF 33. In the capture operation period (scan enable signal = 0), the value of the data input terminal DATA is inverted and passed. The XOR gate 32 receives the inverted value of the data input terminal DATA and the value of the scan-in terminal SIN. The FF 33 takes in the output value of the XOR gate 32 according to the clock terminal CLK. The FF 33 of the observation SFF 30 has the same function as the FF 23 of the observation SFF 20 except that the value to be captured is inverted.

観測用SFF30を使用した場合、キャプチャ動作期間には、データ入力端子DATAから入力される値の反転値がXORゲート32を経由してFF33に取り込まれる。観測用SFF30でデータ入力端子DATAの入力の反転値を取り込む場合でも、スキャンテスト動作、通常のユーザ動作への影響はない。   When the observation SFF 30 is used, the inverted value of the value input from the data input terminal DATA is taken into the FF 33 via the XOR gate 32 during the capture operation period. Even when the inverted value of the input of the data input terminal DATA is captured by the observation SFF 30, there is no influence on the scan test operation and the normal user operation.

クロックシーケンシャルテストでは、スキャンチェイン6Aの前段の観測用SFF20が第1キャプチャクロックでキャプチャした第1演算結果は、第1キャプチャクロックの後の第2キャプチャクロックで後段の観測用SFF20に伝搬される。これにより、低面積OHでクロックシーケンシャルテストの効率向上を実現することができる。また、観測用SFF30の構成は、観測用SFF20の構成と比較すると、回路面積、遅延が小さい。このため、観測用テストポイント回路として観測用SFF30を用いることで、面積OHをさらに抑制することができるとともに、動作速度低下を抑えることが可能となる。   In the clock sequential test, the first calculation result captured by the observation SFF 20 in the previous stage of the scan chain 6A with the first capture clock is transmitted to the observation SFF 20 in the subsequent stage with the second capture clock after the first capture clock. As a result, it is possible to improve the efficiency of the clock sequential test with a low area OH. In addition, the configuration of the observation SFF 30 is smaller in circuit area and delay than the configuration of the observation SFF 20. For this reason, by using the observation SFF 30 as the observation test point circuit, the area OH can be further suppressed, and a decrease in the operation speed can be suppressed.

実施の形態2.
実施の形態2に係るテストポイント回路を挿入した半導体装置について、図9を参照して説明する。図9は、実施の形態2に係る半導体装置1Bの構成を示す図である。図9に示すように、半導体装置1Bは、DUT2、PRPG3、MISR4、LBISTコントローラ5、SFF10、観測用SFF30、観測用SFF40を備えている。観測用SFF30、観測用SFF40は、可観測性を改善する目的で挿入される、クロックシーケンシャルテストの効率向上効果のある観測用テストポイント回路である。図9においては、観測用SFF30はobsSFFと示され、観測用SFF40はobsSFF2と示されている。
Embodiment 2. FIG.
A semiconductor device in which the test point circuit according to the second embodiment is inserted will be described with reference to FIG. FIG. 9 is a diagram showing a configuration of the semiconductor device 1B according to the second embodiment. As illustrated in FIG. 9, the semiconductor device 1 </ b> B includes a DUT 2, a PRPG 3, a MISR 4, an LBIST controller 5, an SFF 10, an observation SFF 30, and an observation SFF 40. The observation SFF 30 and the observation SFF 40 are observation test point circuits that are inserted for the purpose of improving the observability and have an effect of improving the efficiency of the clock sequential test. In FIG. 9, the observation SFF 30 is indicated as obsSFF, and the observation SFF 40 is indicated as obsSFF2.

半導体装置1Bにおいて、複数のスキャンチェインのうち少なくとも1つのスキャンチェインは、観測用SFF30と観測用SFF40とにより構成されている。図6に示す例では、1つのスキャンチェインが観測用SFF30と観測用SFF40とにより構成されており、残りのスキャンチェインはSFF10で構成されている。図9では、観測用SFF30と観測用SFF40とにより構成されたスキャンチェインを、スキャンチェイン6Bと示している。   In the semiconductor device 1B, at least one of the plurality of scan chains is composed of an observation SFF 30 and an observation SFF 40. In the example shown in FIG. 6, one scan chain is composed of the observation SFF 30 and the observation SFF 40, and the remaining scan chain is composed of the SFF 10. In FIG. 9, the scan chain constituted by the observation SFF 30 and the observation SFF 40 is indicated as a scan chain 6B.

スキャンチェイン6Bでは、最前段に観測用SFF40が接続されており、観測用SFF40の後段に4つの観測用SFF30がシリアルに接続されている。観測用SFF30としては、図8に示した構成のものが用いられる。なお、図9に示す例では観測用SFF30が用いられているが、観測用SFF30の代わりに図6に示した観測用SFF20を用いてもよい。すなわち、スキャンチェイン6Bにおいて、観測用SFF40と、4つの観測用SFF20がシリアルに接続されていてもよい。なお、観測用SFF30、観測用SFF40以外の構成については、図6で説明したものと同一のため、詳細な説明は省略する。   In the scan chain 6B, the observation SFF 40 is connected to the front stage, and four observation SFFs 30 are serially connected to the rear stage of the observation SFF 40. As the observation SFF 30, the one shown in FIG. 8 is used. Although the observation SFF 30 is used in the example shown in FIG. 9, the observation SFF 20 shown in FIG. 6 may be used instead of the observation SFF 30. That is, in the scan chain 6B, the observation SFF 40 and the four observation SFFs 20 may be serially connected. The configurations other than the observation SFF 30 and the observation SFF 40 are the same as those described with reference to FIG.

観測用SFF40の出力は、観測用SFF30のスキャンイン端子SINに接続されている。また、観測用SFF30の出力は、後段の観測用SFF30のスキャンイン端子SIN端子にそれぞれ接続され、スキャンチェイン6Bが構成される。最後段の観測用SFF30の出力は、観測用SFF40のデータ入力端子DATA2に接続される。観測用SFF40、観測用SFF30は、いずれもスキャンシフト動作とキャプチャ動作とを切り替え可能である。観測用SFF40は、観測用SFF30と同様に、1回のキャプチャ動作期間において、複数のキャプチャクロックのそれぞれでDUT2からの演算結果を取り込むことが可能である。   The output of the observation SFF 40 is connected to the scan-in terminal SIN of the observation SFF 30. Further, the output of the observation SFF 30 is connected to the scan-in terminal SIN terminal of the subsequent observation SFF 30 to constitute the scan chain 6B. The output of the last observation SFF 30 is connected to the data input terminal DATA 2 of the observation SFF 40. Both the observation SFF 40 and the observation SFF 30 can switch between the scan shift operation and the capture operation. Similar to the observation SFF 30, the observation SFF 40 can capture the calculation results from the DUT 2 at each of a plurality of capture clocks in one capture operation period.

実施の形態2に係る半導体装置1Bでは、クロックシーケンシャルテストにおいて、最後段の観測用SFF30に伝搬された故障を、スキャンチェイン6B上で保持可能である。図9に示すように、観測用SFF40は、XORゲート41、MUX42、FF43、データ入力端子DATA、データ入力端子DATA2、スキャンイン端子SIN、制御入力端子SMC、クロック端子CLK、データ出力端子Qを有している。   In the semiconductor device 1B according to the second embodiment, the failure propagated to the last observation SFF 30 in the clock sequential test can be held on the scan chain 6B. As shown in FIG. 9, the observation SFF 40 has an XOR gate 41, a MUX 42, an FF 43, a data input terminal DATA, a data input terminal DATA2, a scan-in terminal SIN, a control input terminal SMC, a clock terminal CLK, and a data output terminal Q. doing.

スキャンイン端子SINには、スキャンシフト動作期間にテスト信号が入力される。データ入力端子DATAには、キャプチャ動作期間に、DUT2からの演算結果が入力される。また、データ入力端子DATA2には、キャプチャ動作期間に、最後段の観測用SFF30が第1キャプチャクロックでキャプチャした第1演算結果が入力される。すなわち、観測用SFF40は、2系統のデータ入力端子を有している。   A test signal is input to the scan-in terminal SIN during the scan shift operation period. The data input terminal DATA receives the calculation result from the DUT 2 during the capture operation period. The first calculation result captured by the last observation SFF 30 with the first capture clock during the capture operation period is input to the data input terminal DATA2. That is, the observation SFF 40 has two systems of data input terminals.

XORゲート41は、データ入力端子DATAから入力される信号と、データ入力端子DATA2から入力される信号とを受信する。XORゲート41は、データ入力端子DATAから入力されたDUT2からの演算結果と、データ入力端子DATA2から入力されたスキャンチェインの最後段の観測用SFF30が第1キャプチャクロックでキャプチャした第1演算結果との排他論理和を出力する。   The XOR gate 41 receives a signal input from the data input terminal DATA and a signal input from the data input terminal DATA2. The XOR gate 41 includes the calculation result from the DUT 2 input from the data input terminal DATA, the first calculation result captured by the observation SFF 30 in the last stage of the scan chain input from the data input terminal DATA 2 with the first capture clock, and The exclusive OR of is output.

MUX42は、スキャンイン端子SINから入力される信号と、XORゲート41から出力される信号とを受信する。MUX42は、制御入力端子SMCから入力されるスキャンイネーブル信号に応じて、スキャンシフト動作とキャプチャ動作とを切り替える。図9に示す例では、MUX42は、スキャンイネーブル信号がハイ(1)の時にスキャンシフト動作となり、スキャンイン端子SINからの入力が有効となる。また、MUX42は、スキャンイネーブル信号がロウ(0)の時にキャプチャ動作となり、XORゲート41からの出力が有効となる。   The MUX 42 receives a signal input from the scan-in terminal SIN and a signal output from the XOR gate 41. The MUX 42 switches between the scan shift operation and the capture operation in accordance with the scan enable signal input from the control input terminal SMC. In the example shown in FIG. 9, the MUX 42 performs a scan shift operation when the scan enable signal is high (1), and the input from the scan-in terminal SIN becomes valid. The MUX 42 performs a capture operation when the scan enable signal is low (0), and the output from the XOR gate 41 is valid.

FF43は、クロック端子CLKから入力されるクロック信号(clock2)に応じて、MUX42から出力される値を取り込み、データ出力端子Qから後段の観測用SFF30のスキャンイン端子SINに出力する。   The FF 43 takes in the value output from the MUX 42 in response to the clock signal (clock 2) input from the clock terminal CLK, and outputs it from the data output terminal Q to the scan-in terminal SIN of the observation SFF 30 in the subsequent stage.

スキャンシフト動作期間(スキャンイネーブル信号=1)には、FF43は、スキャンイン端子SINから入力されるテスト信号を取り込む。また、キャプチャ動作期間(スキャンイネーブル信号=0)には、FF43は、第1キャプチャクロックに続く第2キャプチャクロックで、2個のデータ入力端子(DATA、DATA2)の排他論理和を取り込む。すなわち、スキャンチェイン6Bの最後段の観測用SFF30が第1キャプチャクロックでキャプチャした第1演算結果は、第1キャプチャクロックの後の第2キャプチャクロックで最前段の観測用SFF20に伝搬される。   During the scan shift operation period (scan enable signal = 1), the FF 43 takes in a test signal input from the scan-in terminal SIN. Also, during the capture operation period (scan enable signal = 0), the FF 43 takes in the exclusive OR of the two data input terminals (DATA, DATA2) with the second capture clock following the first capture clock. That is, the first calculation result captured by the last observation SFF 30 of the scan chain 6B with the first capture clock is propagated to the foremost observation SFF 20 with the second capture clock after the first capture clock.

このように、実施の形態2に係る半導体装置1Bでは、クロックシーケンシャルテストにおいて1回のキャプチャ動作期間に複数のキャプチャクロックを印加した場合でも、あるキャプチャクロックでスキャンチェイン6Bの最後段の観測用SFF30に取り込まれた故障が、次のキャプチャクロックで最前段の観測用SFF40へ伝搬され、消失しない。   As described above, in the semiconductor device 1B according to the second embodiment, even when a plurality of capture clocks are applied in one capture operation period in the clock sequential test, the last observation SFF 30 of the scan chain 6B is used with a certain capture clock. The failure taken in is propagated to the observation SFF 40 in the forefront stage with the next capture clock and does not disappear.

回路構成の都合上、クロックシーケンシャルテストにおいて、キャプチャ動作期間にMISR4を使用できない場合や圧縮器を経由して外部テスタで観測できない場合がある。このような場合、最後段の観測用SFF30に取り込まれた演算結果は、次のキャプチャクロック出力時に伝搬先がなく消失してしまう。   Due to the circuit configuration, in the clock sequential test, the MISR 4 may not be used during the capture operation period or may not be observed by an external tester via a compressor. In such a case, the calculation result fetched by the last observation SFF 30 disappears without a propagation destination when the next capture clock is output.

実施の形態2のように、図9に示す回路構成を用いると、最後段の観測用SFF30に取り込まれた演算結果は、次キャプチャサイクルのキャプチャクロックで最前段の観測用SFF40へと伝搬でき、消失を防ぐことができる。   As in the second embodiment, when the circuit configuration shown in FIG. 9 is used, the calculation result captured in the last-stage observation SFF 30 can be propagated to the first-stage observation SFF 40 with the capture clock of the next capture cycle. Disappearance can be prevented.

なお、スキャンチェイン6Bにおいて、観測用SFF40の後段に接続される各観測用SFF30は、実施の形態1で説明したように、前段の観測用SFF40又は観測用SFF30が第1キャプチャクロックでキャプチャした第1演算結果を第2キャプチャクロックで取り込む。これにより、クロックシーケンシャルテストの1回のキャプチャ動作で検出可能な故障数を、実施の形態1と比較して増加することが可能となる。結果として、目標故障検出率の達成に必要な挿入されるTP数の削減又はLBIST実行時間の削減を図ることが可能となる。   In the scan chain 6B, each observation SFF 30 connected to the subsequent stage of the observation SFF 40 is the first capture clock captured by the previous observation SFF 40 or the observation SFF 30 using the first capture clock, as described in the first embodiment. One calculation result is captured by the second capture clock. As a result, the number of failures that can be detected by one capture operation of the clock sequential test can be increased as compared with the first embodiment. As a result, it is possible to reduce the number of inserted TPs necessary for achieving the target failure detection rate or reduce the LBIST execution time.

実施の形態3.
実施の形態3に係るテストポイント回路を挿入した半導体装置について、図10を参照して説明する。図10は、実施の形態3に係る半導体装置1Cの構成を示す図である。図10に示すように、半導体装置1Cは、DUT2、PRPG3、MISR4C、LBISTコントローラ5、SFF10、観測用SFF30を備えている。半導体装置1Cでは、クロックシーケンシャルテストにおいて、最後段の観測用SFF30に伝搬された故障を、MISR4Cで保持可能である。
Embodiment 3 FIG.
A semiconductor device in which the test point circuit according to the third embodiment is inserted will be described with reference to FIG. FIG. 10 is a diagram showing a configuration of a semiconductor device 1C according to the third embodiment. As shown in FIG. 10, the semiconductor device 1C includes a DUT 2, a PRPG 3, a MISR 4C, an LBIST controller 5, an SFF 10, and an observation SFF 30. In the semiconductor device 1C, the fault propagated to the last observation SFF 30 in the clock sequential test can be held by the MISR 4C.

半導体装置1Cにおいて、複数のスキャンチェインのうち少なくとも1つのスキャンチェインは、観測用SFF30のみで構成されている。図6に示す例では、1つのスキャンチェインが観測用SFF30のみで構成されており、残りのスキャンチェインはSFF10で構成されている。図10では、観測用SFF30のみで構成されたスキャンチェインを、スキャンチェイン6Cと示している。   In the semiconductor device 1 </ b> C, at least one scan chain among the plurality of scan chains is configured only by the observation SFF 30. In the example shown in FIG. 6, one scan chain is configured by only the observation SFF 30, and the remaining scan chains are configured by the SFF 10. In FIG. 10, a scan chain composed of only the observation SFF 30 is indicated as a scan chain 6C.

スキャンチェイン6Cでは、5つの観測用SFF30がシリアルに接続されている。観測用SFF30としては、図8に示した構成のものが用いられる。なお、図9に示す例では観測用SFF30が用いられているが、観測用SFF30の代わりに図6に示した観測用SFF20を用いてもよい。   In the scan chain 6C, five observation SFFs 30 are serially connected. As the observation SFF 30, the one shown in FIG. 8 is used. Although the observation SFF 30 is used in the example shown in FIG. 9, the observation SFF 20 shown in FIG. 6 may be used instead of the observation SFF 30.

キャプチャ動作期間(スキャンイネーブル信号=0)には、スキャンチェイン6Cの最後段の観測用SFF30が第1キャプチャクロックでキャプチャした第1演算結果は、第1キャプチャクロックの後の第2キャプチャクロックでMISR4Cに伝搬される。なお、図10に示す例では、MISR4には、クロック信号(clock2)が入力されている。MISR4Cは、クロック信号(clock2)に同期して、最後段の観測用SFF30から出力される値を取り込む。   During the capture operation period (scan enable signal = 0), the first calculation result captured by the observation SFF 30 in the last stage of the scan chain 6C with the first capture clock is the MISR4C with the second capture clock after the first capture clock. Is propagated to. In the example shown in FIG. 10, a clock signal (clock 2) is input to MISR4. The MISR 4C captures the value output from the last observation SFF 30 in synchronization with the clock signal (clock 2).

MISR4Cは、キャプチャ動作後の複数のスキャンチェインからのアンロードデータを圧縮してシグネチャを生成するとともに、キャプチャ動作期間中に最後段の観測用SFF30の値を取り込んで圧縮動作を行うことができる。すなわち、MISR4Cは、シフト期間中だけでなく、クロックシーケンシャルテストでの複数キャプチャクロック印加時にも、キャプチャサイクル毎に最終段の観測用SFF30の出力値を取り込むことが可能である。   The MISR 4C can compress unload data from a plurality of scan chains after the capture operation to generate a signature, and can capture the value of the last observation SFF 30 during the capture operation period to perform the compression operation. That is, the MISR 4C can capture the output value of the observation SFF 30 at the final stage for each capture cycle not only during the shift period but also when a plurality of capture clocks are applied in the clock sequential test.

このように、各キャプチャサイクルで、最後段の観測用SFF30に到達した故障伝搬をMISR4Cで取り込むことができ、故障を消失させることなく観測できる。これにより、クロックシーケンシャルテストの1つのキャプチャ動作期間中に検出可能な故障数を増加させることができ、目標故障検出率の達成に必要な挿入TP数の削減又はLBIST実行時間の削減を図ることが可能となる。   In this way, in each capture cycle, the fault propagation that reaches the last observation SFF 30 can be captured by the MISR 4C, and can be observed without losing the fault. As a result, the number of faults that can be detected during one capture operation period of the clock sequential test can be increased, and the number of inserted TPs necessary for achieving the target fault detection rate or the LBIST execution time can be reduced. It becomes possible.

実施の形態4.
実施の形態4に係るテストポイント回路について、図11を参照して説明する。図11は、実施の形態4に係る観測用SFF50の構成を示す図である。図11に示すように、観測用SFF50は、XORゲート51、MUX52、FF53、データ入力端子DATA、スキャンイン端子SIN、制御入力端子SMC、クロック端子CLK、データ出力端子Qを有している。
Embodiment 4 FIG.
A test point circuit according to the fourth embodiment will be described with reference to FIG. FIG. 11 is a diagram illustrating a configuration of the observation SFF 50 according to the fourth embodiment. As shown in FIG. 11, the observation SFF 50 includes an XOR gate 51, MUX 52, FF 53, a data input terminal DATA, a scan-in terminal SIN, a control input terminal SMC, a clock terminal CLK, and a data output terminal Q.

観測用SFF50は、可観測性を改善する目的で挿入される、クロックシーケンシャルテストの効率向上効果のある観測用テストポイント回路である。観測用SFF50は、XORゲート51の自己ループ構造を有するSFFセルである。観測用SFF50は、クロックシーケンシャルテストにおいて複数のキャプチャクロックを印加するときに、データ入力端子DATAに到達した故障を自己のFF53内で保持する機能を有する。   The observation SFF 50 is an observation test point circuit inserted for the purpose of improving the observability and having an effect of improving the efficiency of the clock sequential test. The observation SFF 50 is an SFF cell having a self-loop structure of the XOR gate 51. The observation SFF 50 has a function of holding a failure that has reached the data input terminal DATA in its own FF 53 when a plurality of capture clocks are applied in the clock sequential test.

XORゲート51は、データ入力端子DATAから入力されるDUT2の演算結果と、FF53から出力される値を受信する。XORゲート51の排他論理和は、MUX52に入力される。また、MUX52には、スキャンイン端子SINから入力されるテスト信号が入力される。MUX52は、制御入力端子SMCから入力されるスキャンイネーブル信号に応じて、排他論理和とテスト信号のいずれか一方をFF53に出力する。   The XOR gate 51 receives the calculation result of the DUT 2 input from the data input terminal DATA and the value output from the FF 53. The exclusive OR of the XOR gate 51 is input to the MUX 52. Further, the test signal input from the scan-in terminal SIN is input to the MUX 52. The MUX 52 outputs either the exclusive OR or the test signal to the FF 53 according to the scan enable signal input from the control input terminal SMC.

スキャンシフト動作期間(スキャンイネーブル信号=1)では、データ入力端子DATAの値は遮断され、スキャンイン端子SINから入力されるテスト信号がFF53に取り込まれる。キャプチャ動作期間(スキャンイネーブル信号=0)では、データ入力端子DATAの値と、FF53から出力される値の排他論理和がFF53に取り込まれる。   In the scan shift operation period (scan enable signal = 1), the value of the data input terminal DATA is cut off, and the test signal input from the scan-in terminal SIN is taken into the FF 53. In the capture operation period (scan enable signal = 0), the exclusive OR of the value of the data input terminal DATA and the value output from the FF 53 is captured by the FF 53.

FF53は、1回のキャプチャ動作期間において、第1キャプチャクロックでデータ入力端子DATAから入力される第1演算結果を取り込む。そして、第1キャプチャクロックに続く第2キャプチャクロックで、FF53は、データ入力端子DATAから入力される値と第1演算結果との排他論理和を取り込む。   The FF 53 captures the first calculation result input from the data input terminal DATA with the first capture clock in one capture operation period. Then, at the second capture clock following the first capture clock, the FF 53 takes in the exclusive OR of the value input from the data input terminal DATA and the first calculation result.

このように、あるキャプチャサイクルで観測用SFF50のFF53に取り込まれた故障が、次のキャプチャサイクルで自己ループのXORゲート51を経由して再度FF53に取り込まれ、消失せずに残る可能性がある。これにより、クロックシーケンシャルテストの1つのキャプチャ動作期間中に検出可能な故障数を増加させることができる。上述の実施の形態では、観測用テストポイント回路のみで構成したスキャンチェインを構築する必要があるが、観測用SFF50では、スキャンチェインの構成に依存せず、クロックシーケンシャルテストの効率を向上させることが可能となる。   As described above, there is a possibility that a failure taken into the FF 53 of the observation SFF 50 in a certain capture cycle is taken into the FF 53 again via the self-loop XOR gate 51 in the next capture cycle and remains without disappearing. . As a result, the number of faults that can be detected during one capture operation period of the clock sequential test can be increased. In the above-described embodiment, it is necessary to construct a scan chain composed only of the observation test point circuit. However, the observation SFF 50 can improve the efficiency of the clock sequential test without depending on the configuration of the scan chain. It becomes possible.

実施の形態に係る設計装置100について、図12〜14を参照して説明する。図12は、実施の形態に係る半導体装置を設計する設計装置の構成を示す図である。図13、14は、実施の形態に係る半導体装置の設計フローを示す図である。設計装置100は、上述したクロックシーケンシャルテストの効率の高い半導体装置を設計するものである。   A design apparatus 100 according to an embodiment will be described with reference to FIGS. FIG. 12 is a diagram illustrating a configuration of a design apparatus for designing the semiconductor device according to the embodiment. 13 and 14 are diagrams showing a design flow of the semiconductor device according to the embodiment. The design apparatus 100 designs a semiconductor device with high efficiency of the above-described clock sequential test.

設計装置100は、演算処理装置110、記憶装置120、制御入力装置130、表示出力装置131を有する。演算処理装置110は、半導体装置の設計に必要なプログラムをロードして設計に必要な各機能プロセスを実行可能な装置であり、CPUやメモリ等を含む。演算処理装置110は、テストポイント挿入プログラム111、スキャン化プログラム112を有している。   The design device 100 includes an arithmetic processing device 110, a storage device 120, a control input device 130, and a display output device 131. The arithmetic processing unit 110 is a device that can load a program necessary for designing a semiconductor device and execute each functional process necessary for the design, and includes a CPU, a memory, and the like. The arithmetic processing unit 110 has a test point insertion program 111 and a scanning program 112.

記憶装置120は、ネットリスト121、機能ライブラリ122、テスト制約DB123、テストポイント挿入済ネットリスト124、テストポイント挿入情報DB125、スキャン化ネットリスト126を有している。記憶装置120は、ライブラリやネットリストの情報を保存するHDDディスクやメモリなどの記憶媒体を指す。設計装置100は、演算処理装置110のプログラムを使用し、記憶装置120のネットリストやライブラリを参照して、実施の形態1〜3で説明した観測用テストポイント回路を挿入した半導体装置を生成する。   The storage device 120 includes a net list 121, a function library 122, a test constraint DB 123, a test point inserted net list 124, a test point insertion information DB 125, and a scanned net list 126. The storage device 120 refers to a storage medium such as an HDD disk or memory that stores library and netlist information. The design apparatus 100 uses the program of the arithmetic processing unit 110 and refers to the netlist or library of the storage device 120 to generate a semiconductor device in which the observation test point circuit described in the first to third embodiments is inserted. .

制御入力装置130は、ユーザが演算処理装置110及び記憶装置120を操作するための装置の総称で、キーボードやマウス等が挙げられる。表示出力装置131は、ユーザが演算処理装置110及び記憶装置120の動作を確認するためのもので、ディスプレイ等が挙げられる。   The control input device 130 is a general term for devices for the user to operate the arithmetic processing device 110 and the storage device 120, and examples thereof include a keyboard and a mouse. The display output device 131 is used by the user to check the operations of the arithmetic processing device 110 and the storage device 120, and includes a display and the like.

ネットリスト121には、上述した観測用テストポイント回路のセル情報の他、ANDゲート、ORゲート、XORゲート等のセル情報、端子間の接続情報等が記憶されている。機能ライブラリには、セルの機能等が記憶されている。テスト制約DB123には、テスト時の回路動作に必要な情報が格納されている。テスト制約DB123に格納される情報には、例えば、外部出力端子を0に固定する等の情報が含まれる。   In addition to the cell information of the observation test point circuit described above, the netlist 121 stores cell information such as AND gates, OR gates, XOR gates, connection information between terminals, and the like. The function library stores cell functions and the like. The test constraint DB 123 stores information necessary for circuit operation during testing. The information stored in the test constraint DB 123 includes information such as fixing the external output terminal to 0, for example.

演算処理装置110は、ネットリスト121、機能ライブラリ122、テスト制約DB123を参照して、テストポイント挿入プログラム111を実行することにより、観測用テストポイント回路が挿入されたテストポイント挿入済ネットリスト124を生成する。テストポイント挿入プログラム111は、一般的なテストポイント挿入の機能に加えて、観測用テストポイント回路として、観測用SFF20、観測用SFF30又は観測用SFF40を挿入する機能を有する。   The arithmetic processing unit 110 refers to the net list 121, the function library 122, and the test constraint DB 123, and executes the test point insertion program 111, thereby obtaining the test point inserted net list 124 into which the observation test point circuit is inserted. Generate. The test point insertion program 111 has a function of inserting the observation SFF 20, the observation SFF 30, or the observation SFF 40 as an observation test point circuit in addition to a general test point insertion function.

また、演算処理装置110は、機能ライブラリ122、テスト制約DB123、テストポイント挿入済ネットリスト124、テストポイント挿入情報DB125を参照して、スキャン化プログラム112を実行することにより、クロックシーケンシャルテストの効率の高い半導体装置を生成する。テストポイント挿入情報DB125には、挿入されたテストポイント回路の接続情報等を含むテストポイント挿入情報が記憶されている。   Further, the arithmetic processing unit 110 refers to the function library 122, the test constraint DB 123, the test point inserted net list 124, and the test point insertion information DB 125, and executes the scanning program 112, thereby improving the efficiency of the clock sequential test. Producing high semiconductor devices. The test point insertion information DB 125 stores test point insertion information including connection information of the inserted test point circuit.

スキャン化プログラム112は、一般的なスキャンチェインの構築機能に加えて、挿入された観測用SFFのみで少なくとも1本のスキャンチェインを構築する機能を有する。スキャン化プログラム112は、テストポイント回路挿入後のネットリストを用いて、テストポイント挿入情報に基づき、観測用SFFのみで少なくとも1本のスキャンチェインを構築する。なお、テストポイント挿入情報は、テストポイント挿入プログラム111から出力するか、又は、テストポイント挿入済ネットリストのインスタンスのセル名から生成することができる。   The scanning program 112 has a function of constructing at least one scan chain with only the inserted observation SFF in addition to a general scan chain construction function. The scanning program 112 constructs at least one scan chain using only the observation SFF, based on the test point insertion information, using the net list after the test point circuit is inserted. The test point insertion information can be output from the test point insertion program 111 or can be generated from the cell name of the instance of the test point inserted netlist.

また、スキャン化プログラム112は、各スキャンチェインの最前段のSFF又はobsSFFをPRPG3に接続する処理、各スキャンチェインの最後段のSFF又はobsSFFをMISR4に接続する処理を実行することも可能である。演算処理装置110は、スキャン化プログラム112を実行することにより、クロックシーケンシャルテストの効率を改善した、LBISTが実行可能な可能な半導体装置のスキャン化ネットリスト126を生成することができる。   The scan program 112 can also execute processing for connecting the SFF or obsSFF in the forefront stage of each scan chain to the PRPG 3 and processing for connecting the SFF or obsSFF in the last stage of each scan chain to the MISR 4. The arithmetic processing unit 110 can generate the scanned netlist 126 of the semiconductor device capable of executing LBIST with improved efficiency of the clock sequential test by executing the scanning program 112.

ここで、図13、14を参照して、半導体装置の設計フローについて説明する。まず、図13を参照して、テストポイント挿入済ネットリストの生成処理について説明する。図13は、テストポイント挿入済ネットリストの生成アルゴリズムの一例を示す図である。   Here, a design flow of the semiconductor device will be described with reference to FIGS. First, a process for generating a test point inserted netlist will be described with reference to FIG. FIG. 13 is a diagram showing an example of a test point insertion netlist generation algorithm.

図13に示すように、まずネットリスト121、機能ライブラリ122、テスト制約DB123に所定の情報が入力される(ステップS11)。その後、入力された情報を解析することにより、回路情報、テスト制約情報が識別される(ステップS12)。ここでは、回路内のSFFや、シフトレジスタ構造を有するユーザFF、テスト制約情報等が取得される。テスト制約情報には、挿入されるテストポイント回路の目標数(目標挿入数)が定義される。   As shown in FIG. 13, first, predetermined information is input to the net list 121, the function library 122, and the test constraint DB 123 (step S11). Thereafter, by analyzing the input information, circuit information and test constraint information are identified (step S12). Here, an SFF in the circuit, a user FF having a shift register structure, test constraint information, and the like are acquired. In the test constraint information, a target number (target insertion number) of test point circuits to be inserted is defined.

そして、識別したSFFの情報に基づき、一般的なTPIアルゴリズムにおける乱数ベースでの論理回路の可制御性及び可観測性解析が行われる(ステップS13)。そして、ステップS13の解析結果に基づき、制御用テストポイント回路/観測用テストポイント回路の挿入箇所が選定される(ステップS14)。   Based on the information of the identified SFF, controllability and observability analysis of the logic circuit based on random numbers in a general TPI algorithm is performed (step S13). Based on the analysis result of step S13, the insertion point of the control test point circuit / observation test point circuit is selected (step S14).

その後、挿入箇所が、テスト制約情報として定義した目標挿入数に到達するまでテストポイント回路の挿入箇所の選定が実行される(ステップS15)。挿入数の閾値に到達していない場合は(ステップS15NO)、S13からS15の処理が繰り返される。挿入箇所が目標挿入数に到達した場合(ステップS15YES)、選定された挿入箇所に観測用SFFが挿入される。なお、観測点には、観測用SFF20、観測用SFF30又は観測用SFF40が挿入される(ステップS16)。その後、テストポイント挿入済ネットリストが出力され(ステップS17)、テストポイント挿入済ネットリストの生成処理が終了する。   After that, the insertion point of the test point circuit is selected until the insertion point reaches the target insertion number defined as the test constraint information (step S15). If the threshold value for the number of insertions has not been reached (NO in step S15), the processing from S13 to S15 is repeated. When the insertion location reaches the target insertion number (YES in step S15), the observation SFF is inserted into the selected insertion location. Note that the observation SFF 20, the observation SFF 30, or the observation SFF 40 is inserted into the observation point (step S16). Thereafter, the test point inserted netlist is output (step S17), and the test point inserted netlist generation process ends.

次に、図14を参照して、スキャン化ネットリストの生成処理について説明する。図14は、スキャン化ネットリストの生成アルゴリズムの一例を示す図である。図14に示すように、まず、ネットリスト121、機能ライブラリ122、テスト制約DB123、テストポイント挿入情報DB125に所定の情報が入力される(ステップS21)。その後、入力された情報を解析することにより、回路情報、テスト制約情報が識別される(ステップS22)。そして、識別された回路情報から、回路内のSFFやクロックシーケンシャルテストに対応した観測用SFFが識別される(ステップS23)。   Next, the scan netlist generation process will be described with reference to FIG. FIG. 14 is a diagram illustrating an example of a scan netlist generation algorithm. As shown in FIG. 14, first, predetermined information is input to the net list 121, the function library 122, the test constraint DB 123, and the test point insertion information DB 125 (step S21). Thereafter, by analyzing the input information, circuit information and test constraint information are identified (step S22). Then, from the identified circuit information, an SFF in the circuit and an observation SFF corresponding to the clock sequential test are identified (step S23).

その後、識別された観測用SFFで、少なくとも1つのスキャンチェインが構築される(ステップS24)。演算処理装置110は、観測用SFFからなるスキャンチェインにおいて、実施の形態1のように観測用SFF20又は観測用SFF30のみをシリアルに接続する処理を実行する。又は、演算処理装置110は、実施の形態2のように観測用SFF40を最前段に接続し、その後段に観測用SFF20又は観測用SFF30を接続する。この場合、最後段の観測用SFFの出力は、最前段の観測用SFF40のデータ入力端子DATA2に接続される。このように、観測用SFFによりスキャンチェインを構成することで、クロックシーケンシャルテストの1つのキャプチャ動作期間中に検出可能な故障数を増加させることができる。   Thereafter, at least one scan chain is constructed with the identified observation SFF (step S24). The arithmetic processing unit 110 executes processing for serially connecting only the observation SFF 20 or the observation SFF 30 in the scan chain including the observation SFF as in the first embodiment. Alternatively, the arithmetic processing unit 110 connects the observation SFF 40 to the front stage as in the second embodiment, and connects the observation SFF 20 or the observation SFF 30 to the subsequent stage. In this case, the output of the last observation SFF is connected to the data input terminal DATA2 of the first observation SFF 40. In this way, by configuring the scan chain with the observation SFFs, it is possible to increase the number of faults that can be detected during one capture operation period of the clock sequential test.

そして、観測用SFFからなるスキャンチェインとは別に、SFFでスキャンチェインが構築される(ステップS25)。これにより、スキャン化ネットリストが出力され(ステップS26)、スキャン化ネットリストの生成処理が終了する。このようにして生成されたスキャン化ネットリストを用いることにより、クロックシーケンシャルテストの1つのキャプチャ動作期間中に検出可能な故障数を増加させる、目標故障検出率の達成に必要な挿入TP数の削減又はテスト実行時間の削減を図ることが可能な回路を設計することが可能となる。   Then, apart from the scan chain composed of the observation SFFs, a scan chain is constructed with the SFFs (step S25). Thereby, the scanned netlist is output (step S26), and the scanned netlist generation process ends. By using the scanned netlist generated in this way, the number of faults that can be detected during one capture operation period of the clock sequential test is increased, and the number of inserted TPs necessary to achieve the target fault detection rate is reduced. Alternatively, a circuit capable of reducing the test execution time can be designed.

なお、図14のスキャンチェインの構築以降の工程として、演算処理装置110は、一般的な圧縮回路付加プログラムを実行することにより、LBISTを実行するための、PRPG3、MISR4、LBISTコントローラ5等のLBIST回路の付加を行う。なお、実施の形態3の半導体装置を設計する場合は、スキャンチェインの最後段の観測用SFFの出力側に、クロックシーケンシャルテストの1回のキャプチャ動作期間に観測用SFFからの出力を取り込むことが可能なMISR4を挿入する。これにより、MISR4は、1回のキャプチャ動作において、第1キャプチャクロックでスキャンチェインの最後段に設けられた観測用SFFがキャプチャした演算結果を、次の第2キャプチャクロックで取り込むことができる。なお、圧縮スキャンテストを行う場合は、演算処理装置110は、圧縮スキャンを実行するための伸張器、圧縮器等の付加を行う。   As a process after the construction of the scan chain of FIG. 14, the arithmetic processing unit 110 executes LBIST by executing a general compression circuit addition program, such as PRPG3, MISR4, LBIST controller 5 and the like LBIST. Add circuit. When designing the semiconductor device according to the third embodiment, the output from the observation SFF is taken into the output side of the observation SFF in the last stage of the scan chain during one capture operation period of the clock sequential test. Insert possible MISR4. Thereby, MISR4 can capture the calculation result captured by the observation SFF provided in the last stage of the scan chain with the first capture clock in the next second capture clock in one capture operation. When performing the compression scan test, the arithmetic processing unit 110 adds a decompressor, a compressor, and the like for executing the compression scan.

そして、演算処理装置110は、圧縮回路付加プログラムにより生成された回路に対して、一般的なテストパターン生成プログラムを実行することで、クロックシーケンシャルテストを実行するためのテストパターンを生成する。これにより、図7に示すように、短いテスト時間で目標検出率を達成することが可能となる。   Then, the arithmetic processing unit 110 generates a test pattern for executing a clock sequential test by executing a general test pattern generation program on the circuit generated by the compression circuit addition program. As a result, as shown in FIG. 7, the target detection rate can be achieved in a short test time.

なお、図12に示した設計装置100を用いて、観測用SFF50を用いたクロックシーケンシャルテスト効率の高い論理回路を設計することも可能である。設計装置100は、テストポイント挿入プログラム111を実行して、観測点に観測用SFF50を挿入する。そして、設計装置100は、観測用SFF50によるスキャンチェインの構築は行わず、SFF10によるスキャンチェインを構築する。すなわち、図14におけるステップS24の処理を行わず、ステップS25の処理が実行される。このように、観測用SFF50を用いることで、観測用SFF50のみからなるスキャンチェインを構築するためのプログラムの機能を有する必要がない。   It is also possible to design a logic circuit having high clock sequential test efficiency using the observation SFF 50 by using the design apparatus 100 shown in FIG. The design apparatus 100 executes the test point insertion program 111 to insert the observation SFF 50 at the observation point. Then, the design apparatus 100 does not construct a scan chain by the observation SFF 50, but constructs a scan chain by the SFF 10. That is, the process of step S25 is executed without performing the process of step S24 in FIG. As described above, by using the observation SFF 50, it is not necessary to have a function of a program for constructing a scan chain including only the observation SFF 50.

また、上述したプログラムは、様々なタイプの非一時的なコンピュータ可読媒体(non−transitory computer readable medium)を用いて格納され、コンピュータに供給することができる。非一時的なコンピュータ可読媒体は、様々なタイプの実体のある記録媒体(tangible storage medium)を含む。非一時的なコンピュータ可読媒体の例は、磁気記録媒体(例えばフレキシブルディスク、磁気テープ、ハードディスクドライブ)、光磁気記録媒体(例えば光磁気ディスク)、CD−ROM(Read Only Memory)CD−R、CD−R/W、半導体メモリ(例えば、マスクROM、PROM(Programmable ROM)、EPROM(Erasable PROM)、フラッシュROM、RAM(Random Access Memory))を含む。また、プログラムは、様々なタイプの一時的なコンピュータ可読媒体(transitory computer readable medium)によってコンピュータに供給されてもよい。一時的なコンピュータ可読媒体の例は、電気信号、光信号、及び電磁波を含む。一時的なコンピュータ可読媒体は、電線及び光ファイバ等の有線通信路、又は無線通信路を介して、プログラムをコンピュータに供給できる。   Further, the above-described program can be stored using various types of non-transitory computer readable media and supplied to a computer. Non-transitory computer readable media include various types of tangible storage media. Examples of non-transitory computer-readable media include magnetic recording media (for example, flexible disks, magnetic tapes, hard disk drives), magneto-optical recording media (for example, magneto-optical disks), CD-ROM (Read Only Memory) CD-R, CD -R / W, semiconductor memory (for example, mask ROM, PROM (Programmable ROM), EPROM (Erasable PROM), flash ROM, RAM (Random Access Memory)). The program may also be supplied to the computer by various types of transitory computer readable media. Examples of transitory computer readable media include electrical signals, optical signals, and electromagnetic waves. The temporary computer-readable medium can supply the program to the computer via a wired communication path such as an electric wire and an optical fiber, or a wireless communication path.

以上説明したように、実施の形態によれば、クロックシーケンシャルテストの1回のキャプチャ動作期間に、スキャン入力とデータ入力のXOR出力をフリップフロップに入力する構造を持つことにより、データ入力とスキャン入力に到達した故障伝搬を取り込むことができる。これにより、クロックシーケンシャルテストにおいて複数キャプチャクロックを印加した時に、各キャプチャサイクルでフリップフロップに到達した故障伝搬を消失させることなく、保持・圧縮できる。これにより、目標故障検出率に到達するためのテスト時間を削減することが可能である。   As described above, according to the embodiment, the data input and the scan input are configured by inputting the XOR output of the scan input and the data input to the flip-flop in one capture operation period of the clock sequential test. It is possible to capture the fault propagation that has reached As a result, when a plurality of capture clocks are applied in the clock sequential test, the failure propagation reaching the flip-flop in each capture cycle can be held and compressed without being lost. Thereby, it is possible to reduce the test time for reaching the target failure detection rate.

なお、実施の形態にかかるテストポイント回路は、下記のように表現することもできる。以下に記載のテストポイント回路は、図11に対応する。   The test point circuit according to the embodiment can also be expressed as follows. The test point circuit described below corresponds to FIG.

(付記1)
スキャンインされるテスト信号に応じて演算結果を出力する組合せ回路中に挿入されるテストポイント回路であって、
クロックシーケンシャルテストの1回のキャプチャ動作期間において、第1キャプチャクロックで、前記組合せ回路からの第1演算結果を取り込むフリップフロップと、
前記第1演算結果と前記組合せ回路からの第2演算結果との排他論理和を前記フリップフロップに出力するXORゲートと、
を含み、
前記フリップフロップは、前記第1キャプチャクロックに続く前記第2のキャプチャクロックで、前記排他論理和を取り込む、
テストポイント回路。
(Appendix 1)
A test point circuit inserted in a combinational circuit that outputs a calculation result in response to a test signal scanned in;
A flip-flop that captures a first operation result from the combinational circuit with a first capture clock in one capture operation period of a clock sequential test;
An XOR gate that outputs an exclusive OR of the first operation result and the second operation result from the combinational circuit to the flip-flop;
Including
The flip-flop captures the exclusive OR with the second capture clock following the first capture clock;
Test point circuit.

(付記2)
予め設定されたプログラムに従って所定の処理を実行可能な演算処理装置を備える半導体装置の設計装置であって、
前記半導体装置は、
スキャンチェインを構成するスキャンフリップフロップと、
付記1に記載のテストポイント回路と、
を含み、
前記演算処理装置は、
前記組合せ回路からの演算結果が所定の論理状態になる確率を解析する解析処理を実行し、
前記解析処理の結果に基づき、前記テストポイント回路の挿入位置を選定し、
選定された前記挿入位置に前記テストポイント回路を挿入し、
前記スキャンフリップフロップによるスキャンチェインを構築する際に、前記テストポイント回路によるスキャンチェインの構築を実行しない、
設計装置。
(Appendix 2)
A semiconductor device design apparatus including an arithmetic processing unit capable of executing predetermined processing according to a preset program,
The semiconductor device includes:
A scan flip-flop constituting the scan chain;
The test point circuit according to appendix 1,
Including
The arithmetic processing unit includes:
Performing an analysis process for analyzing a probability that an operation result from the combinational circuit becomes a predetermined logic state;
Based on the result of the analysis process, the insertion position of the test point circuit is selected,
Insert the test point circuit at the selected insertion position,
When constructing a scan chain by the scan flip-flop, do not execute the construction of the scan chain by the test point circuit,
Design equipment.

上述の実施の形態では、LBISTを実行可能な半導体装置において、故障検出率を高くするためにテストポイント回路の挿入(TPI)を行い、クロックシーケンシャルテスト(マルチサイクルテスト)を行う例について説明した。   In the above-described embodiment, the example in which the test point circuit is inserted (TPI) and the clock sequential test (multi-cycle test) is performed in order to increase the failure detection rate in the semiconductor device capable of executing LBIST has been described.

以下の実施の形態では、LBISTを実行可能な半導体装置において、テストポイント回路の挿入を行わず、テスト実行時間を削減するためクロックシーケンシャルテストを行う例について説明する。   In the following embodiments, an example in which a clock sequential test is performed to reduce test execution time without inserting a test point circuit in a semiconductor device capable of executing LBIST will be described.

上述したように、クロックシーケンシャルテストは、1回のキャプチャ動作期間に複数回のキャプチャクロックで組み合わせ回路からの演算結果をキャプチャするマルチサイクルテストである。クロックシーケンシャルテストは、テストポイント回路を挿入しない場合でも、LBIST実行時間の削減に有効である。   As described above, the clock sequential test is a multi-cycle test in which the operation result from the combinational circuit is captured with a plurality of capture clocks in one capture operation period. The clock sequential test is effective in reducing the LBIST execution time even when no test point circuit is inserted.

なお、以下の実施の形態においても、LBISTを実行可能な半導体装置の概略構成は、図1に示した半導体装置の構成と同一であるため、詳細な説明は省略する。また、複数クロックによるクロックシーケンシャルテストの動作波形例は、図5に説明した通りである。   Also in the following embodiments, the schematic configuration of a semiconductor device capable of executing LBIST is the same as the configuration of the semiconductor device shown in FIG. An example of the operation waveform of the clock sequential test using a plurality of clocks is as described in FIG.

図1において用いられているSFF10は、クロックシーケンシャルテストを想定していない。本発明者らは、クロックシーケンシャルテストの効果をより向上するために、図1のSFF10の代わりに用いられる、クロックシーケンシャルテストを考慮したシーケンシャルテスト用スキャンフリップフロップ(以下、seqSFFとする)を考案した。   The SFF 10 used in FIG. 1 does not assume a clock sequential test. In order to further improve the effect of the clock sequential test, the present inventors devised a sequential test scan flip-flop (hereinafter referred to as “seqSFF”) that is used in place of the SFF 10 in FIG. .

実施の形態に係るシーケンシャルテスト用スキャンフリップフロップは、LSIのスキャンテストを実行することが可能なスキャンチェインを構成し、クロックシーケンシャルテストを行うものである。実施の形態に係るseqSFFは、テスト実行時間を削減するとともに、故障検出率を高くすることが可能な論理構造を有する。   The sequential test scan flip-flop according to the embodiment constitutes a scan chain capable of executing an LSI scan test, and performs a clock sequential test. The seqSFF according to the embodiment has a logical structure capable of reducing the test execution time and increasing the failure detection rate.

具体的には、実施の形態に係るseqSFFは、テストイネーブル信号が入力されるテストイネーブル入力端子を備える。seqSFFは、テストイネーブル信号に応じて、クロックシーケンシャルテストの1回のキャプチャ動作期間において、第1キャプチャクロックの後の第2キャプチャクロックで、スキャンチェインにおける当該シーケンシャルテスト用スキャンフリップフロップの前段又は前記スキャンチェインの最後段の第1セルが前記第1キャプチャクロックでキャプチャした組み合わせ回路からの第1演算結果を取り込む。   Specifically, the seqSFF according to the embodiment includes a test enable input terminal to which a test enable signal is input. seqSFF is a second capture clock after the first capture clock in the one capture operation period of the clock sequential test according to the test enable signal, and is connected to the previous stage of the sequential test scan flip-flop in the scan chain or the scan. The first cell in the last stage of the chain captures the first calculation result from the combinational circuit captured by the first capture clock.

実施の形態のseqSFFを用いた半導体装置は、例えば、POSTを実装した製品に適用可能である。また、この半導体装置は、量産テスト工程における、LBIST適用時のテストコスト削減を図りたい製品や、LBISTを実装する設計装置(EDAツール)等にも適用することが可能である。   The semiconductor device using the seqSFF of the embodiment can be applied to a product in which POST is mounted, for example. In addition, this semiconductor device can be applied to a product that is intended to reduce the test cost when LBIST is applied in a mass production test process, a design device (EDA tool) for mounting LBIST, or the like.

実施の形態5.
図15、16を参照して、クロックシーケンシャルテストを実行する半導体装置において用いられる、シーケンシャルテスト用スキャンフリップフロップ(seqSFF)について説明する。図15は、実施の形態5に係るseqSFFを挿入した半導体装置の構成を示す図である。図15の半導体装置1Dは、クロックシーケンシャルテストの複数クロック印加時にSFF10に到達した故障伝搬を、次のキャプチャサイクルでも消失させることなく保持することが可能な最小の構成を有している。図15では、seqSFF60を説明するための、半導体装置1Dの最小の構成のみを図示している。
Embodiment 5. FIG.
A sequential test scan flip-flop (seqSFF) used in a semiconductor device that performs a clock sequential test will be described with reference to FIGS. FIG. 15 is a diagram illustrating a configuration of a semiconductor device in which the seqSFF according to the fifth embodiment is inserted. The semiconductor device 1D of FIG. 15 has a minimum configuration capable of holding the failure propagation that has reached the SFF 10 when a plurality of clocks are applied in the clock sequential test without being lost even in the next capture cycle. In FIG. 15, only the minimum configuration of the semiconductor device 1D for illustrating the seqSFF 60 is illustrated.

図15に示すように、半導体装置1Dは、DUT2、SFF10、seqSFF60を備える。SFF10の後段にはseqSFF60がシリアルに接続され、1つのスキャンチェインが構築される。なお、DUT2、SFF10は、図1において説明した構成と同一であるため説明を省略する。   As shown in FIG. 15, the semiconductor device 1D includes a DUT 2, an SFF 10, and a seq SFF 60. The seq SFF 60 is serially connected to the subsequent stage of the SFF 10 to construct one scan chain. The DUT 2 and the SFF 10 are the same as those described in FIG.

図16は、実施の形態5に係るseqSFF60の構成を示す図である。図16に示すように、seqSFF60は、NORゲート61、NANDゲート62、XORゲート63、FF64、データ入力端子DATA、制御入力端子SMC、スキャンイン端子SIN、テストイネーブル入力端子SEQ_TEST_EN、クロック端子CLK、データ出力端子Qを有している。seqSFF60の入出力構成は、テストイネーブル入力端子SEQ_TEST_EN以外、SFF10と同一の入出力構成である。すなわち、seqSFF60は、SFF10の入出力構成に加えて、テストイネーブル入力端子SEQ_TEST_ENを有している。   FIG. 16 is a diagram illustrating a configuration of the seqSFF 60 according to the fifth embodiment. As shown in FIG. 16, the seqSFF 60 includes a NOR gate 61, a NAND gate 62, an XOR gate 63, an FF 64, a data input terminal DATA, a control input terminal SMC, a scan-in terminal SIN, a test enable input terminal SEQ_TEST_EN, a clock terminal CLK, data An output terminal Q is provided. The input / output configuration of the seqSFF 60 is the same as that of the SFF 10 except for the test enable input terminal SEQ_TEST_EN. That is, the seqSFF 60 has a test enable input terminal SEQ_TEST_EN in addition to the input / output configuration of the SFF 10.

SFF10の出力が、seqSFF60のスキャンイン端子SINに接続される。seqSFF60は、通常のユーザ動作とスキャンテスト動作を切り替え可能である。seqSFF60は、さらに、スキャンテスト動作期間において、スキャンシフト動作とキャプチャ動作とを切り替え可能である。seqSFF60は、1回のキャプチャ動作期間において、複数のキャプチャクロックのそれぞれでDUT2からの演算結果を取り込む。   The output of SFF10 is connected to the scan-in terminal SIN of seqSFF60. The seqSFF 60 can switch between a normal user operation and a scan test operation. Furthermore, the seqSFF 60 can switch between a scan shift operation and a capture operation during the scan test operation period. The seqSFF 60 takes in the calculation result from the DUT 2 at each of a plurality of capture clocks in one capture operation period.

例えば、1回のキャプチャ動作期間に印加される最初キャプチャクロックを第1キャプチャクロックとし、第1キャプチャクロックに続くキャプチャクロックを第2キャプチャクロックとする。また、第1キャプチャクロックで取り込まれるDUT2からの演算結果を第1演算結果とする。1回のキャプチャ動作期間における、1つのキャプチャクロックパルス動作を行う期間をキャプチャサイクルとする。   For example, a first capture clock applied during one capture operation period is a first capture clock, and a capture clock subsequent to the first capture clock is a second capture clock. In addition, the calculation result from the DUT 2 captured by the first capture clock is set as the first calculation result. A period in which one capture clock pulse operation is performed in one capture operation period is defined as a capture cycle.

なお、第1キャプチャクロックは、1回のキャプチャ動作期間に最初に印加されるキャプチャクロックではなく、その後に印加されるものであってもよい。第2キャプチャクロックは、1回のキャプチャ動作期間において、第1キャプチャクロックの後に印加されるものであればよい。   Note that the first capture clock may be applied after the capture clock is not applied first during one capture operation period. The second capture clock only needs to be applied after the first capture clock in one capture operation period.

データ入力端子DATAには、キャプチャ動作期間に、DUT2からの演算結果が入力される。スキャンイン端子SINには、スキャンシフト動作期間にテスト信号が入力され、キャプチャ動作期間にスキャンチェインの前段のSFF10が第1キャプチャクロックでキャプチャした第1演算結果が入力される。   The data input terminal DATA receives the calculation result from the DUT 2 during the capture operation period. A test signal is input to the scan-in terminal SIN during the scan shift operation period, and a first calculation result captured by the SFF 10 in the previous stage of the scan chain with the first capture clock is input during the capture operation period.

テストイネーブル入力端子SEQ_TEST_ENには、テストイネーブル信号(seq_test_enable)が入力される。seqSFF60は、テストイネーブル信号に応じて、通常のユーザ動作とスキャンテスト動作とを切り替える。例えば、テストイネーブル信号がロウ(0)の時にユーザ動作となり、テストイネーブル信号がハイ(1)のときにスキャンテスト動作となる。   A test enable signal (seq_test_enable) is input to the test enable input terminal SEQ_TEST_EN. The seqSFF 60 switches between a normal user operation and a scan test operation according to the test enable signal. For example, the user operation is performed when the test enable signal is low (0), and the scan test operation is performed when the test enable signal is high (1).

制御入力端子SMCには、スキャンイネーブル信号(scan_enable)が入力される。seqSFF60は、スキャンイネーブル信号に応じて、スキャンシフト動作とキャプチャ動作とを切り替える。例えば、テストイネーブル信号がハイ(1)の場合において、スキャンイネーブル信号がハイ(1)の時にスキャンシフト動作となり、スキャンイネーブル信号がロウ(0)の時にキャプチャ動作となる。   A scan enable signal (scan_enable) is input to the control input terminal SMC. The seqSFF 60 switches between the scan shift operation and the capture operation according to the scan enable signal. For example, when the test enable signal is high (1), the scan shift operation is performed when the scan enable signal is high (1), and the capture operation is performed when the scan enable signal is low (0).

NORゲート61は、制御入力端子SMCから入力されるスキャンイネーブル信号(scan−enable)と、データ入力端子DATAから入力される信号とを受信して、否定論理和を出力する。NANDゲート62は、スキャンイン端子SINに入力された第1演算結果と、テストイネーブル信号とを受信し、否定論理積を出力する。XORゲート63は、NORゲート61からの否定論理和と、NANDゲート62からの否定論理積とを受信し、排他論理和を出力する。   The NOR gate 61 receives a scan enable signal (scan-enable) input from the control input terminal SMC and a signal input from the data input terminal DATA, and outputs a negative logical sum. The NAND gate 62 receives the first operation result input to the scan-in terminal SIN and the test enable signal, and outputs a negative logical product. The XOR gate 63 receives the negative logical sum from the NOR gate 61 and the negative logical product from the NAND gate 62, and outputs an exclusive logical sum.

FF64は、クロック端子CLKから入力されるクロック信号(clock)に応じて、XORゲート63から出力される値を取り込み、データ出力端子Qから出力する。スキャンシフト動作期間には、FF64は、テスト信号を取り込む。また、キャプチャ動作期間には、FF64は、第1キャプチャクロックに続く第2キャプチャクロックで、XORゲート63が出力する排他論理和を取り込む。すなわち、スキャンチェインの前段のSFF10が第1キャプチャクロックでキャプチャした第1演算結果は、第1キャプチャクロックの後の第2キャプチャクロックで後段のseqSFF60に伝搬される。   The FF 64 takes in the value output from the XOR gate 63 according to the clock signal (clock) input from the clock terminal CLK, and outputs it from the data output terminal Q. During the scan shift operation period, the FF 64 takes in a test signal. In the capture operation period, the FF 64 takes in the exclusive OR output from the XOR gate 63 at the second capture clock following the first capture clock. That is, the first calculation result captured by the first capture clock by the SFF 10 in the previous stage of the scan chain is propagated to the subsequent seqSFF 60 by the second capture clock after the first capture clock.

ここで、半導体装置1Dの動作について説明する。図17、18は、図15に係る半導体装置1Dの動作波形例を示す図である。図17に示すように、ユーザ動作時は、スキャンイネーブル信号=0(constant LOW)、かつ、テストイネーブル信号=0(constant LOW)に設定される。このとき、SFF10、seqSFF60は、いずれもデータ入力端子DATAから入力される値を取り込む。   Here, the operation of the semiconductor device 1D will be described. 17 and 18 are diagrams illustrating examples of operation waveforms of the semiconductor device 1D according to FIG. As shown in FIG. 17, during a user operation, the scan enable signal = 0 (constant LOW) and the test enable signal = 0 (constant LOW) are set. At this time, both SFF10 and seqSFF60 take in the value input from the data input terminal DATA.

図18に示すように、スキャンテスト動作時には、テストイネーブル信号=1(constant HIGH)に設定される。スキャンテスト動作時において、スキャンシフト動作期間には、スキャンイネーブル信号=1(HIGH)に設定される。このとき、SFF10、seqSFF60は、スキャンイン端子SINからの値を取り込み、スキャンシフト動作が行われる。   As shown in FIG. 18, during the scan test operation, the test enable signal is set to 1 (constant HIGH). During the scan test operation, the scan enable signal = 1 (HIGH) is set during the scan shift operation period. At this time, the SFF 10 and seq SFF 60 take in the value from the scan-in terminal SIN, and the scan shift operation is performed.

スキャンテスト動作時において、キャプチャ動作期間には、スキャンイネーブル信号=0(LOW)に設定される。このとき、SFF10はデータ入力端子DATAからの値を取り込み、seqSFF60は、データ入力端子DATAとスキャンイン端子SINからの値の排他的論理和を取り込む。図19に、図16に示すシーケンシャルテスト用スキャンフリップフロップの真理値表を示す。seqSFF60は、図19の真理値表に示す機能を満たす構成を有している。   During the scan test operation, the scan enable signal = 0 (LOW) is set during the capture operation period. At this time, the SFF 10 captures a value from the data input terminal DATA, and the seqSFF 60 captures an exclusive OR of the values from the data input terminal DATA and the scan-in terminal SIN. FIG. 19 shows a truth table of the sequential test scan flip-flop shown in FIG. The seqSFF 60 has a configuration that satisfies the functions shown in the truth table of FIG.

ここで、クロックシーケンシャルテストで2回のキャプチャクロックが印加される場合を考える。1回目のキャプチャサイクルでSFF10がある故障伝搬の影響を取り込むものとする。すなわち、1回目のキャプチャクロック印加後のSFF10のデータ出力端子Qの出力値は、正常時と故障発生時で反転する。   Here, consider a case where two capture clocks are applied in the clock sequential test. Assume that the SFF 10 captures the influence of fault propagation in the first capture cycle. That is, the output value of the data output terminal Q of the SFF 10 after the first capture clock application is inverted between when it is normal and when a failure occurs.

seqSFF60は、2回目のキャプチャサイクルでデータ入力端子DATAとスキャンイン端子SINからの値の排他的論理和を取り込むため、SFF10のデータ出力端子Qの値が反転した場合は、seqSFF60が取り込む値も反転する。このように、1回目のキャプチャサイクルでSFF10が取り込んだ故障は、2回目のキャプチャサイクルでseqSFF60に保持される。   Since the seqSFF 60 captures the exclusive OR of the values from the data input terminal DATA and the scan-in terminal SIN in the second capture cycle, when the value of the data output terminal Q of the SFF 10 is inverted, the value captured by the seq SFF 60 is also inverted. To do. As described above, the failure captured by the SFF 10 in the first capture cycle is held in the seqSFF 60 in the second capture cycle.

仮に、図15におけるseqSFF60の代わりにSFF10で構成した場合について考える。クロックシーケンシャルテストにおいて、第1キャプチャクロックで前段のSFF10のデータ入力端子DATAまで到達した演算結果は、DUT2を介して後段のSFF10に到達しない限り、次の第2キャプチャクロックで伝搬先がなく消失してしまう。この消失確率は、論理ゲート段数が多くなるに従って高くなる。一般的な論理においては多数の論理ゲートが存在するため、複数回のキャプチャクロックの印加で故障が保持できる可能性は低い。   Consider a case where the SFF 10 is configured instead of the seq SFF 60 in FIG. In the clock sequential test, the calculation result that has reached the data input terminal DATA of the preceding SFF 10 by the first capture clock is lost without being propagated by the next second capture clock unless it reaches the subsequent SFF 10 via the DUT 2. End up. This erasure probability increases as the number of logic gate stages increases. In general logic, since there are a large number of logic gates, it is unlikely that a failure can be held by applying a capture clock multiple times.

これに対し、実施の形態5に係る半導体装置1Dでは、1回のキャプチャ動作期間において第1キャプチャクロックでSFF10に到達した演算結果は、次の第2キャプチャクロックでスキャンチェイン上の後段のseqSFF60に伝搬される。このように、半導体装置1Dでは、複数回のキャプチャクロックが印加された時の故障検出率を高くすることが可能となる。   On the other hand, in the semiconductor device 1D according to the fifth embodiment, the calculation result that has reached the SFF 10 with the first capture clock in one capture operation period is transferred to the subsequent seq SFF 60 on the scan chain with the next second capture clock. Propagated. Thus, in the semiconductor device 1D, it is possible to increase the failure detection rate when a plurality of capture clocks are applied.

また、半導体装置1Dにおいてクロックシーケンシャルテストを実行することで、1回のキャプチャ動作期間で多くの故障を検出できるようになり、結果としてテスト時間を削減することが可能となる。   In addition, by executing the clock sequential test in the semiconductor device 1D, it becomes possible to detect many failures in one capture operation period, and as a result, the test time can be reduced.

なお、seqSFF60は図16に示した論理構造に限定されるものではない。seqSFF60の構成は、図20に示すシーケンシャルテスト用スキャンフリップフロップの概略構成で、図21に示す真理値表に示す機能を満たすものであれば、どのような構成でも構わない。   The seqSFF 60 is not limited to the logical structure shown in FIG. The configuration of the seqSFF 60 is a schematic configuration of the sequential test scan flip-flop shown in FIG. 20, and may be any configuration as long as it satisfies the functions shown in the truth table shown in FIG.

すなわち、スキャンイネーブル信号=0かつテストイネーブル信号=0では、データ入力端子DATAの値が取り込まれる。スキャンイネーブル信号=0かつテストイネーブル信号=1では、データ入力端子DATAとスキャンイン端子SINの値の排他的論理和

Figure 2017062222
又は否定排他的論理和
Figure 2017062222
が取り込まれる。 That is, when the scan enable signal = 0 and the test enable signal = 0, the value of the data input terminal DATA is captured. When the scan enable signal = 0 and the test enable signal = 1, the values of the data input terminal DATA and the scan-in terminal SIN are exclusive ORed.
Figure 2017062222
Or negative exclusive OR
Figure 2017062222
Is captured.

スキャンイネーブル信号=1では、テストイネーブル信号の値にかかわらず、スキャンイン端子SINの値(SIN)又はスキャンイン端子SINの値の否定

Figure 2017062222
が取り込まれる。 When the scan enable signal = 1, the value of the scan-in terminal SIN (SIN) or the value of the scan-in terminal SIN is negated regardless of the value of the test enable signal.
Figure 2017062222
Is captured.

図21の真理値表を満たす、seqSFF60の他の論理回路例を図22、24、26示す。図22のseqSFF60A、図24のseqSFF60B、図23のseqSFF60Cの真理値表を図23、25、27にそれぞれ示す。   Other logical circuit examples of the seqSFF 60 that satisfy the truth table of FIG. 21 are shown in FIGS. The truth tables of seqSFF 60A in FIG. 22, seq SFF 60B in FIG. 24, and seq SFF 60C in FIG. 23 are shown in FIGS.

図22に示すseqSFF60Aは、NORゲート61、XORゲート63、FF64、ORゲート65を備える。NORゲート61は、制御入力端子SMCから入力されるスキャンイネーブル信号(scan−enable)と、データ入力端子DATAから入力される信号とを受信して、否定論理和を出力する。   The seqSFF 60A illustrated in FIG. 22 includes a NOR gate 61, an XOR gate 63, an FF 64, and an OR gate 65. The NOR gate 61 receives a scan enable signal (scan-enable) input from the control input terminal SMC and a signal input from the data input terminal DATA, and outputs a negative logical sum.

ORゲート65は、一方の入力端子が負論理となっている。ORゲート65は、スキャンイン端子SINからの値と、テストイネーブル信号の負論理とを受信し、論理和を出力する。XORゲート63は、NORゲート61からの否定論理和と、ORゲート65からの論理和とを受信し、排他論理和を出力する。FF64は、XORゲート63から出力される値を取り込み、データ出力端子Qから出力する。   One input terminal of the OR gate 65 is negative logic. The OR gate 65 receives the value from the scan-in terminal SIN and the negative logic of the test enable signal, and outputs a logical sum. The XOR gate 63 receives the negative logical sum from the NOR gate 61 and the logical sum from the OR gate 65, and outputs an exclusive logical sum. The FF 64 takes in the value output from the XOR gate 63 and outputs it from the data output terminal Q.

なお、図22に示すseqSFF60Aの動作波形は、図17、18に示す動作波形と同一である。すなわち、図17に示すように、ユーザ動作時は、スキャンイネーブル信号=0(constant LOW)、かつ、テストイネーブル信号=0(constant LOW)に設定される。また、図18に示すように、スキャンテスト動作時には、テストイネーブル信号=1(constant HIGH)に設定される。スキャンテスト動作時において、スキャンシフト動作期間には、スキャンイネーブル信号=1(HIGH)に設定される。   Note that the operation waveforms of the seqSFF 60A shown in FIG. 22 are the same as those shown in FIGS. That is, as shown in FIG. 17, during a user operation, the scan enable signal = 0 (constant LOW) and the test enable signal = 0 (constant LOW) are set. As shown in FIG. 18, the test enable signal = 1 (constant HIGH) is set during the scan test operation. During the scan test operation, the scan enable signal = 1 (HIGH) is set during the scan shift operation period.

このように、図22に示す構成であっても、キャプチャ動作期間には、スキャンチェインの前段のSFF10が第1キャプチャクロックでキャプチャした第1演算結果は、第1キャプチャクロックの後の第2キャプチャクロックで後段のseqSFF60に伝搬される。   In this way, even in the configuration shown in FIG. 22, during the capture operation period, the first operation result captured by the SFF 10 in the previous stage of the scan chain with the first capture clock is the second capture after the first capture clock. It is propagated to the subsequent seqSFF 60 by the clock.

図24に示すseqSFF60Bは、NORゲート61、NANDゲート62、XORゲート63、FF64、ORゲート66を備える。NORゲート61は、制御入力端子SMCから入力されるスキャンイネーブル信号(scan−enable)と、データ入力端子DATAから入力される信号とを受信して、否定論理和を出力する。   The seqSFF 60B illustrated in FIG. 24 includes a NOR gate 61, a NAND gate 62, an XOR gate 63, an FF 64, and an OR gate 66. The NOR gate 61 receives a scan enable signal (scan-enable) input from the control input terminal SMC and a signal input from the data input terminal DATA, and outputs a negative logical sum.

ORゲート66は、スキャンイネーブル信号とテストイネーブル信号の論理和を出力する。NANDゲート62は、スキャンイン端子SINからの値と、ORゲート66からの論理和とを受信し、否定論理積を出力する。XORゲート63は、NORゲート61からの否定論理和と、NANDゲート62からの否定論理積とを受信し、排他論理和を出力する。FF64は、XORゲート63から出力される値を取り込み、データ出力端子Qから出力する。   The OR gate 66 outputs a logical sum of the scan enable signal and the test enable signal. The NAND gate 62 receives the value from the scan-in terminal SIN and the logical sum from the OR gate 66, and outputs a negative logical product. The XOR gate 63 receives the negative logical sum from the NOR gate 61 and the negative logical product from the NAND gate 62, and outputs an exclusive logical sum. The FF 64 takes in the value output from the XOR gate 63 and outputs it from the data output terminal Q.

図26に示すseqSFF60Cは、XORゲート67、MUX68、MUX69、FF64を備えている。XORゲート67は、データ入力端子DATAからの値と、スキャンイン端子SINからの値とを受信し、排他的論理和を出力する。MUX68は、データ入力端子DATAからの値と、XORゲート67からの排他的論理和を受信する。MUX68は、テストイネーブル信号がロウ(0)の時にデータ入力端子DATAからの値が有効となり、ハイ(1)の時にXORゲート67からの値が有効となる。   The seqSFF 60C shown in FIG. 26 includes an XOR gate 67, MUX 68, MUX 69, and FF 64. The XOR gate 67 receives the value from the data input terminal DATA and the value from the scan-in terminal SIN, and outputs an exclusive OR. The MUX 68 receives the value from the data input terminal DATA and the exclusive OR from the XOR gate 67. The value from the data input terminal DATA is valid when the test enable signal is low (0), and the value from the XOR gate 67 is valid when the MUX 68 is high (1).

MUX68からの値は、MUX69に入力される。また、MUX69は、スキャンイン端子SINからの値を受信する。MUX69は、スキャンイネーブル信号ロウ(0)の時にMUX68からの値が有効となり、ハイ(1)の時にスキャンイン端子SINからの値が有効となる。FF64は、MUX69から出力される値を取り込み、データ出力端子Qから出力する。   The value from the MUX 68 is input to the MUX 69. Also, the MUX 69 receives a value from the scan-in terminal SIN. The value from the MUX 68 is valid when the scan enable signal is low (0), and the value from the scan-in terminal SIN is valid when the MUX 69 is high (1). The FF 64 takes in the value output from the MUX 69 and outputs it from the data output terminal Q.

図24、26に示すseqSFF60B、60Cを挿入した半導体装置の動作波形を、図28、29に示す。図28に示すように、ユーザ動作時は、スキャンイネーブル信号=0(constant LOW)に設定される。このとき、テストイネーブル信号は、0/1いずれの値でも構わない(don’t care)。   The operation waveforms of the semiconductor device into which the seq SFFs 60B and 60C shown in FIGS. 24 and 26 are inserted are shown in FIGS. As shown in FIG. 28, the scan enable signal = 0 (constant LOW) is set during user operation. At this time, the test enable signal may be 0/1 (don't care).

図29に示すように、スキャンテスト動作時において、キャプチャ動作期間には、スキャンイネーブル信号=0(LOW)、テストイネーブル信号=1(HIGH)に設定される。スキャンテスト動作時において、スキャンシフト動作期間には、スキャンイネーブル信号=1(HIGH)に設定される。このとき、テストイネーブル信号は、0/1いずれの値でも構わない(don’t care)。   As shown in FIG. 29, during the scan test operation, the scan enable signal = 0 (LOW) and the test enable signal = 1 (HIGH) are set during the capture operation period. During the scan test operation, the scan enable signal = 1 (HIGH) is set during the scan shift operation period. At this time, the test enable signal may be 0/1 (don't care).

このように、スキャンイン端子SINの値とデータ入力端子DATAの値との排他論理和又は否定排他論理和を取り込む構造を有することにより、スキャンイン端子SINとデータ入力端子DATAに到達した故障を同時に取り込むことができる。これにより、クロックシーケンシャルテストで複数回のキャプチャクロック印加時に、各キャプチャサイクルでseqSFF60まで到達した故障を保持することが可能となる。結果として、1回のキャプチャ期間で検出可能な故障数が増え、目標検出率に到達するためのテスト時間を削減することが可能である。   In this way, by having a structure that takes in an exclusive OR or a negative exclusive OR of the value of the scan-in terminal SIN and the value of the data input terminal DATA, failures that have reached the scan-in terminal SIN and the data input terminal DATA can be simultaneously performed Can be captured. As a result, when a capture clock is applied a plurality of times in the clock sequential test, it is possible to hold a fault that has reached the seqSFF 60 in each capture cycle. As a result, the number of faults that can be detected in one capture period increases, and the test time for reaching the target detection rate can be reduced.

実施の形態6.
実施の形態6に係るシーケンシャルテスト用スキャンフリップフロップ(seqSFF)を挿入した半導体装置について、図30を参照して説明する。図30は、実施の形態6に係るseqSFFを挿入した半導体装置1Eの構成を示す図である。
Embodiment 6 FIG.
A semiconductor device in which the sequential test scan flip-flop (seqSFF) according to the sixth embodiment is inserted will be described with reference to FIG. FIG. 30 is a diagram illustrating a configuration of the semiconductor device 1E in which the seqSFF according to the sixth embodiment is inserted.

図30に示すように、半導体装置1Eは、DUT2、PRPG3、MISR4、LBISTコントローラ5、複数のseqSFF60を備えている。なお、seqSFF60以外の構成については、図1で説明したものと同一のため、詳細な説明は省略する。   As shown in FIG. 30, the semiconductor device 1E includes a DUT 2, a PRPG 3, a MISR 4, an LBIST controller 5, and a plurality of seq SFFs 60. The configuration other than the seqSFF 60 is the same as that described with reference to FIG.

半導体装置1Eは、1以上の複数のスキャンチェインを有している。図30に示す例では、各スキャンチェインがseqSFF60のみで構成されている。図30においては、seqSFF60により構成された1つのスキャンチェインを、スキャンチェイン6Dと示している。スキャンチェイン6Dでは、5つのseqSFF60がシリアルに接続されている。   The semiconductor device 1E has one or more scan chains. In the example shown in FIG. 30, each scan chain is composed of only seqSFF60. In FIG. 30, one scan chain configured by the seqSFF 60 is indicated as a scan chain 6D. In the scan chain 6D, five seq SFFs 60 are serially connected.

seqSFF60は、図16に示した構成と同一のものである。なお、seqSFF60の代わりに、図21に示した真理値表の機能を満たす他の構成のseqSFFを用いることも可能である。   The seqSFF 60 has the same configuration as that shown in FIG. Instead of the seqSFF 60, it is also possible to use a seqSFF having another configuration that satisfies the function of the truth table shown in FIG.

各seqSFF60の制御入力端子SMCには、スキャンイネーブル信号が入力される。また、各seqSFF60のテストイネーブル入力端子SEQ_TEST_ENには、テストイネーブル信号が入力される。なお、テストイネーブル入力端子SEQ_TEST_ENは必ずしも外部入力端子で制御する必要はなく、テストモードレジスタなどから制御してもよい。   A scan enable signal is input to the control input terminal SMC of each seq SFF 60. A test enable signal is input to the test enable input terminal SEQ_TEST_EN of each seqSFF60. Note that the test enable input terminal SEQ_TEST_EN is not necessarily controlled by an external input terminal, and may be controlled from a test mode register or the like.

ユーザ動作時には、テストイネーブル信号=0、スキャンイネーブル信号=0が与えられる。各seqSFF60の制御入力端子SMC、テストイネーブル入力端子SEQ_TEST_ENには、それぞれ、テストイネーブル信号=0、スキャンイネーブル信号=0が供給される。これにより,スキャンイン端子SINから入力される値は遮断され、seqSFF60はデータ入力端子DATAからの値を取込む。   During user operation, a test enable signal = 0 and a scan enable signal = 0 are given. A test enable signal = 0 and a scan enable signal = 0 are supplied to the control input terminal SMC and the test enable input terminal SEQ_TEST_EN of each seqSFF 60, respectively. As a result, the value input from the scan-in terminal SIN is blocked, and the seqSFF 60 takes in the value from the data input terminal DATA.

スキャンテスト動作時はテストイネーブル信号=1に設定される。スキャンシフト動作期間には、スキャンイネーブル信号=1に設定される。各seqSFF60はスキャンイン端子SINからの値を取り込むことで、スキャンシフト動作が行われる。キャプチャ動作時は、スキャンイネーブル信号=0に設定される。各seqSFF60は、データ入力端子DATAからの値と、スキャンイン端子SINからの値の排他論理和を取込む。   During the scan test operation, the test enable signal = 1 is set. During the scan shift operation period, the scan enable signal = 1 is set. Each seq SFF 60 takes in a value from the scan-in terminal SIN to perform a scan shift operation. During the capture operation, the scan enable signal = 0 is set. Each seqSFF 60 takes in the exclusive OR of the value from the data input terminal DATA and the value from the scan-in terminal SIN.

このため、クロックシーケンシャルテストによる複数キャプチャクロック印加時において、あるキャプチャサイクルでseqSFF60に到達した故障は、次のキャプチャサイクルでそのスキャンチェイン上の後段のseqSFF60にスキャンイン端子SINを経由して取り込まれる。   For this reason, when a plurality of capture clocks are applied by the clock sequential test, a failure that has reached the seqSFF 60 in a certain capture cycle is taken into the subsequent seqSFF 60 on the scan chain via the scan-in terminal SIN in the next capture cycle.

例えば、スキャンチェイン6Dの段数がN段のとき、先頭seqSFF60に取込まれた故障は、最大でN回のキャプチャクロックが印加されるまで、スキャンチェイン6D上のseqSFF60で保持できる可能性がある。この結果、クロックシーケンシャルテストにおけるキャプチャ動作期間中に検出可能な故障数を増大させることが可能となる。   For example, when the number of stages in the scan chain 6D is N, a failure captured in the head seqSFF 60 may be held in the seq SFF 60 on the scan chain 6D until N capture clocks are applied at the maximum. As a result, the number of faults that can be detected during the capture operation period in the clock sequential test can be increased.

ここで、図31を参照して、テスト時間の削減効果について説明する。図31は、実施の形態6に係る半導体装置1Eのテスト時間に対する故障検出率を示す図である。図31において、横軸はテスト時間を示しており、縦軸は故障検出率を示している。また、図31において、実線は実施の形態に係るseqSFF60を用いた半導体装置1Eの結果を示しており、破線は図30のseqSFF60の代わりにSFF10で構成した場合の半導体装置の結果を示している。   Here, the effect of reducing the test time will be described with reference to FIG. FIG. 31 is a diagram illustrating a failure detection rate with respect to a test time of the semiconductor device 1E according to the sixth embodiment. In FIG. 31, the horizontal axis represents the test time, and the vertical axis represents the failure detection rate. In FIG. 31, the solid line indicates the result of the semiconductor device 1E using the seq SFF 60 according to the embodiment, and the broken line indicates the result of the semiconductor device configured with the SFF 10 instead of the seq SFF 60 of FIG. .

図31に示すように、実施の形態6に係る半導体装置1Eでは、seqSFF60を用いることで、SFF10を用いた場合とよりも短いテスト時間で目標故障検出率を達成することが可能となる。   As shown in FIG. 31, in the semiconductor device 1E according to the sixth embodiment, by using the seq SFF 60, it is possible to achieve the target failure detection rate in a test time shorter than that when the SFF 10 is used.

実施の形態7.
実施の形態7に係るシーケンシャルテスト用スキャンフリップフロップ(seqSFF)を挿入した半導体装置について、図32を参照して説明する。図32は、実施の形態7に係るseqSFFを挿入した半導体装置1Fの構成を示す図である。
Embodiment 7 FIG.
A semiconductor device in which the sequential test scan flip-flop (seqSFF) according to the seventh embodiment is inserted will be described with reference to FIG. FIG. 32 is a diagram illustrating a configuration of the semiconductor device 1F in which the seqSFF according to the seventh embodiment is inserted.

図32に示す半導体装置1Fは、一般的なLBISTの構成において、一部のSFFがseqSFF60で置換されている。なお、seqSFF60の代わりに、図21に示した真理値表の機能を満たす他の構成のseqSFFを用いることも可能である。図32において、「FF」は、スキャンイン、スキャンアウト等のスキャン機能を持たないフリップフロップを示している。ここでは、スキャン機能を持たないフリップフロップを、ノーマルフリップフロップ(以下、ノーマルFF)と称する。   In the semiconductor device 1F shown in FIG. 32, a part of SFF is replaced with seqSFF60 in a general LBIST configuration. Instead of the seqSFF 60, it is also possible to use a seqSFF having another configuration that satisfies the function of the truth table shown in FIG. In FIG. 32, “FF” indicates a flip-flop having no scan function such as scan-in and scan-out. Here, a flip-flop having no scan function is referred to as a normal flip-flop (hereinafter referred to as a normal FF).

半導体装置1Fは、1以上の複数のスキャンチェインを有している。図32に示す例では、複数のスキャンチェインのうち、少なくとも1つのスキャンチェイン6Eには、ユーザ論理中のシフトレジスタ構造7Aが組み込まれている。シフトレジスタ構造7Aでは、先頭がseqSFF60に置換されており、seqSFF60の後段には、2つのノーマルFFがシリアルに接続されている。スキャンチェイン6Eにおいて、シフトレジスタ構造7Aの前段及び後段にはそれぞれseqSFF60が配置されている。   The semiconductor device 1F has one or more scan chains. In the example shown in FIG. 32, the shift register structure 7A in the user logic is incorporated in at least one scan chain 6E among the plurality of scan chains. In the shift register structure 7A, the head is replaced with seqSFF60, and two normal FFs are serially connected to the subsequent stage of seqSFF60. In the scan chain 6E, seqSFFs 60 are arranged at the front and rear stages of the shift register structure 7A, respectively.

シフトレジスタ構造7AのseqSFF60のスキャンイン端子SINには、前段のseqSFF60のデータ出力端子Qが接続されている。シフトレジスタ構造7Aの先頭のseqSFF60がキャプチャするDUT2からの演算結果は、後段のノーマルFFにそのまま取り込まれる。また、シフトレジスタ構造7Aの最後段のノーマルFFのデータ出力端子Qは、DUT2への入力と、スキャンチェイン6E上の次段のseqSFF60のスキャンイン端子SINに接続されている。   The data output terminal Q of the preceding seqSFF 60 is connected to the scan-in terminal SIN of the seqSFF 60 of the shift register structure 7A. The calculation result from the DUT 2 captured by the leading seqSFF 60 of the shift register structure 7A is directly taken into the subsequent normal FF. The data output terminal Q of the normal FF in the last stage of the shift register structure 7A is connected to the input to the DUT 2 and the scan-in terminal SIN of the next stage seqSFF 60 on the scan chain 6E.

また、図32に示す例では、ユーザ論理中には、1つのseqSFF、1つのノーマルFFからなるシフトレジスタ構造7B、1つのseqSFF、2つのノーマルFFからなるシフトレジスタ構造7Cが構成されている。シフトレジスタ構造7Bと、シフトレジスタ構造7Cとは、1つのスキャンチェインを構成する。   In the example shown in FIG. 32, a shift register structure 7B composed of one seqSFF, one normal FF, a shift register structure 7C composed of one seqSFF, and two normal FFs are configured in the user logic. The shift register structure 7B and the shift register structure 7C constitute one scan chain.

シフトレジスタ構造7Bでは、seqSFF60の後段にノーマルFFがシリアルに接続されている。シフトレジスタ構造7Cでは、seqSFF60の後段に2つのノーマルFFがシリアルに接続されている。シフトレジスタ構造7BのノーマルFFのデータ出力端子Qは、DUT2への入力と、シフトレジスタ構造7CのseqSFF60のスキャンイン端子SINに接続されている。   In the shift register structure 7B, the normal FF is serially connected to the subsequent stage of the seqSFF 60. In the shift register structure 7C, two normal FFs are serially connected to the subsequent stage of the seqSFF 60. The data output terminal Q of the normal FF of the shift register structure 7B is connected to the input to the DUT 2 and the scan-in terminal SIN of the seqSFF 60 of the shift register structure 7C.

なお、ここでは図示していないが、シフトレジスタ構造以外のFFは、seqSFF60で置換されている。seqSFF60又はシフトレジスタ構造の出力は、後段にそれぞれ接続されるseqSFF60のスキャンイン端子SINに接続され、スキャンチェインが構成される。シフトレジスタ構造7A〜7C及び他のシフトレジスタ構造においても、SFFでスキャンチェインが構築された場合と同様に、スキャンシフト動作が行われる。   Although not shown here, FFs other than the shift register structure are replaced with seqSFF60. The output of the seq SFF 60 or the shift register structure is connected to the scan-in terminal SIN of the seq SFF 60 connected to the subsequent stage, thereby forming a scan chain. Also in the shift register structures 7A to 7C and other shift register structures, the scan shift operation is performed as in the case where the scan chain is constructed by the SFF.

実施の形態6において説明したのと同様に、実施の形態7においても、各seqSFF60の制御入力端子SMCには、スキャンイネーブル信号が入力される。また、各seqSFF60のテストイネーブル入力端子SEQ_TEST_ENには、テストイネーブル信号が入力される。   As described in the sixth embodiment, also in the seventh embodiment, a scan enable signal is input to the control input terminal SMC of each seq SFF 60. A test enable signal is input to the test enable input terminal SEQ_TEST_EN of each seqSFF60.

実施の形態7では、クロックシーケンシャルテストによる複数キャプチャクロック印加時において、あるキャプチャサイクルでシフトレジスタ構造の先頭のseqSFF60に到達した故障は、次のキャプチャサイクルで損失することなく、シフトレジスタ構造の後段のノーマルFFへ伝搬する。これにより、クロックシーケンシャルテストの効率を向上させることが可能となる。   In the seventh embodiment, when a plurality of capture clocks are applied by the clock sequential test, a failure that has reached the first seqSFF 60 in the shift register structure in a certain capture cycle is not lost in the next capture cycle, and the subsequent stage of the shift register structure is lost. Propagates to normal FF. As a result, the efficiency of the clock sequential test can be improved.

また、実施の形態7では、シフトレジスタ構造の先頭以外をノーマルFFのまま、スキャンチェインに組み込んでいる。このため、すべてスキャン機能を有するフリップフロップに置換した場合と比較すると、面積の増大を抑制することができる。   Further, in Embodiment 7, the shift register structure other than the top is incorporated into the scan chain with the normal FF as it is. For this reason, an increase in area can be suppressed as compared with a case where all are replaced with flip-flops having a scan function.

実施の形態8.
実施の形態8に係るシーケンシャルテスト用スキャンフリップフロップ(seqSFF)を挿入した半導体装置について、図33を参照して説明する。図33は、実施の形態8に係るseqSFFを挿入した半導体装置1Gの構成を示す図である。
Embodiment 8 FIG.
A semiconductor device in which the sequential test scan flip-flop (seqSFF) according to the eighth embodiment is inserted will be described with reference to FIG. FIG. 33 is a diagram illustrating a configuration of a semiconductor device 1G in which the seqSFF according to the eighth embodiment is inserted.

回路内のユーザFFの中には、seqSFF60に置換してもクロックシーケンシャルテストの効率向上に寄与しないものが存在する。seqSFF60は、SFFよりも面積が大きいため、すべてのユーザFFをseqSFF60で置換すると、面積が増大してしまう。   Some user FFs in the circuit do not contribute to improving the efficiency of the clock sequential test even if they are replaced with seqSFF60. Since the area of the seq SFF 60 is larger than that of the SFF, if all the user FFs are replaced with the seq SFF 60, the area increases.

そこで、実施の形態8に係る半導体装置1Gは、seqSFF60への置換対象を一部のユーザFFに限定した構造を有する。図33において、スキャン機能を有さないフリップフロップをFFと示し、スキャン機能を有するフリップフロップをSFFと示す。   Therefore, the semiconductor device 1G according to the eighth embodiment has a structure in which the replacement target with the seqSFF 60 is limited to some user FFs. In FIG. 33, a flip-flop having no scan function is denoted as FF, and a flip-flop having a scan function is denoted as SFF.

まず、実施の形態8では、クロックシーケンシャルテストにおける複数キャプチャクロック印加時に、データ入力端子DATAに到達した故障を蓄積した場合に検出故障数が効率よく増加するユーザFFを選定する。   First, in Embodiment 8, when a plurality of capture clocks are applied in a clock sequential test, a user FF whose number of detected faults efficiently increases when faults reaching the data input terminal DATA are accumulated is selected.

なお、クロックシーケンシャルテストの効率向上に寄与するユーザFFの選定は、データ入力端子DATAへの入力コーンの組合せ論理量や、確率ベースの故障シミュレーション等を利用して求めることができる。そして、選定したユーザFFのみをseqSFF60に置換して、スキャンチェインを構築する。   The selection of the user FF that contributes to improving the efficiency of the clock sequential test can be obtained by using a combination logic amount of input cones to the data input terminal DATA, a probability-based failure simulation, or the like. Then, only the selected user FF is replaced with seqSFF 60 to construct a scan chain.

このようにしてseqSFF60への置換対象を一部のユーザFFに限定した、半導体装置1Gの一例が、図33に示される。半導体装置1Gは、1以上の複数のスキャンチェインを有している。図33に示す例では、複数のスキャンチェインのうち、少なくとも1つのスキャンチェイン6Fには、ユーザ論理中のシフトレジスタ構造7Dが組み込まれている。スキャンチェイン6Fは、SFFを含まない。スキャンチェイン6Fは、2つのseqSFF60と、これら2つのseqSFF60の間に配置された、seqSFF60を先頭に持つシフトレジスタ構造7Dを有する。スキャンチェイン6Fは、実施の形態7のスキャンチェイン6Eと同様の構成であるため、詳細な説明は省略する。   FIG. 33 shows an example of the semiconductor device 1G in which the replacement target with the seqSFF 60 is limited to some user FFs in this way. The semiconductor device 1G has one or more scan chains. In the example shown in FIG. 33, the shift register structure 7D in the user logic is incorporated in at least one scan chain 6F among the plurality of scan chains. The scan chain 6F does not include an SFF. The scan chain 6F includes two seqSFFs 60 and a shift register structure 7D that is arranged between the two seqSFFs 60 and has the seqSFF 60 at the head. The scan chain 6F has the same configuration as the scan chain 6E according to the seventh embodiment, and thus detailed description thereof is omitted.

なお、図33では、選定されたユーザFF中にシフトレジスタ構造7Dが含まれるため、シフトレジスタ構造7Dをスキャンチェイン6Fに組み込んでいるが、これに限定されるものではない。選定されたユーザFFをすべてseqSFF60で置換し、スキャンチェイン6FをseqSFF60のみで構成してもよい。   In FIG. 33, since the shift register structure 7D is included in the selected user FF, the shift register structure 7D is incorporated in the scan chain 6F. However, the present invention is not limited to this. All the selected user FFs may be replaced with seqSFF60, and the scan chain 6F may be configured with only seqSFF60.

また、複数のスキャンチェインのうち、少なくとも1つのスキャンチェイン6Gは、seqSFF60を含まない。スキャンチェイン6Gは、2つのSFFと、これら2つのSFFの間に配置された、SFFを先頭に持つシフトレジスタ8を有する。シフトレジスタ8は、SFFと2つのFFからなる。なお、スキャンチェイン6Gは、シフトレジスタ8を含んでいるが、シフトレジスタを含まないスキャンチェインがあってもよい。   In addition, at least one scan chain 6G among the plurality of scan chains does not include the seqSFF 60. The scan chain 6G includes two SFFs, and a shift register 8 that is disposed between the two SFFs and that has an SFF at the head. The shift register 8 includes an SFF and two FFs. Although the scan chain 6G includes the shift register 8, there may be a scan chain that does not include the shift register.

このように、seqSFF60への置換対象を、クロックシーケンシャルテストの効率向上効果の高い一部のユーザFFに限定することで、面積の増大を抑制するとともに、テスト時間の短縮を図ることが可能となる。   As described above, by limiting the replacement target to the seqSFF 60 to a part of the user FFs having a high effect of improving the efficiency of the clock sequential test, it is possible to suppress an increase in area and to shorten a test time. .

実施の形態9.
実施の形態9に係るシーケンシャルテスト用スキャンフリップフロップ(seqSFF)を挿入した半導体装置について、図34を参照して説明する。図34は、実施の形態9に係るseqSFFを挿入した半導体装置1Hの構成を示す図である。図9に示すように、半導体装置1Hは、DUT2、PRPG3、MISR4、LBISTコントローラ5、seqSFF60、seqSFF70を有している。図34においては、seqSFF60はseqSFFと示され、seqSFF70はseqSFF2と示されている。
Embodiment 9 FIG.
A semiconductor device in which the sequential test scan flip-flop (seqSFF) according to the ninth embodiment is inserted will be described with reference to FIG. FIG. 34 is a diagram showing a configuration of the semiconductor device 1H in which the seqSFF according to the ninth embodiment is inserted. As illustrated in FIG. 9, the semiconductor device 1H includes a DUT 2, a PRPG 3, a MISR 4, an LBIST controller 5, a seq SFF 60, and a seq SFF 70. In FIG. 34, seqSFF60 is indicated as seqSFF, and seqSFF70 is indicated as seqSFF2.

半導体装置1Hにおいて、複数のスキャンチェインのうち少なくとも1つのスキャンチェイン6Hには、seqSFF60、seqSFF70が含まれている。図34に示す例では、スキャンチェイン6Hの先頭にseqSFF70が配置され、その後段にseqSFF60と2つのノーマルFFからなるシフトレジスタ構造が配置され、その後段にseqSFF60が接続されている。   In the semiconductor device 1H, at least one scan chain 6H among the plurality of scan chains includes seqSFF60 and seqSFF70. In the example shown in FIG. 34, a seqSFF 70 is arranged at the head of the scan chain 6H, a shift register structure including a seqSFF 60 and two normal FFs is arranged at the subsequent stage, and the seq SFF 60 is connected at the subsequent stage.

seqSFF60としては、図16に示した構成のものが用いられる。なお、図34に示す例ではseqSFF60が用いられているが、seqSFF60の代わりに図21に示した真理値表の機能を満たす他の構成のseqSFFを用いてもよい。seqSFF70以外の構成については、図33で説明したものと同一のため、詳細な説明は省略する。   As the seqSFF 60, one having the configuration shown in FIG. 16 is used. In the example shown in FIG. 34, seqSFF60 is used, but seqSFF having another configuration satisfying the function of the truth table shown in FIG. 21 may be used instead of seqSFF60. Since the configuration other than the seqSFF 70 is the same as that described with reference to FIG. 33, a detailed description thereof will be omitted.

なお、他のスキャンチェインでは、先頭にSFFが配置され、その後段にSFF、2つのノーマルFF、SFFが順に接続されている。すなわち、他のスキャンチェインにはシフトレジスタが含まれている。しかしながら、これに限定されるものではなく、すべてのスキャンチェインに、seqSFF60、seqSFF70が含まれるようにしてもよい。   In other scan chains, an SFF is arranged at the head, and an SFF, two normal FFs, and an SFF are sequentially connected to the subsequent stage. That is, the other scan chain includes a shift register. However, the present invention is not limited to this, and all the scan chains may include seqSFF60 and seqSFF70.

実施の形態9に係る半導体装置1Hでは、クロックシーケンシャルテストにおいて、最後段のseqSFF60に伝搬された故障を、スキャンチェイン6H上で保持可能である。図34に示すように、seqSFF70は、ANDゲート71、XORゲート72、MUX73、FF74、データ入力端子DATA、データ入力端子DATA2、テストイネーブル入力端子SEQ_TEST_EN、スキャンイン端子SIN、制御入力端子SMC、クロック端子CLK、データ出力端子Qを有している。   In the semiconductor device 1H according to the ninth embodiment, the failure propagated to the last-stage seqSFF 60 in the clock sequential test can be held on the scan chain 6H. As shown in FIG. 34, the seqSFF 70 includes an AND gate 71, an XOR gate 72, a MUX 73, an FF 74, a data input terminal DATA, a data input terminal DATA2, a test enable input terminal SEQ_TEST_EN, a scan-in terminal SIN, a control input terminal SMC, and a clock terminal. CLK and data output terminal Q are provided.

seqSFF70の出力は、その後段のseqSFF60のスキャンイン端子SINに接続されている。最後段のseqSFF60の出力は、seqSFF70のデータ入力端子DATA2に接続される。seqSFF70は、seqSFF60と同様に、1回のキャプチャ動作期間において、複数のキャプチャクロックのそれぞれでDUT2からの演算結果を取り込むことが可能である。   The output of the seq SFF 70 is connected to the scan-in terminal SIN of the subsequent seq SFF 60. The output of the last stage seqSFF60 is connected to the data input terminal DATA2 of seqSFF70. Similar to the seqSFF60, the seqSFF70 can capture the calculation results from the DUT2 at each of a plurality of capture clocks in one capture operation period.

データ入力端子DATAには、キャプチャ動作期間に、DUT2からの演算結果が入力される。また、データ入力端子DATA2には、キャプチャ動作期間に、最後段のseqSFF60が第1キャプチャクロックでキャプチャした第1演算結果が入力される。すなわち、seqSFF70は、2系統のデータ入力端子を有している。   The data input terminal DATA receives the calculation result from the DUT 2 during the capture operation period. The first operation result captured by the last seqSFF 60 with the first capture clock during the capture operation period is input to the data input terminal DATA2. That is, the seqSFF 70 has two data input terminals.

ANDゲート71は、データ入力端子DATA2から入力される信号と、テストイネーブル信号との論理積を出力する。XORゲート72は、データ入力端子DATAから入力される信号と、ANDゲート71から入力される論理積とを受信し、排他論理和を出力する。   The AND gate 71 outputs a logical product of the signal input from the data input terminal DATA2 and the test enable signal. The XOR gate 72 receives the signal input from the data input terminal DATA and the logical product input from the AND gate 71, and outputs an exclusive OR.

MUX73は、スキャンイン端子SINから入力される信号と、XORゲート72から出力される信号とを受信する。MUX73は、制御入力端子SMCから入力されるスキャンイネーブル信号に応じて、スキャンシフト動作とキャプチャ動作とを切り替える。図34に示す例では、MUX73は、スキャンイネーブル信号がハイ(1)の時に、スキャンイン端子SINからの入力が有効となる。また、MUX73は、スキャンイネーブル信号がロウ(0)の時に、XORゲート72からの出力が有効となる。   The MUX 73 receives a signal input from the scan-in terminal SIN and a signal output from the XOR gate 72. The MUX 73 switches between the scan shift operation and the capture operation in accordance with the scan enable signal input from the control input terminal SMC. In the example shown in FIG. 34, when the scan enable signal is high (1), the MUX 73 is enabled to input from the scan-in terminal SIN. Further, the MUX 73 is enabled to output from the XOR gate 72 when the scan enable signal is low (0).

FF74は、クロック端子CLKから入力されるクロック信号(clock2)に応じて、MUX73から出力される値を取り込み、データ出力端子Qから後段のseqSFF60のスキャンイン端子SINに出力する。   The FF 74 takes in the value output from the MUX 73 according to the clock signal (clock 2) input from the clock terminal CLK, and outputs the value from the data output terminal Q to the scan-in terminal SIN of the seq SFF 60 in the subsequent stage.

seqSFF70は、スキャンイネーブル信号=1の時にスキャンイン端子SINの値を取り込む。また、seqSFF70は、テストイネーブル信号=0かつスキャンイネーブル信号=0の時、データ入力端子DATAからの値を取り込む。テストイネーブル信号=1かつスキャンイネーブル信号=0の時は、第1キャプチャクロックに続く第2キャプチャクロックで、データ入力端子DATAの値とデータ入力端子DATA2の値の排他論理和が取り込まれる。   The seqSFF 70 takes in the value of the scan-in terminal SIN when the scan enable signal = 1. The seqSFF 70 takes in a value from the data input terminal DATA when the test enable signal = 0 and the scan enable signal = 0. When the test enable signal = 1 and the scan enable signal = 0, the exclusive OR of the value of the data input terminal DATA and the value of the data input terminal DATA2 is captured at the second capture clock following the first capture clock.

すなわち、seqSFF70は、データ入力端子DATAと、データ入力端子DATA2の両方に到達した故障を同時に取り込むことができる。従って、スキャンチェイン6Hの最後段のseqSFF60が第1キャプチャクロックでキャプチャした第1演算結果は、第1キャプチャクロックの後の第2キャプチャクロックで最前段のseqSFF70に伝搬される。   In other words, the seqSFF 70 can simultaneously capture failures that have reached both the data input terminal DATA and the data input terminal DATA2. Therefore, the first calculation result captured by the last seqSFF 60 in the scan chain 6H with the first capture clock is transmitted to the foremost seqSFF 70 with the second capture clock after the first capture clock.

このように、実施の形態9に係る半導体装置1Hでは、クロックシーケンシャルテストにおいて1回のキャプチャ動作期間に複数のキャプチャクロックを印加した場合でも、あるキャプチャクロックでスキャンチェイン6Hの最後段のseqSFF60に取り込まれた故障が、次のキャプチャクロックで最前段のseqSFF70へ伝搬され、消失しない。   As described above, in the semiconductor device 1H according to the ninth embodiment, even when a plurality of capture clocks are applied during one capture operation period in the clock sequential test, they are taken into the last seqSFF 60 of the scan chain 6H with a certain capture clock. The fault that has been transmitted is propagated to the front-stage seqSFF 70 at the next capture clock and does not disappear.

実施の形態5〜8の構成では、クロックシーケンシャルテストにおいて、あるキャプチャクロックで、スキャンチェインの最後段のseqSFF60に取り込まれた演算結果は、次のキャプチャサイクルで伝搬先がなく消失してしまう。   In the configurations of the fifth to eighth embodiments, in the clock sequential test, the operation result captured in the seqSFF 60 at the last stage of the scan chain with a certain capture clock is lost without being transmitted in the next capture cycle.

しかしながら、実施の形態9のように、図34に示す回路構成を用いると、最後段のseqSFF60に取り込まれた演算結果は、次キャプチャサイクルのキャプチャクロックで最前段のseqSFF70へと伝搬でき、消失を防ぐことができる。結果として、のキャプチャ動作期間中にキャプチャクロックを複数回印加したとき、検出可能な故障数が増え、テスト時間の短縮・故障検出率の向上が可能となる。   However, as in the ninth embodiment, when the circuit configuration shown in FIG. 34 is used, the operation result captured in the last-stage seqSFF 60 can be propagated to the first-stage seqSFF 70 with the capture clock of the next capture cycle, and disappears. Can be prevented. As a result, when the capture clock is applied a plurality of times during the capture operation period, the number of faults that can be detected increases, and the test time can be shortened and the fault detection rate can be improved.

なお、seqSFF2としては、図34に示したseqSFF70の論理構造に限定されるものではない。seqSFF70の構成は、図35に示すシーケンシャルテスト用スキャンフリップフロップの概略構成で、図36に示す真理値表に示す機能を満たすものであれば、どのような構成でも構わない。   Note that seqSFF2 is not limited to the logical structure of seqSFF70 shown in FIG. The configuration of the seqSFF 70 is the schematic configuration of the sequential test scan flip-flop shown in FIG. 35, and may be any configuration as long as it satisfies the functions shown in the truth table shown in FIG.

すなわち、スキャンイネーブル信号=0かつテストイネーブル信号=0では、データ入力端子DATAの値が取り込まれる。スキャンイネーブル信号=0かつテストイネーブル信号=1では、データ入力端子DATAとデータ入力端子DATA2の値の排他的論理和

Figure 2017062222
又は否定排他的論理和
Figure 2017062222
が取り込まれる。 That is, when the scan enable signal = 0 and the test enable signal = 0, the value of the data input terminal DATA is captured. When the scan enable signal = 0 and the test enable signal = 1, the values of the data input terminal DATA and the data input terminal DATA2 are exclusive ORed.
Figure 2017062222
Or negative exclusive OR
Figure 2017062222
Is captured.

スキャンイネーブル信号=1では、テストイネーブル信号の値にかかわらず、スキャンイン端子SINの値(SIN)又はスキャンイン端子SINの値の否定

Figure 2017062222
が取り込まれる。 When the scan enable signal = 1, the value of the scan-in terminal SIN (SIN) or the value of the scan-in terminal SIN is negated regardless of the value of the test enable signal.
Figure 2017062222
Is captured.

実施の形態10.
実施の形態10に係るシーケンシャルテスト用スキャンフリップフロップ(seqSFF)を挿入した半導体装置について、図37を参照して説明する。図37は、実施の形態10に係るseqSFFを挿入した半導体装置1Iの構成を示す図である。図37に示すように、半導体装置1Iは、DUT2、PRPG3、MISR4I、LBISTコントローラ5、seqSFF60、を備えている。半導体装置1Iでは、クロックシーケンシャルテストにおいて、最後段のseqSFF60に伝搬された故障を、MISR4Iで保持可能である。
Embodiment 10 FIG.
A semiconductor device in which the sequential test scan flip-flop (seqSFF) according to the tenth embodiment is inserted will be described with reference to FIG. FIG. 37 is a diagram showing a configuration of the semiconductor device 1I in which the seqSFF according to the tenth embodiment is inserted. As shown in FIG. 37, the semiconductor device 1I includes a DUT 2, a PRPG 3, a MISR 4I, an LBIST controller 5, and a seq SFF 60. In the semiconductor device 1I, in the clock sequential test, the fault propagated to the last seqSFF 60 can be held by the MISR 4I.

半導体装置1Iにおいて、複数のスキャンチェインのうち少なくとも1つのスキャンチェイン6Iは、seqSFF60、ノーマルFFとで構成されている。図37に示す例では、スキャンチェイン6Iの先頭にseqSFF60が配置され、その後段にseqSFF60と2つのノーマルFFとからなるシフトレジスタ構造が配置され、その後段にseqSFF60が接続されている。   In the semiconductor device 1I, at least one scan chain 6I among the plurality of scan chains is composed of a seqSFF 60 and a normal FF. In the example shown in FIG. 37, the seqSFF 60 is arranged at the head of the scan chain 6I, the shift register structure including the seq SFF 60 and two normal FFs is arranged at the subsequent stage, and the seq SFF 60 is connected at the subsequent stage.

観測用SFF30としては、図16に示した構成のものが用いられる。なお、図34に示す例ではseqSFF60が用いられているが、seqSFF60の代わりに図21に示した真理値表の機能を満たす他の構成のseqSFFを用いてもよい。他のスキャンチェインでは、先頭にSFFが配置され、その後段にSFF、2つのノーマルFF、SFFが順に接続されている。スキャンチェインの構成については、図33の例と同一であるため、詳細な説明は省略する。   As the observation SFF 30, the one shown in FIG. 16 is used. In the example shown in FIG. 34, seqSFF60 is used, but seqSFF having another configuration satisfying the function of the truth table shown in FIG. 21 may be used instead of seqSFF60. In other scan chains, an SFF is arranged at the head, and an SFF, two normal FFs, and an SFF are sequentially connected to the subsequent stage. The configuration of the scan chain is the same as that of the example of FIG.

スキャンチェイン6Iの最後段のseqSFF60が第1キャプチャクロックでキャプチャした第1演算結果は、第1キャプチャクロックの後の第2キャプチャクロックでMISR4Iに伝搬される。なお、図37に示す例では、MISR4Iには、クロック信号(clock2)が入力されている。MISR4Cは、クロック信号(clock2)に同期して、最後段のseqSFF60から出力される値を取り込む。   The first operation result captured by the last seqSFF 60 of the scan chain 6I with the first capture clock is propagated to the MISR 4I with the second capture clock after the first capture clock. In the example shown in FIG. 37, a clock signal (clock 2) is input to MISR 4I. The MISR 4C captures the value output from the last-stage seqSFF 60 in synchronization with the clock signal (clock 2).

通常のスキャンテストでは、キャプチャ動作期間中のスキャンチェインの最終段フリップフロップの出力を観測する必要がない。このため、通常MISRは、キャプチャ動作期間中最終段フリップフロップの出力値を取り込む機能を持たない。   In a normal scan test, it is not necessary to observe the output of the last stage flip-flop of the scan chain during the capture operation period. For this reason, the normal MISR does not have a function of capturing the output value of the final stage flip-flop during the capture operation period.

実施の形態10では、MISR4Iは、キャプチャ動作期間中もクロックが供給されて動作する。これにより、MISR4Iは、クロックシーケンシャルテストの複数キャプチャクロック印加時にも、スキャンチェイン6Iの最終段のseqSFF60の出力値を取り込むことができる。   In the tenth embodiment, MISR 4I operates with a clock supplied even during the capture operation period. Thus, MISR 4I can capture the output value of seqSFF 60 in the final stage of scan chain 6I even when a plurality of capture clocks are applied in the clock sequential test.

このように、各キャプチャサイクルで、最後段のseqSFF60に到達した故障をMISR4Iで取り込むことができ、故障を消失させることなく観測できる。これにより、クロックシーケンシャルテストの1つのキャプチャ動作期間中に検出可能な故障数を増加させることができ、テスト時間の削減を図ることが可能となる。   In this way, in each capture cycle, the fault that has reached the last-stage seqSFF 60 can be captured by the MISR 4I, and can be observed without disappearing. As a result, the number of faults that can be detected during one capture operation period of the clock sequential test can be increased, and the test time can be reduced.

実施の形態に係る設計装置200について、図38、39を参照して説明する。図38は、実施の形態5〜10に係る半導体装置を設計する設計装置200の構成を示す図である。図39は、実施の形態に係る半導体装置の設計フローを示す図である。設計装置200は、上述したseqSFFを用いた、クロックシーケンシャルテストの効率の高い半導体装置を設計するものである。   A design apparatus 200 according to an embodiment will be described with reference to FIGS. FIG. 38 is a diagram showing a configuration of a design apparatus 200 for designing the semiconductor device according to the fifth to tenth embodiments. FIG. 39 is a diagram illustrating a design flow of the semiconductor device according to the embodiment. The design apparatus 200 is designed to design a semiconductor device using the above-described seqSFF and having high clock sequential test efficiency.

設計装置200は、演算処理装置210、記憶装置220、制御入力装置230、表示出力装置231を有する。制御入力装置230、表示出力装置231は、図12で説明した、制御入力装置130、表示出力装置131と同様の構成であるため、説明を適宜省略する。   The design device 200 includes an arithmetic processing device 210, a storage device 220, a control input device 230, and a display output device 231. The control input device 230 and the display output device 231 have the same configuration as the control input device 130 and the display output device 131 described with reference to FIG.

演算処理装置210は、半導体装置1D〜1Iの設計に必要なプログラムをロードして設計に必要な各機能プロセスを実行可能な装置であり、CPUやメモリ等を含む。演算処理装置210は、スキャン化プログラム212を有している。スキャン化プログラム212は、一般的なスキャン化の機能に加えて、スキャン化対象ユーザFFの中から、図21の真理値表の機能を満たすseqSFFに置換することで、クロックックシーケンシャルテスト適用時の効果が高くなるユーザFFを選定する機能を持つ。   The arithmetic processing unit 210 is a device that can load programs necessary for designing the semiconductor devices 1D to 1I and execute each functional process necessary for the design, and includes a CPU, a memory, and the like. The arithmetic processing unit 210 has a scanning program 212. In addition to the general scan function, the scan program 212 replaces the scan target user FF with a seq SFF that satisfies the function of the truth table in FIG. It has a function of selecting a user FF that is highly effective.

記憶装置220は、機能ライブラリ222、テスト制約DB223、スキャン化ネットリスト226を有している。記憶装置220は、ライブラリやネットリストの情報を保存するHDDディスクやメモリなどの記憶媒体を指す。   The storage device 220 includes a function library 222, a test constraint DB 223, and a scanned netlist 226. The storage device 220 indicates a storage medium such as an HDD disk or a memory for storing library and netlist information.

ネットリスト221には、上述したseqSFFのセル情報の他、ANDゲート、ORゲート、XORゲート等のセル情報、端子間の接続情報等が記憶されている。機能ライブラリ222には、セルの機能等が記憶されている。テスト制約DB223には、テスト時の回路動作に必要な情報が格納されている。   In addition to the above-described seqSFF cell information, the netlist 221 stores cell information such as AND gates, OR gates, and XOR gates, connection information between terminals, and the like. The function library 222 stores cell functions and the like. The test constraint DB 223 stores information necessary for circuit operation during testing.

設計装置200は、演算処理装置210のスキャン化プログラム212を使用し、記憶装置220のネットリスト221や機能ライブラリ222、テスト制約DB223を参照して、実施の形態5〜10で説明したseqSFFを挿入した半導体装置を生成する。   The design apparatus 200 uses the scan program 212 of the arithmetic processing unit 210 and inserts the seqSFF described in the fifth to tenth embodiments with reference to the netlist 221, the function library 222, and the test constraint DB 223 of the storage device 220. A semiconductor device is produced.

ここで、図39を参照して、半導体装置の設計フローについて説明する。図39に示すように、まずネットリスト221、機能ライブラリ222、テスト制約DB223に所定の情報が入力される(ステップS31)。その後、入力された情報を解析することにより、回路情報、テスト制約情報が識別される(ステップS32)。ここでは、回路内のスキャン化可能ユーザFFや、テスト制約情報等が取得される。そして、回路構造からシフトレジスタ構造を有するユーザFFが識別される(ステップS33)。   Here, a design flow of the semiconductor device will be described with reference to FIG. As shown in FIG. 39, first, predetermined information is input to the net list 221, the function library 222, and the test constraint DB 223 (step S31). Thereafter, by analyzing the input information, circuit information and test constraint information are identified (step S32). Here, the scannable user FF in the circuit, test constraint information, and the like are acquired. Then, the user FF having the shift register structure is identified from the circuit structure (step S33).

そして、識別したスキャン化可能なユーザFFの情報に基づき、面積オーバーヘッドを考慮して、seqSFFに置換するユーザFFを任意の指定数分だけ選定して、seqSFFへの置換対象候補を決定する(ステップS34)。seqSFFへの置換対象候補の選定アルゴリズムは、例えば、置換対象ユーザFFの入力論理コーン上の可観測故障数の極大化を求める問題等に帰着させることができる。   Then, based on the information of the identified scannable user FFs, the area overhead is taken into consideration, the user FFs to be replaced with the seqSFF are selected by an arbitrary designated number, and the replacement target candidates for the seqSFF are determined (step S34). The algorithm for selecting a replacement target candidate for seqSFF can be reduced to, for example, a problem of maximizing the number of observable faults on the input logic cone of the replacement target user FF.

seqSFFへの置換対象候補を決定し終えたら、seqSFF置換対象ユーザFFをseqSFFに置換し、それに後続するシフトレジスタ構造を用いてスキャンチェインを構築する(ステップS35)。そして、それ以外のユーザFFについてもSFFに置換してスキャンチェインを構築する(ステップS36)。これにより、seqSFFを挿入したスキャン化ネットリストが出力され(ステップS37)、ネットリストの生成処理が終了する。図38に示す設計装置200を用いることで、シーケンシャルテストの効率の高い回路構造を持つスキャン化ネットリストを生成することができる。   When the candidate for replacement with seqSFF has been determined, the seqSFF replacement target user FF is replaced with seqSFF, and a scan chain is constructed using the subsequent shift register structure (step S35). The other user FFs are also replaced with SFFs to construct a scan chain (step S36). As a result, the scanned netlist with the seqSFF inserted is output (step S37), and the netlist generation process ends. By using the design apparatus 200 shown in FIG. 38, a scanned netlist having a circuit structure with high sequential test efficiency can be generated.

このようにして生成されたスキャン化ネットリストを用いることにより、クロックシーケンシャルテストの1つのキャプチャ動作期間中に検出可能な故障数を増加させ、テスト実行時間の削減を図ることが可能な半導体装置を設計することが可能となる。   A semiconductor device capable of increasing the number of faults that can be detected during one capture operation period of the clock sequential test and reducing the test execution time by using the scanned netlist generated in this way. It becomes possible to design.

なお、以降の工程として、一般的な圧縮回路付加プログラムで圧縮スキャン回路又はLBIST回路の付加を行い、一般的なテスト生成プログラムでクロックシーケンシャルテストパタンの生成を行う。これにより,図31に示すようなテスト時間削減効果が得られる。   As subsequent steps, a compression scan circuit or LBIST circuit is added by a general compression circuit addition program, and a clock sequential test pattern is generated by a general test generation program. Thereby, the test time reduction effect as shown in FIG. 31 is obtained.

なお、上述した実施の形態は、適宜組み合わせることが可能である。例えば、上述したテストポイント回路とシーケンシャルテスト用スキャンフリップフロップの両方を挿入した半導体装置を構成することも可能である。図40に、テストポイント回路20とseqSFF60とを挿入した半導体装置の構成例を示す。   Note that the above-described embodiments can be combined as appropriate. For example, it is possible to configure a semiconductor device in which both the test point circuit and the sequential test scan flip-flop described above are inserted. FIG. 40 shows a configuration example of a semiconductor device in which the test point circuit 20 and the seqSFF 60 are inserted.

図40に示すように、複数のスキャンチェインのうち、少なくとも1つは、観測用SFF20のみにより構成されている。また、他のスキャンチェインの少なくとも1つは、seqSFF60のみにより構成されている。図40に示す例では、1つのスキャンチェインにおいて5つの観測用SFF20がシリアルに接続されている。また、他の1つのスキャンチェインにおいて5つのseqSFF60がシリアルに接続されている。   As shown in FIG. 40, at least one of the plurality of scan chains is composed of only the observation SFF 20. In addition, at least one of the other scan chains is configured by only seqSFF60. In the example shown in FIG. 40, five observation SFFs 20 are serially connected in one scan chain. Further, five seqSFFs 60 are serially connected in the other one scan chain.

このように、観測用SFF20とseqSFF60とを挿入することにより、クロックシーケンシャルテストを行う際に、テストポイント挿入による面積オーバーヘッドの増大を抑制するとともに、テスト時間を短縮しつつ、故障検出率を高くすることが可能となる。   In this way, by inserting the observation SFF 20 and the seq SFF 60, when performing a clock sequential test, an increase in area overhead due to test point insertion is suppressed, and the failure detection rate is increased while shortening the test time. It becomes possible.

以上、本発明者によってなされた発明を実施の形態に基づき具体的に説明したが、本発明は既に述べた実施の形態に限定されるものではなく、その要旨を逸脱しない範囲において種々の変更が可能であることはいうまでもない。   As mentioned above, the invention made by the present inventor has been specifically described based on the embodiments. However, the present invention is not limited to the embodiments already described, and various modifications can be made without departing from the scope of the invention. It goes without saying that it is possible.

1 半導体装置
1A〜1I 半導体装置
2 DUT
3 PRPG
4 MISR
4C MISR
4I MISR
5 LBISTコントローラ
6A〜6I スキャンチェイン
7A〜7D シフトレジスタ構造
8 シフトレジスタ
10 SFF
11 MUX
12 FF
20 観測用SFF
21 XORゲート
22 MUX
23 FF
30 観測用SFF
31 NORゲート
32 XORゲート
33 FF
40 観測用SFF
41 XORゲート
42 MUX
43 FF
50 観測用SFF
51 XORゲート
52 MUX
53 FF
60 seqSFF
60A〜60C seqSFF
61 NORゲート
62 NANDゲート
63 XORゲート
64 FF
65 ORゲート
66 ORゲート
67 XORゲート
68 MUX
69 MUX
70 seqSFF
71 ANDゲート
72 XORゲート
73 MUX
74 FF
SEQ_TEST_EN テストイネーブル入力端子
100 設計装置
110 演算処理装置
111 テストポイント挿入プログラム
112 スキャン化プログラム
120 記憶装置
121 ネットリスト
122 機能ライブラリ
123 テスト制約DB
124 テストポイント挿入済ネットリスト
125 テストポイント挿入情報DB
126 スキャン化ネットリスト
130 制御入力装置
131 表示出力装置
200 設計装置
210 演算処理装置
212 スキャン化プログラム
220 記憶装置
221 ネットリスト
222 機能ライブラリ
223 テスト制約DB
226 スキャン化ネットリスト
230 制御入力装置
231 表示出力装置
DATA データ入力端子
SIN スキャンイン端子
SMC 制御入力端子
CLK クロック端子
Q データ出力端子
DESCRIPTION OF SYMBOLS 1 Semiconductor device 1A-1I Semiconductor device 2 DUT
3 PRPG
4 MISR
4C MISR
4I MISR
5 LBIST controller 6A-6I scan chain 7A-7D shift register structure 8 shift register 10 SFF
11 MUX
12 FF
20 SFF for observation
21 XOR gate 22 MUX
23 FF
30 SFF for observation
31 NOR gate 32 XOR gate 33 FF
40 SFF for observation
41 XOR gate 42 MUX
43 FF
50 SFF for observation
51 XOR gate 52 MUX
53 FF
60 seqSFF
60A-60C seqSFF
61 NOR gate 62 NAND gate 63 XOR gate 64 FF
65 OR gate 66 OR gate 67 XOR gate 68 MUX
69 MUX
70 seqSFF
71 AND gate 72 XOR gate 73 MUX
74 FF
SEQ_TEST_EN Test enable input terminal 100 Design device 110 Arithmetic processing device 111 Test point insertion program 112 Scanning program 120 Storage device 121 Netlist 122 Function library 123 Test constraint DB
124 Test point inserted net list 125 Test point inserted information DB
126 Scanned Net List 130 Control Input Device 131 Display Output Device 200 Design Device 210 Arithmetic Processing Device 212 Scanned Program 220 Storage Device 221 Net List 222 Function Library 223 Test Constraint DB
226 Scanned netlist 230 Control input device 231 Display output device DATA Data input terminal SIN Scan-in terminal SMC Control input terminal CLK Clock terminal Q Data output terminal

Claims (28)

スキャンインされるテスト信号に応じて演算結果を出力する組合せ回路中に挿入されるテストポイント回路であって、
複数の前記テストポイント回路は、スキャンチェインを構成し、
クロックシーケンシャルテストの1回のキャプチャ動作期間において、第1キャプチャクロックで、前記スキャンチェインの前段のテストポイント回路又は最後段のテストポイント回路がキャプチャした第1演算結果を、前記第1キャプチャクロックの後の第2キャプチャクロックで取り込む、
テストポイント回路。
A test point circuit inserted in a combinational circuit that outputs a calculation result in response to a test signal scanned in;
The plurality of test point circuits constitute a scan chain,
In one capture operation period of the clock sequential test, the first operation result captured by the test point circuit in the previous stage or the test point circuit in the last stage of the scan chain with the first capture clock is obtained after the first capture clock. Capture with the second capture clock of
Test point circuit.
スキャンシフト動作期間に前記テスト信号が入力され、前記キャプチャ動作期間に前記スキャンチェインの前段のテストポイント回路がキャプチャした前記第1演算結果が入力されるスキャンイン端子と、
前記組合せ回路からの演算結果が入力されるデータ入力端子と、
前記キャプチャ動作期間において、前記データ入力端子に入力された演算結果と前記スキャンイン端子に入力された前記第1演算結果とを受信し、排他論理和を出力するXORゲートと、
前記排他論理和を前記第2キャプチャクロックで取り込むフリップフロップと、
を備える、
請求項1に記載のテストポイント回路。
A scan-in terminal to which the test signal is input during a scan shift operation period, and the first calculation result captured by a test point circuit in the previous stage of the scan chain is input during the capture operation period;
A data input terminal to which an operation result from the combinational circuit is input;
An XOR gate that receives an operation result input to the data input terminal and the first operation result input to the scan-in terminal and outputs an exclusive OR in the capture operation period;
A flip-flop that captures the exclusive OR with the second capture clock;
Comprising
The test point circuit according to claim 1.
スキャンシフト動作期間に前記テスト信号が入力され、前記キャプチャ動作期間に前記スキャンチェインの前段のテストポイント回路がキャプチャした前記第1演算結果が入力されるスキャンイン端子と、
前記組合せ回路からの演算結果が入力されるデータ入力端子と、
前記キャプチャ動作期間において、前記データ入力端子に入力された演算結果と前記スキャンシフト動作から前記キャプチャ動作へ切替える制御信号とを受信して、否定論理和を出力するNORゲートと、
前記スキャンイン端子に入力された前記第1演算結果と、前記否定論理和とを受信して、排他論理和を出力するXORゲートと、
前記XORゲートから入力される前記排他論理和を前記第2キャプチャクロックで取り込むフリップフロップと、
を備える、
請求項1に記載のテストポイント回路。
A scan-in terminal to which the test signal is input during a scan shift operation period, and the first calculation result captured by a test point circuit in the previous stage of the scan chain is input during the capture operation period;
A data input terminal to which an operation result from the combinational circuit is input;
A NOR gate that receives a calculation result input to the data input terminal and a control signal for switching from the scan shift operation to the capture operation in the capture operation period and outputs a negative OR;
An XOR gate that receives the first operation result input to the scan-in terminal and the negative logical sum and outputs an exclusive logical sum;
A flip-flop that captures the exclusive OR input from the XOR gate with the second capture clock;
Comprising
The test point circuit according to claim 1.
スキャンシフト動作期間に前記テスト信号が入力されるスキャンイン端子と、
前記組合せ回路からの演算結果が入力される第1データ入力端子と、
前記キャプチャ動作期間に前記スキャンチェインの最後段のテストポイント回路がキャプチャした前記第1演算結果が入力される第2データ入力端子と、
前記キャプチャ動作期間において、前記第1データ入力端子に入力された演算結果と前記第2データ入力端子に入力された前記第1演算結果とを受信し、排他論理和を出力するXORゲートと、
前記排他論理和を前記第2キャプチャクロックで取り込むフリップフロップと、
を備える、
請求項1に記載のテストポイント回路。
A scan-in terminal to which the test signal is input during a scan shift operation period;
A first data input terminal to which an operation result from the combinational circuit is input;
A second data input terminal to which the first operation result captured by the test point circuit at the last stage of the scan chain is input during the capture operation period;
An XOR gate that receives an operation result input to the first data input terminal and the first operation result input to the second data input terminal and outputs an exclusive OR in the capture operation period;
A flip-flop that captures the exclusive OR with the second capture clock;
Comprising
The test point circuit according to claim 1.
スキャンインされるテスト信号に応じて演算結果を出力する組合せ回路と、
前記組合せ回路中に挿入される複数のテストポイント回路と、
を備え、
複数の前記テストポイント回路は、スキャンチェインを構成し、
前記スキャンチェインは、
クロックシーケンシャルテストの1回のキャプチャ動作期間において、
第1キャプチャクロックで、第1演算結果をキャプチャする第1テストポイント回路と、
前記第1キャプチャクロックの後の第2キャプチャクロックで、前記第1テストポイント回路でキャプチャされた前記第1演算結果を取り込む、第2テストポイント回路と、
を含む、
半導体装置。
A combinational circuit that outputs a calculation result according to a test signal scanned in;
A plurality of test point circuits inserted into the combinational circuit;
With
The plurality of test point circuits constitute a scan chain,
The scan chain is
In one capture operation period of the clock sequential test,
A first test point circuit for capturing a first operation result with a first capture clock;
A second test point circuit for capturing the first operation result captured by the first test point circuit at a second capture clock after the first capture clock;
including,
Semiconductor device.
前記第2テストポイント回路は、前記第1テストポイント回路の後段に設けられている、
請求項5に記載の半導体装置。
The second test point circuit is provided at a subsequent stage of the first test point circuit.
The semiconductor device according to claim 5.
前記スキャンチェインの最後段に設けられた第3テストポイント回路をさらに含み、
前記第1テストポイント回路は、前記スキャンチェインの最前段に設けられており、
前記第3テストポイント回路は、前記第1キャプチャクロックで第2演算結果をキャプチャし、
前記第1テストポイント回路は、前記第2キャプチャクロックで、前記第3テストポイント回路でキャプチャされた前記第2演算結果を受信する、
請求項6に記載の半導体装置。
A third test point circuit provided at the last stage of the scan chain;
The first test point circuit is provided in the forefront stage of the scan chain,
The third test point circuit captures a second operation result with the first capture clock;
The first test point circuit receives the second operation result captured by the third test point circuit at the second capture clock;
The semiconductor device according to claim 6.
前記第1テストポイント回路は、前記スキャンチェインの最後段に設けられており、
前記第2テストポイント回路は、前記スキャンチェインの最前段に設けられている、
請求項5に記載の半導体装置。
The first test point circuit is provided at the last stage of the scan chain,
The second test point circuit is provided in the forefront stage of the scan chain.
The semiconductor device according to claim 5.
前記スキャンチェインから出力される応答結果を圧縮する圧縮器をさらに備え、
前記圧縮器は、前記第1キャプチャクロックで前記スキャンチェインの最後段に設けられたテストポイント回路がキャプチャした演算結果を、前記第2キャプチャクロックで取り込む、
請求項5に記載の半導体装置。
A compressor for compressing a response result output from the scan chain;
The compressor captures an operation result captured by a test point circuit provided at the last stage of the scan chain with the first capture clock with the second capture clock.
The semiconductor device according to claim 5.
前記第2テストポイント回路は、
スキャンシフト動作期間に前記テスト信号が入力され、前記キャプチャ動作期間に前記スキャンチェインの前段のテストポイント回路がキャプチャした前記第1演算結果が入力されるスキャンイン端子と、
前記組合せ回路からの演算結果が入力されるデータ入力端子と、
前記キャプチャ動作期間において、前記データ入力端子に入力された演算結果と前記スキャンイン端子に入力された前記第1演算結果とを受信し、排他論理和を出力するXORゲートと、
前記排他論理和を前記第2キャプチャクロックで取り込むフリップフロップと、
を備える、
請求項5に記載の半導体装置。
The second test point circuit includes:
A scan-in terminal to which the test signal is input during a scan shift operation period, and the first calculation result captured by a test point circuit in the previous stage of the scan chain is input during the capture operation period;
A data input terminal to which an operation result from the combinational circuit is input;
An XOR gate that receives an operation result input to the data input terminal and the first operation result input to the scan-in terminal and outputs an exclusive OR in the capture operation period;
A flip-flop that captures the exclusive OR with the second capture clock;
Comprising
The semiconductor device according to claim 5.
前記第2テストポイント回路は、
スキャンシフト動作期間に前記テスト信号が入力され、前記キャプチャ動作期間に前記スキャンチェインの前段のテストポイント回路がキャプチャした前記第1演算結果が入力されるスキャンイン端子と、
前記組合せ回路からの演算結果が入力されるデータ入力端子と、
前記キャプチャ動作期間において、前記データ入力端子に入力された演算結果と前記スキャンシフト動作から前記キャプチャ動作へ切替える制御信号とを受信して、否定論理和を出力するNORゲートと、
前記スキャンイン端子に入力された前記第1演算結果と、前記否定論理和とを受信して、排他論理和を出力するXORゲートと、
前記XORゲートから入力される前記排他論理和を前記第2キャプチャクロックで取り込むフリップフロップと、
を備える、
請求項5に記載の半導体装置。
The second test point circuit includes:
A scan-in terminal to which the test signal is input during a scan shift operation period, and the first calculation result captured by a test point circuit in the previous stage of the scan chain is input during the capture operation period;
A data input terminal to which an operation result from the combinational circuit is input;
A NOR gate that receives a calculation result input to the data input terminal and a control signal for switching from the scan shift operation to the capture operation in the capture operation period and outputs a negative OR;
An XOR gate that receives the first operation result input to the scan-in terminal and the negative logical sum and outputs an exclusive logical sum;
A flip-flop that captures the exclusive OR input from the XOR gate with the second capture clock;
Comprising
The semiconductor device according to claim 5.
前記第2テストポイント回路は、
スキャンシフト動作期間に前記テスト信号が入力されるスキャンイン端子と、
前記組合せ回路からの演算結果が入力される第1データ入力端子と、
前記キャプチャ動作期間に前記スキャンチェインの最後段のテストポイント回路前記第1演算結果が入力される第2データ入力端子と、
前記キャプチャ動作期間において、前記第1データ入力端子に入力された演算結果と前記第2データ入力端子に入力された前記第1演算結果とを受信し、排他論理和を出力するXORゲートと、
前記排他論理和を前記第2キャプチャクロックで取り込むフリップフロップと、
を備える、
請求項5に記載の半導体装置。
The second test point circuit includes:
A scan-in terminal to which the test signal is input during a scan shift operation period;
A first data input terminal to which an operation result from the combinational circuit is input;
A test point circuit at the last stage of the scan chain during the capture operation period; a second data input terminal to which the first calculation result is input;
An XOR gate that receives an operation result input to the first data input terminal and the first operation result input to the second data input terminal and outputs an exclusive OR in the capture operation period;
A flip-flop that captures the exclusive OR with the second capture clock;
Comprising
The semiconductor device according to claim 5.
前記スキャンチェインの入力側に設けられ、前記テスト信号として擬似乱数を供給する擬似乱数生成器をさらに備える、
請求項5に記載の半導体装置。
A pseudo random number generator provided on the input side of the scan chain and supplying a pseudo random number as the test signal;
The semiconductor device according to claim 5.
予め設定されたプログラムに従って所定の処理を実行可能な演算処理装置を備える半導体装置の設計装置であって、
前記半導体装置は、
スキャンインされるテスト信号に応じて演算結果を出力する組合せ回路と、
前記組合せ回路中に挿入される複数のテストポイント回路と、
を備え、
複数の前記テストポイント回路は、スキャンチェインを構成し、
前記スキャンチェインは、
クロックシーケンシャルテストの1回のキャプチャ動作期間において、
第1キャプチャクロックで、第1演算結果をキャプチャする第1テストポイント回路と、
前記第1キャプチャクロックの後の第2キャプチャクロックで、前記第1テストポイント回路でキャプチャされた前記第1演算結果を取り込む、第2テストポイント回路と、
を含み、
前記演算処理装置は、ネットリストを参照して前記半導体装置を生成する回路生成処理を実行する、
設計装置。
A semiconductor device design apparatus including an arithmetic processing unit capable of executing predetermined processing according to a preset program,
The semiconductor device includes:
A combinational circuit that outputs a calculation result according to a test signal scanned in;
A plurality of test point circuits inserted into the combinational circuit;
With
The plurality of test point circuits constitute a scan chain,
The scan chain is
In one capture operation period of the clock sequential test,
A first test point circuit for capturing a first operation result with a first capture clock;
A second test point circuit for capturing the first operation result captured by the first test point circuit at a second capture clock after the first capture clock;
Including
The arithmetic processing unit executes a circuit generation process for generating the semiconductor device with reference to a net list.
Design equipment.
前記演算処理装置は、
前記組合せ回路からの演算結果が所定の論理状態になる確率を解析する解析処理を実行し、
前記解析処理の結果に基づき、テストポイント回路の挿入位置を選定し、
選定された前記挿入位置に前記第1テストポイント回路及び前記第2テストポイント回路を挿入し、
前記第1テストポイント回路と前記第2テストポイント回路とを用いて、前記スキャンチェインを構成する、
請求項14に記載の設計装置。
The arithmetic processing unit includes:
Performing an analysis process for analyzing a probability that an operation result from the combinational circuit becomes a predetermined logic state;
Based on the result of the analysis process, the insertion position of the test point circuit is selected,
Inserting the first test point circuit and the second test point circuit at the selected insertion position;
The scan chain is configured using the first test point circuit and the second test point circuit.
The design apparatus according to claim 14.
前記演算処理装置は、
前記スキャンチェインにおいて、前記第2テストポイント回路を前記第1テストポイント回路の後段に接続する処理を実行する、
請求項14に記載の設計装置。
The arithmetic processing unit includes:
In the scan chain, a process of connecting the second test point circuit to a subsequent stage of the first test point circuit is executed.
The design apparatus according to claim 14.
前記半導体装置は、
前記第1及び第2テストポイント回路とともに、前記スキャンチェインを構成し、前記第2キャプチャクロックで、第2演算結果をキャプチャする第3テストポイント回路をさらに含み、
前記演算処理装置は、
前記第1テストポイント回路が前記第2演算結果を受信するよう、前記第1テストポイント回路を前記スキャンチェインの最前段に、前記第3テストポイント回路を前記スキャンチェインの最後段に接続する処理を実行する、
請求項14に記載の設計装置。
The semiconductor device includes:
A third test point circuit that configures the scan chain together with the first and second test point circuits and captures a second operation result with the second capture clock;
The arithmetic processing unit includes:
A process of connecting the first test point circuit to the forefront stage of the scan chain and the third test point circuit to the last stage of the scan chain so that the first test point circuit receives the second operation result. Run,
The design apparatus according to claim 14.
前記演算処理装置は、
前記第1テストポイント回路を前記スキャンチェインの最後段に、前記第2テストポイント回路を前記スキャンチェインの最前段に接続する処理を実行する、
請求項14に記載の設計装置。
The arithmetic processing unit includes:
Executing a process of connecting the first test point circuit to the last stage of the scan chain and connecting the second test point circuit to the front stage of the scan chain;
The design apparatus according to claim 14.
前記演算処理装置は、前記スキャンチェインの最後段に設けられた前記テストポイント回路の出力側に、前記スキャンチェインから出力される応答結果を圧縮する圧縮器を挿入する処理を実行する、
請求項14に記載の設計装置。
The arithmetic processing unit executes a process of inserting a compressor for compressing a response result output from the scan chain on the output side of the test point circuit provided in the last stage of the scan chain.
The design apparatus according to claim 14.
前記圧縮器は、前記第1キャプチャクロックで前記スキャンチェインの最後段に設けられたテストポイント回路がキャプチャした演算結果を、前記第2キャプチャクロックで取り込む、
請求項19に記載の設計装置。
The compressor captures an operation result captured by a test point circuit provided at the last stage of the scan chain with the first capture clock with the second capture clock.
The design apparatus according to claim 19.
スキャンチェインを構成し、シフトレジスタとして動作するスキャンシフト動作と、組み合わせ回路からの演算結果をキャプチャするキャプチャ動作とを切り替え可能なシーケンシャルテスト用スキャンフリップフロップであって、
前記シーケンシャルテスト用スキャンフリップフロップは、
テストイネーブル信号が入力されるテストイネーブル入力端子を備え、
前記テストイネーブル信号に応じて、クロックシーケンシャルテストの1回のキャプチャ動作期間において、
第1キャプチャクロックの後の第2キャプチャクロックで、前記スキャンチェインにおける当該シーケンシャルテスト用スキャンフリップフロップの前段又は前記スキャンチェインの最後段の第1セルが前記第1キャプチャクロックでキャプチャした前記組み合わせ回路からの第1演算結果を取り込む、
シーケンシャルテスト用スキャンフリップフロップ。
A scan flip-flop for a sequential test that can switch between a scan shift operation that constitutes a scan chain and operates as a shift register, and a capture operation that captures an operation result from a combinational circuit,
The sequential test scan flip-flop
A test enable input terminal for inputting a test enable signal is provided.
In response to the test enable signal, in one capture operation period of the clock sequential test,
From the combination circuit captured by the first capture clock by the first cell at the last stage of the scan chain in the scan chain or at the last stage of the scan chain at the second capture clock after the first capture clock. The first calculation result of
Scan flip-flop for sequential test.
前記シーケンシャルテスト用スキャンフリップフロップは、
前記第2キャプチャクロックで、前記第1演算結果と当該シーケンシャルテスト用スキャンフリップフロップがキャプチャする前記組み合わせ回路からの第2演算結果との排他的論理和又は否定排他的論理和を取り込む、
請求項21に記載のシーケンシャルテスト用スキャンフリップフロップ。
The sequential test scan flip-flop
In the second capture clock, an exclusive OR or a negative exclusive OR of the first operation result and the second operation result from the combinational circuit captured by the sequential test scan flip-flop is captured.
The sequential test scan flip-flop according to claim 21.
請求項21に記載のシーケンシャルテスト用スキャンフリップフロップと第1セルとを含むスキャンチェインと、組み合わせ回路とを備え、
前記スキャンチェイン内の前記第1セル及び前記シーケンシャルテスト用スキャンフリップフロップをシフトレジスタとして動作させるスキャンシフト動作と、前記組み合わせ回路からの演算結果をキャプチャするキャプチャ動作とを切り替え可能な半導体装置であって、
前記第1セルは、
クロックシーケンシャルテストの1回のキャプチャ動作期間における第1キャプチャクロックで、第1演算結果をキャプチャし、
前記シーケンシャルテスト用スキャンフリップフロップは、
テストイネーブル信号が入力されるテストイネーブル入力端子を備え、
前記テストイネーブル信号に応じて、前記第1キャプチャクロックの後の第2キャプチャクロックで、前記第1演算結果を取り込む、
半導体装置。
A scan chain including the sequential test scan flip-flop according to claim 21 and a first cell, and a combinational circuit,
A semiconductor device capable of switching between a scan shift operation for operating the first cell in the scan chain and the scan flip-flop for sequential test as a shift register and a capture operation for capturing a calculation result from the combinational circuit. ,
The first cell is
Capture the first operation result with the first capture clock in one capture operation period of the clock sequential test,
The sequential test scan flip-flop
A test enable input terminal for inputting a test enable signal is provided.
In response to the test enable signal, the first operation result is captured at a second capture clock after the first capture clock.
Semiconductor device.
前記シーケンシャルテスト用スキャンフリップフロップは、前記第2キャプチャクロックで、前記第1演算結果と、当該シーケンシャルテスト用スキャンフリップフロップがキャプチャする前記組み合わせ回路からの第2演算結果との排他的論理和又は否定排他的論理和を取り込む、
請求項23に記載の半導体装置。
The sequential test scan flip-flop is an exclusive OR or negation of the first operation result and the second operation result from the combinational circuit captured by the sequential test scan flip-flop at the second capture clock. Incorporating exclusive OR
24. The semiconductor device according to claim 23.
前記第1セルは、スキャンフリップフロップであり、
前記スキャンチェインにおいて、前記第1セルの後段に前記シーケンシャルテスト用スキャンフリップフロップが接続される、
請求項23に記載の半導体装置。
The first cell is a scan flip-flop;
In the scan chain, the sequential test scan flip-flop is connected to the subsequent stage of the first cell.
24. The semiconductor device according to claim 23.
前記第1セルは、前記シーケンシャルテスト用スキャンフリップフロップと同様の構成を有している、
請求項23に記載の半導体装置。
The first cell has a configuration similar to the sequential test scan flip-flop,
24. The semiconductor device according to claim 23.
前記スキャンチェインは、
前記シーケンシャルテスト用スキャンフリップフロップと同様の構成を有する第2セルと、
前記第2セルがキャプチャする前記組み合わせ回路からの第3演算結果をそのまま取り込むフリップフロップと、
を含むシフトレジスタ構造をさらに備える、
請求項23に記載の半導体装置。
The scan chain is
A second cell having the same configuration as the sequential test scan flip-flop;
A flip-flop that directly captures the third operation result from the combinational circuit captured by the second cell;
Further comprising a shift register structure comprising:
24. The semiconductor device according to claim 23.
前記スキャンチェインから出力される応答結果を圧縮する圧縮器をさらに備え、
前記圧縮器は、前記第1キャプチャクロックで前記スキャンチェインの最後段に設けられた前記シーケンシャルテスト用スキャンフリップフロップがキャプチャした演算結果を、前記第2キャプチャクロックで観測する、
請求項25に記載の半導体装置。
A compressor for compressing a response result output from the scan chain;
The compressor observes the operation result captured by the sequential test scan flip-flop provided at the last stage of the scan chain with the first capture clock with the second capture clock.
The semiconductor device according to claim 25.
JP2016023384A 2015-09-24 2016-02-10 Test point circuit, scan flip-flop for sequential test, semiconductor device and design device Active JP6654456B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/220,427 US10078114B2 (en) 2015-09-24 2016-07-27 Test point circuit, scan flip-flop for sequential test, semiconductor device and design device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015186270 2015-09-24
JP2015186270 2015-09-24

Publications (2)

Publication Number Publication Date
JP2017062222A true JP2017062222A (en) 2017-03-30
JP6654456B2 JP6654456B2 (en) 2020-02-26

Family

ID=58429608

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016023384A Active JP6654456B2 (en) 2015-09-24 2016-02-10 Test point circuit, scan flip-flop for sequential test, semiconductor device and design device

Country Status (1)

Country Link
JP (1) JP6654456B2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109270432A (en) * 2018-09-28 2019-01-25 长鑫存储技术有限公司 Test method and test macro
JP2019145048A (en) * 2018-02-23 2019-08-29 シナプティクス インコーポレイテッド Semiconductor integrated circuit, method of designing the same, program, and storage medium
CN112100974A (en) * 2020-09-17 2020-12-18 深圳市一博科技股份有限公司 Test point checking method based on ALLEGRO software
CN114217204A (en) * 2021-11-29 2022-03-22 芯盟科技有限公司 Circuit and method for observing test point inserted into test circuit
CN116106729A (en) * 2023-02-10 2023-05-12 无锡玖熠半导体科技有限公司 Scan chain and combinational logic fault diagnosis method based on cost loss factor

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019145048A (en) * 2018-02-23 2019-08-29 シナプティクス インコーポレイテッド Semiconductor integrated circuit, method of designing the same, program, and storage medium
JP7169044B2 (en) 2018-02-23 2022-11-10 シナプティクス インコーポレイテッド Semiconductor integrated circuit, its design method, program and storage medium
CN109270432A (en) * 2018-09-28 2019-01-25 长鑫存储技术有限公司 Test method and test macro
CN109270432B (en) * 2018-09-28 2024-03-26 长鑫存储技术有限公司 Test method and test system
CN112100974A (en) * 2020-09-17 2020-12-18 深圳市一博科技股份有限公司 Test point checking method based on ALLEGRO software
CN114217204A (en) * 2021-11-29 2022-03-22 芯盟科技有限公司 Circuit and method for observing test point inserted into test circuit
CN116106729A (en) * 2023-02-10 2023-05-12 无锡玖熠半导体科技有限公司 Scan chain and combinational logic fault diagnosis method based on cost loss factor

Also Published As

Publication number Publication date
JP6654456B2 (en) 2020-02-26

Similar Documents

Publication Publication Date Title
JP5537158B2 (en) Low power scan test technology and equipment
JP6654456B2 (en) Test point circuit, scan flip-flop for sequential test, semiconductor device and design device
KR101592042B1 (en) Methods for analyzing scan chains, and for determining numbers or locations of hold time faults in scan chains
CN105631077B (en) Integrated circuit with increased fault coverage
US8086923B2 (en) Accurately identifying failing scan bits in compression environments
US8356218B2 (en) Fault location estimation device, fault location estimation method, and program
JP5845187B2 (en) Failure detection system, take-out device, failure detection method, program, and recording medium
US11555854B2 (en) Deterministic stellar built-in self test
US20180252768A1 (en) Test Application Time Reduction Using Capture-Per-Cycle Test Points
US11815555B2 (en) Universal compactor architecture for testing circuits
US10078114B2 (en) Test point circuit, scan flip-flop for sequential test, semiconductor device and design device
US10996273B2 (en) Test generation using testability-based guidance
US10520550B2 (en) Reconfigurable scan network defect diagnosis
JP5549622B2 (en) Failure diagnosis method, failure diagnosis apparatus, test system and program
US11320487B1 (en) Programmable test compactor for improving defect determination
JP2013019744A (en) Fail point estimation device, method and program
US11408938B2 (en) Bidirectional scan cells for single-path reversible scan chains
JP2006058152A (en) Testing method for semiconductor device and testing circuit of semiconductor device
Vierhaus et al. Test Technology for Sequential Circuits
Lagos-Benites et al. An Effective Approach for the Diagnosis of Transition-Delay Faults in SoCs, based on SBST and Scan Chains
Hussain et al. DESIGN OF SIGNATURE REGISTERS USING SCAN FLIP-FLOPS FOR ON-CHIP DELAY MEASUREMENT

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180913

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190702

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190628

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190902

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200107

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200130

R150 Certificate of patent or registration of utility model

Ref document number: 6654456

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150