WO2016068573A1 - Chip test time minimizing method and device therefor - Google Patents

Chip test time minimizing method and device therefor Download PDF

Info

Publication number
WO2016068573A1
WO2016068573A1 PCT/KR2015/011374 KR2015011374W WO2016068573A1 WO 2016068573 A1 WO2016068573 A1 WO 2016068573A1 KR 2015011374 W KR2015011374 W KR 2015011374W WO 2016068573 A1 WO2016068573 A1 WO 2016068573A1
Authority
WO
WIPO (PCT)
Prior art keywords
scan
shift frequency
pattern
section
shift
Prior art date
Application number
PCT/KR2015/011374
Other languages
French (fr)
Korean (ko)
Inventor
송재훈
Original Assignee
(주)이노티오
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020140159605A external-priority patent/KR101618821B1/en
Priority claimed from KR1020140159606A external-priority patent/KR101618822B1/en
Application filed by (주)이노티오 filed Critical (주)이노티오
Publication of WO2016068573A1 publication Critical patent/WO2016068573A1/en

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/26Testing of individual semiconductor devices
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences

Definitions

  • the present invention relates to integrated circuit (IC) chip testing, and more particularly, to a method and apparatus for minimizing time of chip testing by optimizing scan shift frequency.
  • IC integrated circuit
  • the scan design method is one of the design for testability (DFT) methods considering the test used to increase the controllability and observability of the circuit.
  • DFT design for testability
  • Using the scan design method a small but high fault coverage test is performed using the Automatic Test Pattern Generator (ATPG) software, which automatically generates test patterns based on the structural information of the circuit. Data can be obtained.
  • ATG Automatic Test Pattern Generator
  • Test data obtained through scan design and ATPG software consists of at least one scan pattern.
  • scan patterns are ordered in the performance of scan tests.
  • the test pattern set consists of one or more scan patterns.
  • FIG. 1 is a diagram illustrating an example of an IC chip to which a conventional scan design method is applied.
  • the IC chip 100 is a sequential logic composed of at least one combination circuit 110 and a plurality of flip-flops 120, 130, and 140.
  • the flip-flops 120, 130, and 140 may be a scan flip-flop of a multiplexer (MUX) method, or various other methods.
  • MUX multiplexer
  • the IC chip 100 may include a primary input (PI) port 150, a primary output (PO) port 152, a scan enable (SE) port 160, and a scan input port 162. ), A clock input port 164, a scan output port 166, and the like.
  • the scan activation port 160 and the clock input port 164 are connected to the flip-flops 120, 130, and 140, respectively.
  • Each flip-flop 120, 130, 140 may be connected to the combination circuit 110 to output a value stored in each flip-flop to the combination circuit, and receive a value output from the combination circuit.
  • the main input port 150 and the main output port 152 are ports for inputting and outputting data during normal operation of the IC chip.
  • the scan activation port 160 is a port for inputting a scan enable signal or a scan disable signal, and according to the scan enable signal or the scan disable signal, the IC chip enters a normal or functional mode in which a normal operation is performed. The scan mode is tested to test the IC chip.
  • the scan input port 162 is a port for inputting a scan pattern for testing the IC chip 100
  • the scan output port 166 is a port for outputting a test result based on the scan pattern.
  • the bit pattern output through the scan output port is called an output scan pattern or an output pattern, and may be, for example, a scan test result pattern.
  • the clock input port 164 shifts and loads a scan pattern input through the scan input port 162 to the flip-flops 120, 130, and 140, or captures the output of the combination circuit 110 on the flip-flops 120, 130, and 140. It is a port to input clock signal for triggering.
  • the flip-flops 120, 130, and 140 are triggered by the rising edge or the falling edge of the clock signal input through the clock input port 164 to store or capture an input value.
  • a path (dashed path) connected to the scan output port 166 through the plurality of flip-flops 120, 130, and 140 from the scan input port 162 is called a scan chain or a scan path.
  • 1 illustrates a single scan chain, a plurality of scan chains may be used.
  • the combination circuit 110 performs a normal operation of receiving data through the main input port 150 and outputting a result through the main output port 152.
  • the flip-flops 120, 130, and 140 receive an output value of the combination circuit 110 according to the clock signal, and this operation is called scan capture during the scan test.
  • each bit of the scan pattern is sequentially shifted-in to flip-flops 120, 130, and 140 present on the scan path in accordance with the clock signal, and sequentially through the scan output port 166. It is shifted out.
  • a state in which the scan pattern is shifted-in to the flip-flops 120, 130, and 140 is called a load, and an unloaded state in which the value stored in the flip-flop 120, 130, and 140 is shifted out through the scan output port 166 is unloaded.
  • the length of each scan pattern consists of three bits of length equal to the number of flip-flops on the scan chain, and the three-bit scan pattern is
  • the shift signals are sequentially shifted into the flip-flops 120, 130 and 140 on the scan chain according to the clock signal. That is, when a value is stored in the flip-flop on the rising edge of the clock signal, the first bit of the scan pattern is input and stored in the first flip-flop 140 on one rising edge of the clock signal, and then stored in the next clock signal.
  • the output value of the first flip-flop 140 is stored in the second flip-flop 130, and the second flip-flop 140 stores the second bit value of the scan pattern.
  • the output value of the second flip-flop 130 is stored in the third flip-flop 120
  • the output value of the first flip-flop 140 is stored in the second flip-flop 130
  • the first The third flip-flop 140 stores the third bit value of the scan pattern. Therefore, one scan pattern is loaded into the flip-flops 120, 130 and 140 on the scan chain with three clock signals. Similarly, with the three clock signals, the values of the flip-flops 120, 130 and 140 on the scan chain are unloaded through the scan output port 166.
  • the scan activation signal is applied to the scan activation port 160 to make the chip 100 in the scan mode.
  • the scan deactivation signal is applied to the scan activation port 160 to switch the chip 100 from the scan mode to the function mode.
  • the functional mode when a clock signal is applied, the flip-flops 120, 130, and 140 capture the output values of the combination circuit 110, and this operation is called scan capture, and this mode is also called a scan capture mode.
  • the scan activation signal is applied to the scan activation port 160 to switch the chip back from the functional mode to the scan mode.
  • the unloaded output pattern is compared with a known prediction pattern to determine whether the IC chip is operating normally.
  • the prediction pattern is a scan pattern that is output through the scan output port 166 after applying the main input test data and the scan pattern and performing the scan capture operation when the IC chip is normal, and is a value known before the test. That is, if the comparison result in step (3) and the comparison result in step (7) are the same, that is, if the test result is pass, the IC chip is good and the IC chip is defective.
  • the fixing failure refers to a problem in which a signal line on the IC chip is inadvertently stuck to a logic 0 or logic 1 value
  • the delay failure refers to any signal line or path on the IC chip. When passing the signal value through the path, it means a failure that does not meet the specifications of the IC chip due to the delay time.
  • Types of delay failure tests also include transition delay tests and path delay tests.
  • the transition delay test is to test whether a specific node or signal line on the IC chip has a 0-to-1 or 1-to-0 signal value transition delay problem.
  • the path delay test is to test whether a particular path on the IC chip has a 0-to-1 or 1-to-0 signal value transition delay time problem.
  • Representative methods for delay failure testing include launch-on-capture and launch-on-shift methods, which also scan the scan pattern for delay failure testing. It consists of a load operation that shifts in on the chain and an unload operation that shifts out the delayed test results that are captured by flip-flops on the scan chain.
  • simply increasing the scan shift frequency may cause an overkill problem in which a good product is judged to be defective due to a power consumption or a critical path delay time.
  • DSM deep sub-micron
  • IC chips are becoming more power-lower, and the impact of power supply noise on IC chip operating frequencies is higher.
  • the IC chip since the IC chip generates more switching operation in scan mode than in function mode, the additional delay of the signal line caused by power supply noise due to switching operation can cause delay test overkill, which simply increases the shift frequency. There is a limit.
  • the IR Scan test error problems can occur due to -drop or ground-bounce.
  • the effects of IR-drop, or voltage drop can cause additional delays on certain signal lines, which can cause delay test overkill.
  • IR-drop or ground-bounce problems may not occur due to the process and design characteristics of the chip.
  • the critical shift timing problem may occur on the scan chain due to the increased shift frequency even if the power consumption value does not exceed the IC chip specification. have.
  • increasing the shift frequency may cause a critical path timing problem on the scan chain, but may not cause a logical problem due to the scan pattern.
  • the case of a false critical path may occur in a particular scan shift cycle.
  • low-power IC chips that use multiple voltage island or voltage domain or region techniques provide high voltages for design areas that require high speed performance, and relatively low voltages for areas that do not. As a result, the permissible power consumption is different for each voltage region.
  • the present invention provides a method for minimizing chip test time by optimizing a shift frequency used to shift-in or shift-out a scan pattern into a scan chain for each scan section to minimize scan test time or burn-in test time. To provide the device.
  • the output pattern of the scan chain obtained by increasing or decreasing the shift frequency or the period of the shift frequency Determining a first shift frequency based on a result of comparing a to a prediction pattern, wherein the shift frequency or the period of the shift frequency is the frequency or frequency of the shift-in or shift-out of the scan section.
  • Another example of the method for minimizing chip test time according to the present invention for achieving the above technical problem includes determining a different shift frequency for each of the at least two scan sections; for each scan section The determined shift frequency is characterized in that the output pattern of the scan chain is smaller than the shift frequency which is different from the prediction pattern.
  • an example of an apparatus for minimizing chip test time may include: a frequency increase and decrease unit configured to increase or decrease a scan shift frequency; A pattern input unit configured to input a scan pattern including at least one scan section into a scan chain; A pattern comparison unit to determine whether an output pattern of the scan chain is identical to a prediction pattern; And a frequency grading unit that grasps a shift frequency smaller than a shift frequency at a point in time at which the output pattern and the prediction pattern are different as possible shift frequencies of the scan section, wherein each of the shift frequencies identified for at least two scan sections is included. Some or all of them are different from each other.
  • Another example of a method for minimizing chip test time according to the present invention for achieving the above technical problem is power consumption or consumption when shifting a scan section in a scan chain at a predetermined initial shift frequency among at least two scan sections. And determining, for at least one scan section whose current is above or above a predetermined threshold, an output frequency of the scan chain is equal to a prediction pattern.
  • the power consumption or current consumption when shifting the first scan section and the second scan section in the scan chain is a preset threshold. Determining a first shift frequency and a second shift frequency that are above or above a value; And determining a third shift frequency and a fourth shift frequency when the power consumption or current consumption when shifting the third scan section and the fourth scan section to the scan chain is less than or equal to the threshold value.
  • the first shift frequency and the second shift frequency are different from each other, and the third shift frequency and the fourth shift frequency are the same.
  • a chip test time minimizing apparatus including: a power detector configured to detect power consumption or current consumption when shifting a scan section to a scan chain at a first shift frequency; A first frequency grasp for identifying or determining the first shift frequency or less as a possible shift frequency of the scan section for at least one or more scan sections whose power consumption or current consumption by the first shift frequency is below or below a predetermined threshold; part; And identifying or determining at least one second shift frequency for at least one scan section in which power consumption or current draw is above or above the threshold, the output pattern of the scan chain being equal to a prediction pattern.
  • 2 frequency grasping unit includes.
  • an example of a method of minimizing chip test time includes shifting the scan sections to a scan chain using different shift frequencies assigned to each of at least two or more scan sections. step; And performing a burn-in test together with the shifting.
  • an example of an apparatus for minimizing chip test time may include: a chamber controller configured to control a supplied voltage and a temperature; And a shifting unit configured to shift the scan section to the scan chain while the voltage and the temperature are supplied, and shift the scan section to the scan chain using different shift frequencies for each of the at least two scan sections.
  • an optimum shift frequency for each scan pattern, scan section or section group is provided.
  • scan test time can be minimized while solving an over kill problem in which a good product is judged to be defective due to an overshift frequency. Find the optimal shift frequency.
  • the optimum shift frequency can be found by considering the influence of power supply noise and interference between signal lines.
  • the optimum shift frequency can be found by reflecting the effects of IR-drop or ground-bounce that may be caused by excessive circuit switching behavior, process variation, microprocessing, low-power processes, or low-power designs caused by scan tests. Can be.
  • the optimum shift frequency can be found by considering the influence of the critical path timing on the scan chain that may occur when the shift frequency is increased.
  • the critical path of the scan chain becomes a false critical path according to the bit value on the scan chain, it ignores the critical timing constraint and maximizes the scan shift frequency within the range where the IC chip can operate normally. Can be minimized.
  • the optimal shift frequency can be found by reflecting the power consumption allowed for each voltage island or voltage region.
  • the circuit design information of the IC chip is not needed to find the optimal shift frequency of the scan pattern or scan section. Therefore, even if the chip design information is lost or lost, only the chip and scan pattern set is required. Find the frequency.
  • a predetermined predetermined shift frequency such as a nominal shift frequency
  • a process of finding an optimal shift frequency for a scan pattern or scan section in which the power consumption or current consumption of each scan section is a certain level or more is performed. This can save time compared to finding the optimal shift frequency for each full scan pattern or scan section.
  • Scan patterns can also be used to further accelerate aging, reducing burn-in test time.
  • a burn test and a scan pattern using a scan pattern can be performed simultaneously, thereby reducing the overall test time for the IC chip. It also has the effect of improving the quality of the burn-in test.
  • FIG. 1 is a diagram illustrating an example of an IC chip to which a conventional scan design method is applied;
  • FIGS. 2 and 3 are views each showing the configuration of an embodiment of a chip test apparatus according to the present invention.
  • FIG. 4 is a view showing an example of a scan pattern that can be applied to the method of minimizing scan test time according to the present invention to reduce scan test time;
  • FIG. 6 is a diagram illustrating an example of allocating a shift frequency for each scan section in order to minimize scan test time according to the present invention
  • FIG. 8 is a flowchart illustrating an example of a method for minimizing scan test time according to the present invention.
  • FIG. 9 is a flowchart illustrating another example of a method for minimizing scan test time according to the present invention.
  • FIG. 10 is a flowchart illustrating a more specific process of a scan test time minimization method according to the present invention.
  • FIG. 11 is a flowchart illustrating a specific process of identifying a normal shift-in in a scan test time minimization method according to the present invention
  • FIG. 12 is a flowchart illustrating another example of a method for minimizing scan test time according to the present invention.
  • FIG. 13 is a view showing the configuration of an embodiment of an apparatus for minimizing scan test time according to the present invention.
  • 15 is a flowchart illustrating another example of a method for minimizing scan test time according to the present invention.
  • 16 is a view showing another example of an apparatus for minimizing scan test time according to the present invention.
  • FIG. 17 illustrates an example of a method of repositioning a scan pattern for minimizing scan test time according to the present invention
  • FIGS. 18 and 19 are views illustrating a configuration of another embodiment of a chip test apparatus according to the present invention.
  • 21 is a view showing an example of the temperature effect on the IC chip when performing the burn-in test using the optimal frequency for each scan pattern according to the present invention
  • FIG. 22 is a view showing another example of a method using an optimal shift frequency for each scan section to minimize time of a burn-in test according to the present invention.
  • FIG. 23 is a view showing an example of a burn-in test time minimization apparatus according to the present invention.
  • 24 to 26 are diagrams showing an example of a pattern input to a scan chain for shift frequency determination according to the present invention.
  • FIG. 27 is a diagram illustrating experimental results of a shift frequency when the scan power is approached to a threshold power consumption of an IC chip and a shift frequency optimized by a shift frequency increase / decrease method for each scan pattern.
  • FIGS. 2 and 3 are diagrams illustrating the configuration of an embodiment of an IC chip test apparatus, that is, a scan test apparatus, generally called an automatic test equipment (ATE) to which the present invention is applied.
  • ATE automatic test equipment
  • the scan test apparatus includes host computers 200 and 300, tester bodies 210 and 310, test heads 220 and 320, and interface boards 230 and 330.
  • the device under test (DUT) 240 and 340 positioned on the interface board for the test may be an IC on a wafer or a packaged IC chip. If the DUT is an IC chip on a wafer, it may further include a prober 350.
  • an IC chip or a packaged IC chip on a wafer is collectively called an IC chip.
  • the tester bodies 210 and 310 control the scan test as a whole.
  • the tester body controls the overall process of setting up for the DUT test, generating the electrical signal for the DUT test, and observing and measuring the DUT test result signal.
  • the test bodies 210 and 310 may be implemented as a computer including a central processing unit (CPU), a memory, a hard disk, a user interface, and the like, and according to an embodiment, a device power supply device for supplying power to the DUTs 240 and 340. It may further include a power supply).
  • the tester main body 210 or 310 controls a signal processing processor (DSP) (not shown) for processing various digital signals and the test heads 220 and 320, and a controller and a signal for applying a signal to the DUTs 240 and 340. It may include dedicated hardware such as a generator, software or firmware. Tester bodies 210 and 310 may also be called mainframes or servers.
  • DSP signal processing processor
  • the host computers 200 and 300 may be computers, such as workstations, and are devices that allow a user to execute a test program, control a test process, and analyze test results.
  • the host computer 200 or 300 may include a central processing unit, a storage device such as a memory or a hard disk, a user interface, and the like, and may be connected to the tester bodies 210 and 310 by wire or wireless communication.
  • the host computers 200 and 300 may include dedicated hardware, software or firmware for controlling the test.
  • the host computer and the tester main body are illustrated separately, but the host computer 200 and 300 and the tester main body 210 and 310 may be implemented as a single device.
  • An example of memory of the tester main body 210 or 310 or the host computer 200 or 300 may be a DRAM, an SRAM, a flash memory, or the like, and a program and data for performing a DUT test may be stored in the memory.
  • Software or firmware of the tester main body 210 or 310 or the host computer 200 or 300 is a device driver program for operating a scan test, an operating system (OS) program, a program for performing a DUT test, and performs setup for a DUT test and a DUT test.
  • the signal may be stored in a memory in the form of an instruction code for generation of a signal, an observation analysis of a DUT test result signal, or the like, and may be performed by a central processing unit.
  • the scan test pattern can be applied to the DUT by this program.
  • reporting and analysis data for DUT tests and test results can be obtained automatically through the program.
  • the language used in the program may be various languages such as C, C ++, and Java.
  • the program may be stored in a storage device such as a hard disk, magnetic tape or flash memory.
  • the central processing unit of the tester body 210 or 310 or the host computer 200 or 300 is a processor and executes code of software or a program stored in a memory. For example, when a user command is received through a user interface such as a keyboard or a mouse, the central processing unit analyzes the user's command and executes it through software or a program, and then outputs the result to a user interface such as a speaker, a printer, or a monitor. To the user through.
  • the user interface of the tester body 210, 310 or the host computer 200, 300 allows the user and the device to exchange information and communicate commands.
  • an interface device for user input such as a keyboard, a touch screen, a mouse, and the like
  • an output interface device such as a speaker, a printer, a monitor, and the like.
  • the test heads 220 and 320 include a channel for transmitting an electrical signal between the tester bodies 210 and 310 and the DUTs 240 and 340.
  • Interface boards 230 and 330 are provided on the test heads 220 and 320.
  • An interface board used for testing a packaged IC chip is generally called a load board, and an interface board used for testing an IC chip on a wafer is generally called a probe card.
  • FIG. 4 is a diagram illustrating an example of a scan pattern that can be applied to a method for minimizing scan test time according to the present invention to reduce scan test time.
  • the shift-in and shift-out operations are simultaneously performed to reduce the time required when the shift-in operation and the shift-out operation are performed in the scan mode.
  • the load and unload operations are performed at the same time.
  • the test results by the k-1 th input scan pattern 400 simultaneously shift the scan output port. -Out and unload.
  • the unloaded output pattern is compared with the predicted output scan pattern 440 for the k-1 th input scan pattern 400 managed in pairs with the k th input scan pattern 430.
  • the output scan pattern 440 is managed in pairs.
  • the scan patterns can be in order with each other. Scan patterns can also be rearranged in various ways.
  • the output pattern that is shifted out at the same time may be a Don't-care pattern or a scan chain state value by resetting the chip under test.
  • Another way to minimize scan test time is to reduce the total number of scan patterns for the scan test and to increase the scan shift speed.
  • increasing the scan shift rate means increasing the shift frequency of the shift-in or shift-out or decreasing the time interval (ie, shift period) between each bit of the scan pattern input or output in the scan chain.
  • lowering the scan shift rate may mean lowering the shift frequency or increasing the shift period.
  • optimizing the scan shift rate means optimizing the shift frequency or optimizing the shift period. Since the increase and decrease of the shift frequency and the increase and decrease of the shift period are substantially the same, a method of minimizing the scan test time in terms of the increase and decrease of the shift frequency will be described below for convenience of description.
  • the shift period may also be referred to as a shift frequency.
  • FIG. 5 is a diagram illustrating an example of dividing the scan patterns on the scan pattern set into scan sections in order to minimize the time of the scan test according to the present invention.
  • a plurality of scan patterns may be used for testing an IC chip.
  • the scan section may consist of at least one scan pattern or part of a scan pattern, and further reduce scan test time by finding an optimum shift frequency for each scan section and applying the scan section.
  • the scan section 500 may be configured as one scan pattern and correspond one-to-one with the scan pattern. That is, the scan pattern may soon be a scan section.
  • the scan section 510 may include two scan patterns.
  • the number of scan patterns included in the scan section may be variously changed according to an embodiment.
  • the scan section 520 may be configured as part of the first scan pattern and part of the second scan pattern.
  • the scan section 530 may be configured as part of one scan pattern.
  • one scan pattern may be divided into two scan sections 540 and 550.
  • the number of scan sections included in one scan pattern may be variously changed according to embodiments.
  • One or more scan patterns may be divided by any one of the various embodiments 500, 510, 520, 530, 540, and 550 previously described, and the scan patterns may be divided by applying two or more of these embodiments.
  • a scan pattern set consisting of N scan patterns of FIG. 5 includes a first scan section 500 including one scan pattern, a second scan section 510 including two scan patterns, and one scan. It may be divided into third and fourth scan sections 540 and 550 that include part of the pattern.
  • FIG. 6 is a diagram illustrating an example of allocating a shift frequency for each scan section to minimize scan test time according to the present invention.
  • a plurality of shift frequencies are assigned to each scan section.
  • the conventional scan test uses a constant scan shift frequency that can normally shift all scan patterns for testing the IC chip to the scan chain of the IC chip, which is nominal. Also called shift frequency.
  • the nominal shift frequency can be the shift frequency used when creating a scan pattern with ATPG software, or a slightly adjusted shift frequency based on it, and all scan patterns for testing the IC chip will normally shift in the scan chain of the IC chip. It is a single shift frequency that can be quite low.
  • the scan test time is very large, especially during the mass production test of the IC chip, the IC chip cost and time to market. can have a significant impact on the market. For example, assuming that it takes 2 seconds to test one IC chip, testing 10 million chips sequentially takes about 5,556 hours, or about 231 days. Even if you test several chips at the same time using expensive equipment, it takes a lot of test time. IC chip test service companies usually charge in proportion to the number of test equipment used and the test time, so chip test time has a significant effect on chip cost.
  • the overshift frequency may cause an overkill problem in which good quality is determined as a defective product due to a critical path delay time problem, a deep power supply noise effect, a deep interference effect between signal lines, and the like. This can affect yield and cost, which are very important for IC chip production.
  • the present embodiment does not apply a single shift frequency such as a nominal shift frequency to the entire scan pattern, but allocates an optimal shift frequency that can be normally shifted in the scan chain for each scan section.
  • a single shift frequency such as a nominal shift frequency
  • the optimal shift frequency may be the maximum allowable shift frequency for the scan section or a shift frequency smaller than this.
  • the first scan section is assigned a shift frequency A
  • the second scan section is assigned a shift frequency B
  • the third scan section is assigned the same shift frequency A as the first scan section.
  • each scan section may be assigned the same shift frequency or different shift frequencies.
  • a plurality of shift frequencies may be assigned to one scan pattern.
  • two scan sections 540 and 550 belonging to one scan pattern may be assigned different shift frequencies. That is, two shift frequencies are allocated to one scan pattern.
  • Each scan section assigned a shift frequency may be integrated into a section group according to an embodiment.
  • the second scan section and the third scan section may be grouped into a section group, and a smaller shift frequency or less than the shift frequencies A and B of each scan section may be assigned to the corresponding section group.
  • Applying test data to the main input port of the general scan test procedure described in the background technology of the present invention and observing the test result at the main output after inputting the scan pattern to the scan chain may be applied to the general scan test procedure in the following embodiment .
  • the input pattern is a bit pattern that is input to the scan chain.
  • the k-th scan section 704 that is the shift frequency determination target corresponds one-to-one with the k-th scan pattern
  • the k-1 th input pattern 702 and the k-th The input pattern 704 and the k + 1 th input pattern 706 may correspond one-to-one with the k-1 th scan pattern, the k th scan pattern, and the k + 1 th scan pattern, respectively.
  • the output pattern of the scan chain for input pattern 704 corresponds to the output pattern of the scan chain for the k th scan pattern.
  • the output pattern of the scan chain for the k-th scan pattern may be a scan capture result pattern for the k-th scan pattern or a pattern in which the k-th scan pattern is output directly from the scan chain.
  • Output pattern K-1 when the scan section and the scan pattern correspond one-to-one When the k-th scan section 704 that is the shift frequency determination object corresponds one-to-one with the k-th scan pattern, the k-1 th input pattern 702
  • the output pattern of the scan chain for s corresponds to the output pattern of the scan chain for the k-1 th scan pattern.
  • the output pattern of the scan chain for the k ⁇ 1 th scan pattern may be a scan capture result for the k ⁇ 1 th scan pattern or a pattern in which the k ⁇ 1 th scan pattern is output directly from the scan chain.
  • Output pattern K + 1 when the scan section and the scan pattern correspond one-to-one When the k-th scan section to be shifted frequency determination corresponds one-to-one with the k-th scan pattern, the scan for the k + 1 th input pattern 706 is performed.
  • the output pattern of the chain is the output pattern of the scan chain for the k + 1th scan pattern.
  • the output pattern of the scan chain for the k + 1 th scan pattern may be a scan capture result pattern for the k + 1 th scan pattern or a pattern in which the k + 1 th scan pattern is output as it is from the scan chain.
  • the k-th input pattern 702 may include a portion of the k-th scan pattern including the k-th scan pattern and the k-th scan section 704 except for the k-th scan section.
  • the k + 1 th input pattern 706 may include a portion except the k th scan section 704 in the k th scan pattern including the k + 1 th scan pattern and the k th scan section 704.
  • Output pattern K when scan section is part of scan pattern Scanning for k-th scan section 704 when the k-th scan section 704 that is the shift frequency determination target is a part of k-th scan pattern as shown in FIG.
  • the output pattern of the chain may be a scan capture result pattern for the k th scan section 704 or a scan capture result pattern for the k th scan pattern that includes the k th scan section.
  • the output pattern of the scan chain for the k-th scan section may be a pattern in which the k-th scan section 704 is output directly from the scan chain or the k-th scan pattern including the k-th scan section 704 is output directly from the scan chain. It may be a pattern.
  • the output pattern of the scan chain for the input pattern 702 may be an output pattern for the k ⁇ 1 th scan pattern or an output pattern for the k ⁇ 1 th scan pattern and a portion of the k th scan pattern.
  • the output pattern of the scan chain for the k + 1 th input pattern 706 may be an output pattern for the k + 1 th scan pattern or an output pattern for a portion of the k + 1 th scan pattern and the k th scan pattern.
  • the output pattern of the scan chain for a portion of the k th scan pattern included in the k-1 th input pattern 702 or the k + 1 th input pattern 706 may include the k th scan section 704. Can be reflected in the output pattern of the scan chain for the k-th scan pattern.
  • a k-th scan section 704 that is a shift frequency determination object may span a plurality of scan patterns.
  • the k-1 th input pattern 702 may include a portion of the k-1 th scan pattern except the k th scan section 704, and the k + 1 th input pattern 706 is the k th scan section. It may include a portion of the k + 1 th scan pattern except 704. In this case, it is possible to separately determine the optimum shift frequency for each part of the kth scan section 704 spanning each scan pattern, and to determine the single shift frequency assignable for the kth scan section 704. .
  • the scan pattern may be divided into various types of scan sections, as described in FIG.
  • the form may also vary. That is, the k-1 th input pattern 702 or the k + 1 th input pattern 706 may be composed of at least one scan section.
  • FIG. 7 illustrates an example of a method for finding a shift frequency for minimizing scan test time according to the present invention.
  • FIG. 7 illustrates an example of a method for minimizing scan test time when the shift-in and the shift-out described in FIG. 4 are performed by overlapping.
  • FIG. 7 illustrates one example according to the present invention, and the present invention is not limited to the case where the shift-in and the shift-out described in FIG. 4 are performed at the same time.
  • the scan test of the IC chip compares the test result pattern of the input scan pattern with the prediction pattern to determine whether the test is normal. That is, after loading the input scan pattern into the scan chain, the result pattern obtained by performing the capture operation is unloaded, and the test pattern is determined by comparing the predicted pattern with the unloaded result pattern.
  • the shift frequency optimization target scan pattern or the scan section when the shift frequency optimization target scan pattern or the scan section is shifted in the scan chain, whether the output pattern shifted out simultaneously (or sequentially) is also normal. It is desirable to. For example, even if the scan pattern to be shifted frequency optimized or the scan section is normally shifted in to the scan chain with an increased shift frequency, the test result pattern for the previous input scan pattern shifted out with the increased shift frequency will cause an error. Because it may.
  • the k ⁇ 1 th input pattern 702 and the k + 1 th input are used to determine whether the k th scan section 704 that is the current shift frequency determination target is properly shifted into the scan chain at a specific shift frequency.
  • the pattern 706 can be used together. That is, each time the k-th scan section 704, which is the current shift frequency determination target, is repeatedly input to the scan chain, a k-1 th input pattern 702 capable of initializing the scan chain into a constant bit pattern may be used. Also, whenever the output pattern of the scan chain for the k th scan section 704 is repeatedly shifted out, a k + 1 th input pattern 702 which is shifted in the scan chain with a constant bit pattern may be used.
  • the k-th input pattern 702 is the k-1th used for the actual scan test located in front of the k-th scan section 704. It may be a scan pattern or a prediction pattern for a result pattern obtained by scanning and capturing the k-1 th scan pattern in a scan chain.
  • the k ⁇ 1 th input pattern 702 is positioned in front of the k th scan section 704.
  • the k-1 th scan pattern or the k-1 th scan pattern used for the actual test may include a prediction pattern for a result pattern obtained by scanning a scan loaded in a scan chain.
  • the k ⁇ 1 th input pattern 702 may include a portion of the k th scan pattern except for the k th scan section.
  • a part of the k-th scan pattern except for the k-th scan section may be a part of the bit pattern used for the actual scan test.
  • the k-1 th input pattern 702 is composed of bit '0' or '1' oriented or consecutive bit '0' or '1' oriented so as to reduce switching operation on the scan chain. It may be a pattern of.
  • the k ⁇ 1 th input pattern 702 may include at least one scan section as shown in FIG. 24.
  • the k + 1 th input pattern 706 is the k + 1 th scan used for the actual scan test located behind the k th scan section 704. It may be a pattern or a prediction pattern for a result pattern obtained by scanning and capturing a K + 1th scan pattern into a scan chain.
  • the k + 1 th input pattern 706 is located after the k th scan section 704.
  • the k + 1 th scan pattern or the k + 1 th scan pattern used for the actual scan test located in the scan chain may be included in the prediction pattern for the result pattern obtained by scanning capture.
  • the k + 1 th input pattern 706 may include a portion of the k th scan pattern except the k th scan section 704.
  • a part except the k-th scan section 704 may be a part of a bit pattern used for the actual scan test.
  • the k + 1 th input pattern 706 is composed of bit '0' or '1' oriented or consecutive bit '0' or '1' oriented so as to reduce switching operation on the scan chain. It may be a pattern of.
  • the k + 1 th input pattern 702 may include at least one scan section as shown in FIG. 24.
  • the input scan pattern which is located before the first scan section and after the last scan section, is composed of bit '0' or '1' oriented or consecutive bit '0' to reduce switching operation on the scan chain.
  • the pattern may be any predetermined pattern composed mainly of '1'.
  • the input scan pattern located in front of the first scan section may be a value on the scan chain when the chip under test is in the reset state.
  • the k-1 th input pattern 702 or the k + 1 th input pattern 706 may each consist of one or more scan sections, the shift frequency of which is the k th scan section 704 of the current shift frequency determination target. It is desirable not to constrain the search for the maximum shift frequency.
  • the k-1 th input pattern 702 can normally shift-in up to 30 MHz in the scan chain and the k-th scan section 704 subject to shift frequency determination can normally shift-in up to 50 MHz in the scan chain.
  • the k-1 th input pattern 702 and the k th scan section 704 are sequentially shifted into the scan chain while increasing the shift frequency, and the output pattern for the scan chain is compared with the predictive pattern k.
  • the maximum shift frequency that can be found for the first scan section 704 is constrained to 30 MHz. That is, when the shift frequency exceeds 30 MHz, the output pattern and the prediction pattern for the k-1 th input pattern 702 may be different.
  • the shift frequency of the k-1 th input pattern 702 or the k + 1 th input pattern 706 does not exceed a preset shift frequency (30 MHz in the above example). .
  • the shift frequency of the k-1 th input pattern 702 or the k + 1 th input pattern 706 is fixed to a preset shift frequency (30 MHz or less in the above example), and the k th scan section 704 is performed. By increasing or decreasing only the shift frequency of, the maximum shift frequency for the kth scan section 704 can be found.
  • the preset shift frequency may be variously changed according to an exemplary embodiment such as a nominal shift frequency, a shift frequency adjusted with a nominal shift frequency, or a preset value or a user set value for each test device. It is not limited.
  • k- is applied by applying below the optimal shift frequency.
  • the first input pattern 702 or the k + 1th input pattern 706 may be shifted in to the scan chain.
  • the scan test time minimization apparatus uses the optimal shift frequency for each scan section of the k-1 th input pattern, and shifts the nominal shift frequency or the smooth shift frequency for each scan section for the k + 1 th input pattern. Frequency can be used.
  • a scan capture operation may be performed on at least one scan pattern among k-1, k, or k + 1th scan patterns.
  • the scan test time minimization apparatus uses the nominal shift frequency as the initial shift frequency, and increases the shift frequency in units of variation of the shift frequency preset in the scan test time minimization apparatus. That is, after shifting-in the k-1 th input pattern 702 with a preset shift frequency equal to the nominal frequency in the scan chain, the k th scan section 704 has a shift frequency of "Initial Shift Frequency + Incremental Unit Increment". Shift-in to the low scan chain, and simultaneously shift-out the test result (i.e., output pattern K-1) 722 by the k-th input pattern 702 to know the predicted pattern K-1 (732) in advance. Is equal to).
  • the preset shift frequency of at least one scan section included in the k-1 th input pattern 702 or the k-1 th input pattern 702 may be different from the initial shift frequency of the k th scan section 704. have. Then, at the same time as the shift-in of the k + 1 th input pattern 706, the prediction pattern K 734 knowing in advance the output pattern K 724 obtained by shifting out the test result by the k th scan pattern 704. Compare with. In this case, when the k th scan section 704 is a part of the scan pattern as shown in FIG. 25, the k-1 th input pattern 702, the k th scan section 704, the k + 1 th input pattern 706, and these The output pattern for each is as described above.
  • the above-described preset shift frequency preferably does not limit the search for the optimal shift frequency of the k-th scan section 704 that is the current shift frequency determination target. Therefore, the shift frequency of the k-1 th input pattern 702 or the k + 1 th input pattern 706 does not increase or decrease with the shift frequency of the k th scan section 704 or is different from the k th scan section 704. In this case, it is preferable to use a shift frequency capable of normally inputting the scan section of the k-1 th input pattern 702 or the k + 1 th input pattern 706 into the scan chain.
  • the preset shift frequency may be variously changed according to an embodiment, such as a value adjusted to a nominal shift frequency in addition to the nominal shift frequency, a value set in the device by a program, or a value set by a user. It is not necessarily limited to.
  • the k-th scan section 704 that is the current shift frequency determination target The shift frequency available for.
  • the scan test time minimization apparatus again increases the shift frequency for scan section K 704 to find the optimal shift frequency by a predetermined amount, and again inputs the scan chain from the k-1 th input scan pattern 702 as described above.
  • the process of comparing the output pattern 720 and the prediction pattern 730 is performed again.
  • the shift frequency for the k th scan section 704 is continuously increased to the point where the output pattern 720 and the prediction pattern 730 are different, and the shift frequency before the point is less than or equal to the k th scan section.
  • the optimal shift frequency can be determined.
  • the shift frequency is different from the output pattern 720 and the prediction pattern 730 of the k-th scan section 704. It is also possible to find a point where the output pattern 720 and the prediction pattern 730 are equalized by repetitively decreasing from a high frequency, and determining below the shift frequency of that point as the optimal shift frequency of the kth scan section 704.
  • the shift frequency may be increased or decreased within the range set in the scan test time minimizing apparatus. If the pattern 720 and the prediction pattern 730 are the same and different or different and find the same point, the increase and decrease of the shift frequency can be stopped to reduce the time required to find the maximum possible shift frequency for each scan section.
  • the initial shift frequency for finding the optimal shift frequency for the kth scan section may be set in addition to the nominal frequency, and may not be increased at a low shift frequency, but may be increased at different output patterns and prediction patterns. You can also find the shift frequency at the point where the output pattern and the prediction pattern are the same, starting with the shift frequency and decreasing the shift frequency.
  • various algorithms may be used to change the shift frequency to find an optimal shift frequency at a faster time.
  • scan section K 704 to find the optimal shift frequency corresponds one to one with scan pattern K 704, but k-th scan section 704 of FIG. 25 or scan section 530 of FIG. 5. It may be configured as part of the scan pattern as shown. That is, the length of the current scan frequency determination target scan section may be shorter than the length of the scan chain.
  • the shift frequency of the portion except the scan section is not limited to finding the optimal shift frequency of the scan section.
  • the shift frequency of the portion excluding the selected scan section may not increase or decrease with the shift frequency of the selected scan section, or a shift frequency different from the selected scan section may be used.
  • the shift frequency of the portion excluding the selected scan section may preferably use a shift frequency capable of normally inputting the portion excluding the selected scan section to the scan chain.
  • the shift frequency applied to the portion other than the selected scan section to find the optimal shift frequency is less than the nominal shift frequency or for the portion except the selected scan section, the optimal shift frequency is already determined by the method according to the present invention.
  • a predetermined shift frequency may be used, such as less than or equal to the optimum shift frequency.
  • the optimum frequency is found by shifting the frequency up or down as described above.
  • the preset shift frequency may be variously changed according to an exemplary embodiment, such as a value of adjusting a nominal shift frequency, a value set in a device by a program, or a value set by a user, but is not necessarily limited to the above example.
  • FIG. 7 illustrates a method of finding an optimum shift frequency of a k-th scan section by using a k-1 th input pattern together, but is not necessarily limited thereto.
  • only the k-th scan section may be input to the scan chain without a k-1 th input pattern or a k + 1 th input pattern, and the output pattern may be compared with a prediction pattern to find an optimal shift frequency.
  • FIG. 8 is a flowchart illustrating an example of a method for minimizing scan test time according to the present invention.
  • the apparatus for minimizing scan test time divides one or more scan patterns into at least two scan sections (S800).
  • the data for the scan section or the group of sections divided into thousands or tens of thousands or more scan patterns for testing the IC chip, or the file containing the data may be batched using a computer program or software. Can be handled as
  • a computer program or software divides a scan pattern set into scan sections or section groups by using information related to the scan section division such as the number, length, and position of the scan sections, and the data for the divided scan sections or section groups.
  • files containing these data can be created in a batch.
  • Information related to the scan section division may be obtained through a user interface device such as a keyboard, mouse, or voice recognition device, or information data code or file containing information related to the scan section division, or through a data communication network. Can be used by.
  • the scan test time minimization apparatus allocates a plurality of shift frequencies to each scan section (S810).
  • the shift frequency assigned to each scan section is less than or equal to the shift frequency before the output pattern of the scan chain is different from the prediction pattern.
  • the division of the scan pattern into scan sections (S800) and the scan section allocation of the shift frequency (S810) may be performed in the same device or different devices, respectively, according to embodiments. It may also be performed.
  • each scan section may be assigned a shift frequency smaller than the maximum shift frequency found by increasing or decreasing the shift frequency.
  • FIG. 9 is a diagram illustrating another example of a method of determining an optimal shift frequency for each scan section in order to minimize scan test time according to the present invention.
  • the scan test time minimization apparatus divides one or more scan patterns into at least two scan sections (S900).
  • the scan test time minimization apparatus detects the shift frequency at the time when the output pattern is different from the prediction pattern while increasing or decreasing the frequency shifting-in the scan section to the scan chain (S910).
  • Chips used to find the optimal shift frequency are preferably chips that have been tested for good quality in advance. For example, as a result of a scan test using a nominal shift frequency, an optimal shift frequency is searched according to the present embodiment using a good chip. The same is true in other embodiments below.
  • the scan test time minimization apparatus determines a shift frequency before a time point at which the output pattern and the prediction pattern differ from each other in the scan section.
  • the former shift frequency also includes a smaller shift frequency.
  • the scan test time minimization apparatus Two shift frequencies, or smaller, may be determined as the shift frequency of the scan section or may provide information that may be determined.
  • the magnitude of the increase and decrease in order to find the optimal shift frequency is preset in the scan test apparatus, and the increase and decrease size may be changed by the user.
  • the present embodiment describes a method of finding an optimal shift frequency for each scan section by increasing or decreasing the shift frequency for convenience of description, but according to the exemplary embodiment, the optimum shift frequency is increased while increasing or decreasing the shift-out frequency. You can find it. The same applies to the following examples.
  • Each step described with reference to FIG. 9 may be performed in another apparatus such as a computer, rather than all performed in the apparatus for minimizing scan test time, according to an exemplary embodiment.
  • FIG. 10 is an embodiment of a flowchart illustrating a more detailed process of the scan test time minimization method according to the present invention.
  • the apparatus for minimizing scan test time divides one or more scan patterns into a plurality of scan sections (S1000).
  • the scan test time minimization apparatus selects one scan section of which no shift frequency is determined according to the present exemplary embodiment among the scan sections (S1010). For example, if a predetermined order is defined between scan patterns for the scan test, the scan test time minimizing apparatus may sequentially select from the first scan section. Alternatively, the user may select a scan section for which the shift frequency is to be optimized, and the scan test time minimization apparatus may perform the shift frequency optimization for the selected scan section. In addition, there are various ways to select the scan section for which the shift frequency is to be optimized.
  • the scan test time minimization apparatus increases or decreases the shift frequency (S1020).
  • the scan test time minimization apparatus may variously set the initial shift frequency to a nominal shift frequency.
  • the scan test time minimization apparatus determines whether the scan section can normally be shifted-in to the scan chain at the increased shift frequency starting from the initial shift frequency (S1030). An example of a specific method of determining whether the selected shift frequency determination target scan section can normally shift-in to the current shift frequency will be described with reference to FIG. 11.
  • the scan test time minimization apparatus increases or decreases the shift frequency (S1020) and repeats the process of determining whether normal shift-in is possible (S1030).
  • the scan test time minimization apparatus scans below the shift frequency before the current shift frequency where the scan section is not normally shifted-in.
  • Information that can be determined or determined by the shift frequency of the section can be stored in a computer-readable recording medium (S1050). The above process is repeated until shift frequencies for all scan sections are determined or information that can be determined is stored in a computer-readable recording medium (S1060).
  • the information stored in the recording medium it may be information about a shift or a test pass or fail for each shift frequency.
  • the apparatus for minimizing scan test time may group scan sections into section groups as necessary (S1070). For example, if the scan test device that performs the actual scan test has constraints such as the maximum number of shift frequency changes that can be supported during the scan test, the maximum number of shift frequencies, and the delay time required for the shift frequency change, the scan test time
  • the minimization apparatus may group scan sections in such a way that the number of scan sections satisfies the above constraints, and may consider the total scan test time to be minimized. In this case, the lowest shift frequency among the optimal shift frequencies of at least two scan sections included in one scan section group may be determined as the shift frequency of the corresponding section group.
  • the step S1070 of grouping the sections into groups may be omitted according to an embodiment.
  • the scan test time minimizer divides the scan sections into 5 or less section groups if the current number of scan sections exceeds 5, and each section
  • the lower or lower optimal shift frequency among the optimal shift frequencies of the sections in the group may be determined as the shift frequency of the corresponding section group.
  • there may be various methods such as grouping by section groups having similar optimal shift frequencies, and it is desirable to minimize the total scan test time.
  • the embodiments discussed so far have mainly been a process of finding an optimal shift frequency in consideration of only the increase and decrease of the shift frequency.
  • the chip is also affected by supply voltage and ambient temperature, so it is necessary to find the optimal shift frequency to reflect these environmental conditions.
  • the scan test time minimizing apparatus may perform a process of finding an optimum shift frequency while changing conditions such as supply voltage and external temperature.
  • the scan test time minimization apparatus may increase or decrease the voltage supplied to the chip in consideration of a specification range of the chip or quality related policies such as QA (Quality Assurance) and QC (Quality Control).
  • the scan test time minimization apparatus finds an optimal shift frequency for each scan section according to an embodiment of the present invention at each increased or decreased supply voltage. If there are a plurality of optimal shift frequencies found for each supply voltage of the selected scan section, the scan test time minimization apparatus may determine a shift frequency of the selected scan section below the lowest optimal shift frequency among them (S1050).
  • the process of finding the optimal shift frequency may be repeated for temperature increase or decrease and various other conditions, and the lower than the lowest optimal shift frequency may be determined as the shift frequency of the corresponding scan section.
  • electrical testing or shiming electrical characteristics testing or Making a plot of characteristic information by making a drawing is called 'smooth plotting'.
  • the plot is called a shmoo plot.
  • Each step of FIG. 10 may be performed by another apparatus such as a computer as well as a scan test time minimization apparatus.
  • FIG. 11 is a flowchart illustrating an embodiment of a specific process of identifying a normal shift-in in the scan test time minimization method according to the present invention. That is, FIG. 11 corresponds to step S1030 of FIG. 10.
  • the scan test time minimization apparatus shifts-in the k-1 th input pattern positioned in front of the k th scan section, which is the currently selected shift frequency determination target, to the scan chain as shown in FIG. 7 (S1100).
  • the k-1th input pattern is located in front of the kth scan section and is either the k-1th input pattern used for the actual scan test, or the resulting pattern that appears when the k-1th input pattern is loaded into the scan chain and then captured. May be a prediction pattern.
  • the k-th input pattern may be included in any one scan section or may include one or more scan sections.
  • the scan test time minimization apparatus loads the k-1 th scan pattern into the scan chain and performs a scan capture process. do. In this case, there is an advantage of reflecting the actual scan test operation.
  • the apparatus for minimizing the scan test time is performed. Since the input pattern is loaded into the scan chain, there is no need to perform a separate scan capture process, thereby reducing the time required for clock capture and thus, the time required to find the optimal shift frequency.
  • the apparatus shifts the selected shift frequency determination target scan section (k th scan section) into the scan chain at the increased or decreased shift frequency (S1110). If the scan section K selected to find the optimal shift frequency is shorter than the length of the scan chain as part of the scan pattern, such as scan sections 530, 540 and 550 of FIG. 5, then the input scan pattern comprising the scan section K is added to the scan chain. Shift-in
  • the shift frequency of the scan pattern portion except for the selected shift frequency target scan section K does not limit the search for the optimal shift frequency of the scan section K. It is preferable to use a shift frequency that does not increase or decrease with the shift frequency of K, or that a frequency different from the scan section K can be used, and that a portion other than the scan section K can be normally input into the scan chain.
  • the shift frequency of the portion excluding the scan section K may use a preset shift frequency, such as a nominal shift frequency or less, or when the optimal shift frequency is already determined through the method according to the present invention. Can be. Then shift out the value stored on the scan chain.
  • the preset shift frequency may be variously changed according to an exemplary embodiment, such as a value of adjusting a nominal shift frequency, a value set in a device by a program, or a value set by a user, but is not necessarily limited to the above example.
  • the output pattern shifted out is a scan capture result with the k-1 th scan pattern loaded. to be.
  • the output pattern is a result output as is from the scan chain without a scan capture operation.
  • the scan test time minimization apparatus compares the output pattern with the prediction pattern (S1120). If the output pattern and the prediction pattern are not the same (S1120), the scan test time minimization apparatus determines that the k-th scan section which is the current shift frequency determination target cannot be normally shifted-in to the scan section at the current shift frequency (S1170).
  • the scan test time minimization apparatus shifts out the k-th scan section which is the target of the shift frequency determination currently located on the scan chain, or captures the scan after the k-th scan section is loaded. The result is shifted out (S1140).
  • the shift-out of the k th scan section without scan capture has the advantage of reducing the time required to find the optimal shift frequency by reducing the time required for scan capture.
  • the scan test time minimization apparatus compares the shifted-out output pattern and the prediction pattern (S1150). For example, when the k-th scan section to be shifted frequency determination is shifted out as it is, the scan test apparatus compares the k-th section in the output pattern. In the case of outputting the scan capture result for the k-th scan section, the scan test time minimization apparatus compares the output pattern with the predicted scan capture pattern that is known in advance for the k-th scan section.
  • the scan test time minimizing apparatus determines that the k-th scan section can be normally shifted-in into the scan chain at the current shift frequency when the output pattern and the prediction pattern for the k-th scan section which are the shift frequency determination targets are the same (S1160). . That is, it is desirable to find an optimal shift frequency for the k-th scan section by comparing the output pattern for the k-th scan section, which is the current shift frequency determination target, as well as the output pattern for the scan section or the scan pattern located in front of the prediction pattern. Do.
  • FIG. 12 is a flowchart illustrating another example of a method for minimizing scan test time according to the present invention.
  • process variations between IC chips on different wafers or between IC chips on the same wafer may occur, which may greatly affect the operating frequency and power consumption of the IC chip. have.
  • the scan test time minimizing apparatus performs a process of determining an optimal frequency for each salping scan section for a plurality of chips (S1200).
  • the plurality of chips may be IC chips on the same wafer or IC chips on different wafers, and chips that have been inspected with good quality in advance are preferable.
  • the scan test time minimization apparatus may determine the optimal shift frequency of the corresponding scan section, or determine the shift frequency below the lowest shift frequency among the plurality of optimal shift frequencies found through the plurality of IC chips for one scan section.
  • the information may be stored in a computer-readable recording medium (S1210), and this may be performed for each scan section.
  • the information stored in the recording medium it may be information about the pass or fail of the shift or test for each shift frequency.
  • the scan test apparatus may select A or less as the shift frequency of the k-th scan section, or store the selectable information in a computer-readable recording medium.
  • Each step of FIG. 12 may be performed in another apparatus such as a computer as well as an apparatus for minimizing scan test time by using the scan pattern set and the shift frequency information identified for each scan section for a plurality of chips.
  • the scan test time minimization apparatus can reduce the time required to find or determine the optimal shift frequency by finding or determining the optimal shift frequency of different scan sections together for each of the plurality of IC chips.
  • the plurality of scan test devices together find or determine the optimal shift frequency of different scan sections, or in one scan test device, the optimum shift frequency of different scan sections for each of the plurality of IC chips. You can find or decide together.
  • FIG. 13 is a diagram showing the configuration of an embodiment of a scan test apparatus according to the present invention.
  • the scan test time minimization apparatus of FIG. 13 may perform the above-described method of the present invention for optimizing the shift frequency of each scan section, and may apply some or all of the methods of FIGS. 7 to 12 as an example.
  • the apparatus for minimizing scan test time includes a condition setting unit 1300, a pattern dividing unit 1305, a pattern input unit 1310, a pattern comparing unit 1320, and a frequency grasping unit 1330.
  • the condition setting unit 1300 again includes a frequency increasing unit 1302, a supply voltage increasing unit 1304, a temperature increasing unit 1306, and the like.
  • the condition setting unit 1300 sets various conditions for finding an optimal shift frequency for each scan section.
  • the frequency increase / decrease unit 1302 increases or decreases the shift frequency
  • the supply voltage increase / decrease unit 1304 increases or decreases the voltage supplied to the chip
  • the temperature increase / decrease unit 1306 increases or decreases the ambient temperature of the test environment.
  • the condition setting unit 1300 may set conditions such as a supply voltage and an ambient temperature and increase or decrease the shift frequency.
  • the pattern divider 1305 divides one or more scan patterns into a plurality of scan sections.
  • the pattern input unit 1310 shifts-in the scan section into the scan chain under the condition set by the condition setting unit 1300. More specifically, the pattern input unit 1310 may sequentially shift-in the scan pattern or the scan section respectively located in front of and behind the scan section for which the optimum scan shift frequency is to be searched together with the scan section.
  • the pattern comparison unit 1320 compares the shift-in of the pattern input unit 1310 with the output pattern shifted out at the same time as the prediction pattern. As the shift frequency is increased or decreased by the condition setting unit 1300, there may be a time point in which the output pattern and the prediction pattern are the same and different or different and the same.
  • the frequency determiner 1330 may find or determine a shift frequency of the output pattern before or after the output pattern differs from the prediction pattern by using the comparison result information by the pattern comparison unit 1320 or the comparison result.
  • the shift frequency information may be stored in a computer-readable recording medium. The information may also be used to determine an optimal shift frequency of the scan section. According to an embodiment, the frequency determiner 1330 may determine a shift frequency when at least the scan section located in front of the current shift frequency determination target scan section and the output pattern for the determination target scan section are equal to the prediction pattern. Available shift frequency information may be stored on a computer readable recording medium. Also, in FIG. 13, two or more parts may be integrated into one module or may be further subdivided.
  • the power consumed by the IC chip can vary depending on the magnitude of the shift frequency. For example, the higher the shift frequency, the more power is consumed by the chip. Also, even with the same shift frequency, the number of circuit switching on the IC chip or the part of the circuit to be switched may vary according to the bit value of each scan section.
  • FIG. 14 is a diagram illustrating another example of a method for finding or determining an optimal shift frequency for each scan section according to the present invention.
  • the power consumption when the IC chip is inspected using the scan shift frequency pre-allocated in the scan section does not exceed the power consumption limit for the normal operation of the IC chip
  • the power consumption is pre-allocated.
  • the shift frequency is optimized for the corresponding scan section. That is, the shift frequency optimization may be performed only on the scan section selectively selected based on the power consumption to reduce the time required to find or determine the shift frequency.
  • the shift frequency optimization may use the method described above with reference to FIGS. 7 to 12.
  • the time required for measuring or estimating the power consumption of the scan section is less than the time required for shift frequency optimization by shift frequency increase and decrease, and consumes power below or below the threshold power consumption of the IC chip. This can be effective if you can test the IC chip normally with a scan section.
  • shift frequency optimization can also be performed on scan sections below the threshold power consumption.
  • shift frequency optimization may be additionally performed on a scan section in which power consumption is less than or equal to a predetermined reference.
  • the average power consumption is divided into a first scan section group having a value above or above a predetermined threshold and a second scan section group having a value below or below a threshold.
  • the initial shift frequency is preferably a shift frequency equal to or greater than the nominal shift frequency, and the scan test time based on the shift frequency set in the scan pattern information or the scan section information data or the shift frequency determined through the empirical value or various previous experimental data. It may be a value set by the user in the minimization device or set automatically by a program.
  • the threshold power consumption may be an average power, a peak power, or a value larger or smaller than the allowable value of the IC chip, and various values may be applied according to embodiments.
  • the scan test time minimization apparatus allocates an initial shift frequency as it is to scan sections that are less than or less than a threshold.
  • scan sections 1 and 3 that have an average power consumption less than a threshold value may all be allocated the same or different initial shift frequencies. That is, for scan sections having an average power consumption of less than or equal to a threshold value, the process of searching for an optimal shift frequency as illustrated in FIGS. 7 to 12 may be omitted, thereby saving time for searching for a shift frequency for each scan section.
  • FIG. 14 scan sections 2, 4, and 5 that have average power consumptions above or above the threshold power consumption are allocated shift frequencies through shift frequency increases and decreases.
  • the above-described method of the present invention can be used, and examples are FIGS. 7 to 12.
  • the shift frequencies allocated to each scan section may be the same or different. If the initial shift frequency is high or the threshold power consumption is low, all scan sections may exceed the threshold power consumption, in which case the scan test time minimizer will find the optimal shift frequency by shifting the frequency up or down for all scan sections. The process can be performed.
  • a method of using peak power consumption instead of average power consumption as a value of the vertical axis of FIG. 14 and finding an optimal shift frequency through shift frequency increase and decrease based on the magnitude of the peak power consumption will be performed.
  • Scan sections can be distinguished from scan sections that are not.
  • the shift frequency optimization method of the scan section using the shift frequency increase and decrease may use the above-described method of the present invention.
  • 15 is a flowchart illustrating another example of a method for minimizing scan test time according to the present invention.
  • the scan test time minimizing apparatus divides the scan pattern into at least one scan section (S1500).
  • the dividing step S1500 may be performed in a separate device such as a computer as well as a scan test time minimizing device.
  • the scan test time minimization apparatus measures or calculates power consumption for each scan section when the scan section is shifted to a preset initial shift frequency (S1510).
  • the calculating step S1510 may be performed by a separate device such as a power consumption measuring device or a computer as well as a scan test time minimizing device.
  • power consumption may be, for example, average power consumption or peak power consumption.
  • the scan test time minimizing apparatus allocates a shift frequency that is less than or equal to the initial shift frequency or adjusts the initial shift frequency as the shift frequency of the corresponding scan section (S1560). .
  • the scan test time minimization apparatus performs a process of finding an optimal shift frequency for the scan section (S1530 to S1550).
  • the process of finding an optimal shift frequency is additionally performed. If the power consumption is below or below a threshold, an additional shift frequency is searched for an additional shift frequency below or below the initial shift frequency.
  • the shift frequency adjusted by may be determined as the shift frequency of the corresponding scan section.
  • the scan test time minimizing device increases and decreases the shift frequency (S1530).
  • the initial value of the shift frequency increased or decreased may be a nominal shift frequency, a shift frequency preset in scan pattern information or scan section information data, a value preset by a user in the scan test time minimization apparatus, or automatically set by a program.
  • the apparatus for minimizing the scan test time determines whether the scan section is shiftable in the scan chain based on the increased or decreased shift frequency (S1540).
  • the determination of the identity of the output pattern and the prediction pattern may be performed by the method described with reference to FIG. 7.
  • the scan test time minimization apparatus includes an output pattern and a k th scan section for the k-1 th scan pattern. The output pattern for the k th scan pattern is compared with each prediction pattern to determine whether normal shift is possible.
  • step S1530 of increasing or decreasing the shift frequency and repeats the above steps (S1530, S1540). If the output pattern and the prediction pattern are different (S1540), the scan test time minimization apparatus determines the shift frequency of the scan section below the shift frequency before increasing or decreasing (S1550). The scan test time minimization apparatus may determine the maximum allowable shift frequency as the optimal shift frequency of the corresponding scan section or the lower shift frequency as the optimal shift frequency before the output pattern and the prediction pattern are different.
  • FIGS. 14 and 15 may be performed in a separate device such as a computer as well as a scan test time minimization device.
  • FIG. 16 illustrates another example of an apparatus for minimizing scan test time according to the present invention.
  • an apparatus for minimizing scan test time includes a pattern divider 1600, a power detector 1610, a first frequency determiner 1620, and a second frequency determiner 1630.
  • the second frequency grasping unit 1630 includes a frequency increasing / decreasing unit 1632, a pattern comparing unit 1634, and a grasping unit 1634.
  • the pattern divider 1600 divides one or more scan patterns into at least two scan sections.
  • the power detector 1610 detects power consumption when the scan section is shifted in the scan chain at a preset shift frequency. For example, the power detector 1610 detects average power consumption or peak power consumption during the shift to load or unload the scan section to the scan chain at the initial shift frequency.
  • the first frequency determiner 1620 may determine or determine a shift frequency that is less than or equal to the initial shift frequency or adjusts the initial shift frequency as scan scan frequencies for the sections for the scan sections whose power consumption is less than or less than a preset threshold. do.
  • the power consumption may be average or peak power consumption.
  • the second frequency grading unit 1630 performs a process of finding an optimal shift frequency for scan sections whose power consumption is greater than or greater than a threshold.
  • the power consumption may be average or peak power consumption.
  • the frequency increasing / decreasing unit 1632 selects a scan section of which scan power whose power consumption is above or above a threshold is not yet determined or determined, and selects an optimal shift frequency for the selected scan section. Increase or decrease the shift frequency to find.
  • the initial value of the shift frequency increased or decreased may be a nominal shift frequency.
  • the pattern comparison unit 1634 determines whether the scan section normally shifts in to the scan chain at the shift frequency increased or decreased by the frequency increase / decrease unit 1632.
  • the above-described method of the present invention may be used to determine whether a normal shift-in is achieved.
  • the method of FIG. 7 may be applied to compare an output pattern and a prediction pattern. That is, the pattern comparison unit 1634 compares whether the output pattern and the prediction pattern are the same when shifting the scan section with the increased or decreased shift frequency, and stores the comparison result information in a computer-readable recording medium. If the comparison result is the same, it is determined that the normal shift is possible, and if it is different, it may be determined that the normal shift is impossible.
  • the grasping unit 1636 may grasp the maximum shift frequency that can be normally shifted based on the determination result of the pattern comparator 1634, or may store information for determining an optimum shift frequency in a computer-readable recording medium.
  • the grasp 1636 determines the shift frequency before the difference, that is, the shift frequency before or after the increase or decrease.
  • the maximum shift frequency of the selected scan section may be determined, or information for determining the shift frequency may be stored in a computer-readable recording medium. Information stored on the recording medium may be used to determine or determine the optimum shift frequency of the scan section.
  • the salping scan test time minimizing device may be implemented in various forms using hardware or software. In addition, all or some of the scan test time minimizing devices may be implemented in the salping scan test device in FIGS. May be implemented in other devices.
  • FIGS. 14 to 16 disclose a method and apparatus for finding an optimal shift frequency based on power consumption.
  • the embodiment is not necessarily limited to the use of power consumption, and includes all cases of using other measurement values having a constant proportionality with power consumption.
  • the power detector 1610 detects power consumption (average current consumption or peak current consumption), and detects the first frequency detector 1620 and the second frequency detector ( 1630 may find and determine an optimal shift frequency for each scan section by using the current consumption and the current consumption threshold instead of power consumption. Other embodiments may use the current consumption and current consumption thresholds instead of power consumption.
  • 17 is a diagram illustrating an example of a method of repositioning a scan pattern for minimizing scan test time according to the present invention.
  • the scan patterns on the scan pattern set for the scan test have a certain order.
  • the order of these scan patterns is not fixed but can be rearranged to reduce the overall scan test time by assigning higher shift frequencies for each scan section.
  • the order of the second scan pattern and the third scan pattern on the original scan pattern set may be changed. This also changes the order of the predictive output scan pattern.
  • the scan shift may change the switched portion of the circuit and the number of switching operations on the IC chip, and thus the power consumption is changed so that the scan pattern (or scan section)
  • the shift frequency that can be assigned to the channel can be increased. Therefore, after rearranging the scan pattern using this property, the overall scan test time can be further reduced by finding or determining the optimal shift frequency for each scan section by using the embodiment of the present invention which is previously described.
  • At least one scan pattern on an original scan pattern set is randomly rearranged, and an optimal shift frequency is identified for each relocated scan pattern set according to a prior embodiment, so that scan test time is minimal.
  • methods such as determining what is required as the arrangement of the scan patterns, or arranging the scan patterns having the smallest bit pattern difference between the scan patterns next to each other.
  • the scan pattern it is possible to have the highest shift frequency by sequentially looking for the optimal shift frequency by first placing the unordered scan patterns sequentially after the K (integer one or more) th scan pattern.
  • the scan pattern can be determined as the next pattern of the Kth scan pattern.
  • Some or all of the operations for rearranging the order of the scan patterns may be performed by hardware and firmware or software such as a processor included in the IC chip test apparatus, or may be performed by a separate device such as a computer.
  • the present invention can reduce the stress test or burn-in test time and increase the test quality of the IC chip by using the optimum shift frequency for each scan pattern or scan section.
  • the optimal shift frequency for can be found by the method of minimizing scan test time according to the present invention.
  • the stress test or burn-in test here generally refers to IC chip quality by stressing the IC chip by operating the IC chip for a long time or by accelerating aging by applying high voltage and high temperature to the IC chip, or testing an initial bad IC chip. To discover. Typically tens of hours of burn-in tests are conducted in high temperature environments above 100 ° C.
  • burn-in test is collectively referred to as burn-in test.
  • the scan test time minimization apparatus may perform a scan test using a scan pattern during the burn-in test of the IC chip. More circuit switching operation occurs in the scan mode than in the IC chip's functional mode.As the scan shift frequency increases, the power consumption of the IC chip increases in proportion, and the heat generation of the IC chip also increases. Can be accelerated.
  • the portion of the circuit to be activated may vary, and in general, in the scan mode of the IC chip, switching operations may be performed on more portions of the circuit than in the functional mode. Therefore, in the present invention, the scan test time minimization apparatus may use the maximum shift frequency that can be assigned to each scan section previously previewed to further reduce the burn-in test time by further accelerating aging during the burn-in test.
  • burn-in tests using the maximum shift frequency that can be assigned to each scan section can reduce the likelihood of aging only certain parts of the circuit due to specific scan patterns. In other words, it is possible to improve the quality of the burn-in test by applying the maximum stress to the IC chip as a whole, and the smaller the bit pattern length of the scan section, the higher the effect.
  • a test device capable of performing such a burn-in test is also called a burn-in test device.
  • FIGS. 18 and 19 are diagrams showing the configuration of an embodiment of a burn-in test apparatus according to the present invention.
  • the burn-in test apparatus includes a host computer 1800 and 1900, tester bodies 1810 and 1910, test heads 1820 and 1920, interface boards 1830 and 1930, and a temperature controller 1860. 1970), chambers 1850 and 1960, and prober 1950.
  • the device under test (DUT) placed on the interface board for testing is an IC on the wafer or a packaged IC chip. If the DUT is an IC chip on a wafer, it may further include a prober.
  • an IC chip or a packaged IC chip on a wafer is collectively called an IC chip.
  • the tester bodies 1810 and 1910 control the scan test and burn-in test as a whole.
  • the tester body controls the overall process of setting up for the DUT test, generating an electrical signal for the DUT test, observing and measuring the DUT test result signal, and controlling the temperature of the chamber through the temperature control unit.
  • the tester body may be implemented as a computer including a central processing unit (CPU), a memory, a hard disk, a user interface, and the like, and further include a device power supply for supplying power to the DUT according to an embodiment. You may.
  • the tester main body controls a signal processing processor (DSP) (not shown) for processing various digital signals, a test head, and a controller and a signal generator for applying signals to the DUTs 1840 and 1940.
  • DSP signal processing processor
  • the tester body is also called the mainframe or server.
  • the host computers 1800 and 1900 may be computers, such as workstations, and are devices that allow a user to execute a test program, control a test process, and analyze test results.
  • the host computer may include a configuration such as a central processing unit, a storage device such as a memory or a hard disk, a user interface, and the like, and may be connected to the tester main body by wire or wireless communication.
  • the host computer may include dedicated hardware, software, firmware, and the like for controlling the test.
  • the host computer and the tester main body are illustrated separately, but the host computer and the tester main body may be implemented as a single device.
  • DRAM dynamic random access memory
  • SRAM static random access memory
  • flash memory any type of memory of the tester main body or the host computer
  • the memory and the program and data for performing the DUT test may be stored.
  • the software or firmware of the tester main unit or host computer is a device driver program for operating burn-in and scan tests, an operating system (OS) program, and a program for performing DUT tests. It may be stored in a memory in the form of an instruction code for the generation, observation analysis of the DUT test result signal, etc. and may be performed by the central processing unit. Thus, the scan test pattern can be applied to the DUT by this program. In addition, reporting and analysis data for DUT tests and test results can be obtained automatically through the program.
  • the language used in the program may be various languages such as C, C ++, and Java.
  • the program may be stored in a storage device such as a hard disk, magnetic tape or flash memory.
  • the central processing unit of the tester main body or host computer is a processor and executes code of software or programs stored in a memory. For example, when a user command is received through a user interface such as a keyboard or a mouse, the central processing unit analyzes the user's command and executes it through software or a program, and then outputs the result to a user interface such as a speaker, a printer, or a monitor. To the user through.
  • a user interface such as a keyboard or a mouse
  • the user interface of the tester body or host computer allows the user and device to exchange information and communicate commands.
  • an interface device for user input such as a keyboard, a touch screen, a mouse, a voice recognition device, and the like
  • an output interface device such as a speaker, a printer, a monitor, and the like.
  • the test heads 1820 and 1920 include a channel for transmitting an electrical signal between the tester body and the DUT.
  • the interface board is provided on the test head.
  • the interface board used for testing a packaged IC chip is generally called a load board, and the interface board used for testing an IC chip on a wafer is called a probe card.
  • the chambers 1850 and 1960 are spaces capable of applying aging to the DUT, and the chamber controls the temperature of the DUT located in the chamber under the control of the temperature controller.
  • the temperature controller may also be included in the tester body or host computer.
  • the tester body or host computer can also control burn-in test time and voltage.
  • each component may be integrally implemented by integrating each component, or one configuration may be separately implemented into a plurality of configurations.
  • Various designs can be changed according to the design.
  • 18 and 19 may be implemented to simultaneously perform a burn-in test and a scan test, or only one of them.
  • the burn-in test apparatus may perform the burn-in test together with the scan test using the optimal shift frequency for each scan pattern or scan section as described above.
  • the IC chip switches more IC chip circuitry in the scan mode than in the functional mode, thus further reducing the burn-in test time by accelerating aging through scan test.
  • the burn-in test using the maximum shift frequency that can be assigned to each divided scan section further reduces burn-in test time, and also reduces the aging of only a certain part of the circuit due to a specific scan pattern. .
  • the present invention is not limited to the case of simultaneously performing the scan test together with the burn-in test, and may include only the process of shifting the scan pattern during the burn-in test and may not perform the scan test itself.
  • 20 is a diagram illustrating an example of a temperature effect on an IC chip when a burn-in test is performed using a single scan shift frequency according to the present invention.
  • a plurality of scan patterns are all shifted to a scan chain of an IC chip using the same scan shift frequency (eg, 25 MHz).
  • the main part where the IC chip is activated by each scan pattern may be different.
  • the main portion 2010 of the IC chip activated by the scan pattern 1 2030 and the main portion 2020 of the IC chip activated by the scan pattern 2 2032 may be different from each other.
  • the heat generated on the IC chip by each scan pattern may vary depending on the scan shift frequency or the number of switching circuits according to the scan pattern.
  • the temperature of the main portion 2010 of the IC chip activated by the scan pattern 1 may be a ° C.
  • the temperature of the main portion 2020 of the IC chip activated by the scan pattern 2 may be b ° C.
  • the shift frequency can be increased to generate more heat in the IC chip to accelerate the aging of the burn-in test.
  • excessively increasing the shift frequency may cause an overkill problem in which a normal IC chip is judged as defective.
  • heat generated in the IC chip is insufficient, which does not effectively accelerate the aging of the burn-in test.
  • FIG. 21 is a view showing an example of the temperature effect on the IC chip when performing the burn-in test using the optimum frequency for each scan pattern, according to the present invention, Figures 20 and 21 are the same IC chip This is an example of using a scan pattern.
  • the burn-in test time of the IC chip may be reduced by shifting the scan chain using an optimal shift frequency for each scan pattern.
  • Burn-in tests are typically performed for dozens of hours or more in high-temperature environments above 100 ° C, resulting in increased power consumption during burn-in tests. Therefore, reducing burn-in test time is very important in reducing test cost. It is also very important for the time entry time of the product.
  • the maximum possible scan shift frequency of scan pattern 1 2030 of FIG. 20 is 25 MHz and the shift frequency of scan pattern 2 2032 can be further increased, as shown in FIG.
  • the aging of the IC chip can be further accelerated by the temperature (c ° C.) higher than the temperature (b ° C.) of FIG. 20.
  • 20 and 21 illustrate a case in which a shift frequency is assigned to a scan pattern and shifted in a scan chain for convenience of description, but as shown in FIGS. 5 and 6, the scan pattern is divided into at least two scan sections and different shifts are performed. You can shift in the scan chain by frequency.
  • FIG. 22 is a diagram illustrating an example of a method of finding an optimal shift frequency for each scan section in order to minimize time of a burn-in test according to the present invention.
  • the burn-in test time minimizing apparatus divides one or more scan patterns into at least two scan sections (S2200). As an example of division of the scan pattern, the method illustrated in FIG. 5 may be used.
  • the burn-in test time minimizing apparatus allocates a plurality of shift frequencies to each scan section (S2210).
  • the value of the shift frequency assigned to each scan section is a value smaller than the shift frequency at which the output pattern of the scan chain is different from the prediction pattern.
  • the burn-in test time minimization apparatus performs the burn-in test while shifting the corresponding scan section by using the shift frequency allocated to each scan section (S2220).
  • the division of the scan pattern as the scan section (S2200), the allocation of the scan section of the shift frequency (S2210), the performance of the burn-in test (S2220), and the like may be performed in the same device or different devices, respectively.
  • the burn-in test time minimizer can identify the shift frequency just before the output pattern and the prediction pattern as the shift frequency increases or decreases as the maximum shift frequency that can be assigned to the corresponding scan section.
  • each scan section may be assigned a shift frequency smaller than the maximum shift frequency found through the increase and decrease of the shift frequency.
  • the burn-in test time minimization apparatus may perform the method illustrated in FIGS. 7 to 12 to find an optimal shift frequency for each scan section.
  • the burn-in test time minimization apparatus may find an optimal shift frequency for each scan section by performing the method illustrated in FIGS. 14 and 15.
  • the method of changing the arrangement order of the scan patterns shown in FIG. 17 may also be applied for reducing burn-in test time and improving burn-in test quality.
  • FIG. 23 is a diagram illustrating an example of a burn-in test time minimization apparatus according to the present invention.
  • an apparatus for minimizing burn-in test time includes a chamber controller 2300, a shifting unit 2310, and a shift frequency determining unit 2320.
  • the chamber controller 2300 controls the voltage, temperature, burn-in test time, etc., supplied to the inspection target IC chip.
  • the shift frequency determiner 2320 determines the optimum shift frequency shifted to the scan chain of the IC chip for each scan section during the burn-in test. For example, the shift frequency determiner 2320 may determine an optimal shift frequency for each scan section based on at least one or more of the various embodiments described above. In addition, the optimum shift frequency may be determined or determined by a separate device as well as the burn-in test time minimization apparatus, and the checked or determined shift frequency may be used by the shift frequency determiner 2320.
  • the shifting unit 2310 minimizes the burn-in test time by shifting the scan section in the scan chain by using the optimal shift frequency determined by the shift frequency determining unit 2320 while the burn-in test is performed by the chamber controller 2300. do.
  • the device can perform both a burn-in test and a salping scan test simultaneously.
  • the burn-in test time minimization apparatus may be implemented as part of the salping burn-in test apparatus in FIGS. 18 and 19. For example, a burn-in test may be performed using only a scan pattern set assigned an optimized shift frequency for each scan section, or a burn-in test and a scan test may be performed together.
  • the burn-in test time minimization apparatus may rearrange the order of the scan patterns shifted in the scan chain by using the repositioning method of the scan pattern illustrated in FIG. 17.
  • the switching part of the circuit and the number of switching operations of the circuit on the IC chip may be changed by the shift of the scan pattern, and thus the operation characteristics of the circuit, such as power consumption, may be changed and thus may be allocated to the scan pattern (or scan section).
  • the shift frequency can be high. Therefore, after rearranging the scan pattern using this property, the entire shift-in test time may be further reduced by finding or determining an optimal shift frequency for each scan section by using the embodiment of the present invention which is previously described.
  • the rearrangement of the scan pattern may be performed by a separate device as well as a burn-in test time minimization apparatus, and used by the burn-in test time minimization apparatus.
  • FIG. 27 is a diagram illustrating experimental results of a shift frequency when the scan power is approached to a threshold power consumption of an IC chip and a shift frequency optimized by a shift frequency increase / decrease method for each scan pattern. Referring to FIG. 27, the optimization of the shift frequency increase and decrease uses the method of FIG. 7.
  • the shift frequency when approaching the threshold power consumption of the IC chip can be further optimized for each scan pattern through a shift frequency increase and decrease method.
  • FIG. 27 illustrates an experiment result using a microcontrol unit (IC) processor IC chip and a test pattern of the IC chip, and the scan frequency target scan section corresponds one to one scan pattern.
  • IC microcontrol unit
  • FIG. 27 is a power-limit-based method for finding the maximum possible shift frequency without the power consumption consumed by the scan pattern exceeding the allowable power consumption of the IC chip, and the shift frequency increase and decrease of the present invention described above.
  • the maximum shift frequency found for each scan pattern is shown through a shift-frequency-scailing-based method.
  • the power consumption limit of FIG. 27 is a functional average power consumption when the IC chip is operated in a functional mode at 80 MHz, which is the functional frequency limit of the IC chip.
  • the functional frequency limits and the frequency limits at which the IC chip can be damaged are different. This is because the functional frequency limit may be subject to various constraints such as critical timing path and signal crosstalk by the circuit structure.
  • the first column of FIG. 27 is a scan pattern number
  • the second column is power consumption due to leakage current of the IC chip
  • the third column is dynamic power consumption consumed by the scan shift
  • the fourth column is the second column.
  • the power consumption per scan pattern which is the sum of the third column, does not exceed the power consumption limit.
  • the fifth column is the shift frequency corresponding to the power consumption of the fourth column, which is the maximum possible shift frequency of each scan pattern without exceeding the power consumption limit.
  • the sixth column represents the test pass or fail as a result of the IC chip test when tested at the fifth frequency shift frequency for each scan pattern.
  • the seventh column is the maximum shift frequency found through the shift frequency increase and decrease by the above-described method of the present invention, all of which pass the test result.
  • the eighth column is for the seventh column's incremental magnitude as a result of the shift-frequency-scailing-based method compared to the fifth column, which is the result of the power-limit-based method. Show percentage change.
  • the above-described present invention is used even if the maximum shift frequency is used as the initial shift frequency such that the power consumption consumed by the scan pattern does not exceed the allowable power consumption of the IC chip. It can be seen that the shift frequency can be further optimized by using the shift frequency increase / decrease method. That is, FIG. 27 shows that the result by the power-limit-based method can be further improved by the shift-freq.-scailing-based method.
  • the value of the scan capture according to the structure of the IC chip circuit This may appear as a normal result pattern. Therefore, before loading the scan section and scanning capture in the scan chain through shift frequency increase and decrease, the output result of the main output port of the IC chip is compared with the predicted result to confirm that the output result is a pass. Can be found.
  • the function for carrying out the present invention and the scan shift frequency information obtained by performing the present invention or the scan section information reflecting the information can be embodied as computer readable codes or data on a computer readable recording medium.
  • An example of the code is an executable computer program or software.
  • the code or data may be executed or used on a device such as a scan test device, burn-in test device or computer.
  • the computer-readable recording medium includes all kinds of recording devices in which data that can be read by a computer system is stored. Examples of computer-readable recording media include various types of ROM, RAM, FLASH memory, CD-ROM, magnetic tape, floppy disk, hard disk, optical data storage device, and the like.
  • the computer readable recording medium can also be distributed over network coupled computer systems so that the computer readable code or data is stored and executed in a distributed fashion.
  • the computer program code or data may be stored in a server computer and the client computer may access the server computer to use the code or data, or download and store or use the code or data on the client computer.
  • the program code may be executed on a server computer or a client computer.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

Disclosed is a scan test time minimizing method and a device therefor. The scan test time minimizing device splits a plurality of scan patterns into at least two or more scan sections, and for each scan section, identifies a first shift frequency by which, through the increase/decrease of the shift frequency, a scan chain output pattern differs from a prediction pattern, and then the device sets a second shift frequency that is smaller than the first shift frequency as the shift frequency of each scan section. Further, the present invention has the effect of minimizing a burn-in test time and increasing the quality of the burn-in test.

Description

칩 테스트 시간 최소화 방법 및 그 장치Method and apparatus for minimizing chip test time
본 발명은 IC(Integrated Circuit) 칩 테스트에 관한 것으로서, 보다 상세하게는 스캔 쉬프트 주파수를 최적화하여 칩 테스트의 시간을 최소화하는 방법 및 그 장치에 관한 것이다. The present invention relates to integrated circuit (IC) chip testing, and more particularly, to a method and apparatus for minimizing time of chip testing by optimizing scan shift frequency.
IC 칩을 테스트하는 가장 일반적인 방법은 IC 칩의 입력에 테스트 데이터를 인가하고 IC 칩의 출력에서 관찰한 값을 미리 알고 있는 예측 값과 비교하는 것이다. 그러나 플립플롭(flip-flop)과 같은 저장요소(storage element)를 가진 순차 회로(Sequential Logic)를 포함한 IC 칩을 테스트하고자 하는 경우 외부에서 IC 칩 내의 플립플롭에 원하는 값을 인가하거나 플립플롭의 값을 외부에서 관찰하기가 매우 어렵다. 이를 해결하기 위한 방법으로 스캔 설계(scan design) 방법이 있다.The most common way to test an IC chip is to apply test data to the IC chip's input and compare the observed value at the IC chip's output with a known predicted value. However, if you want to test an IC chip that contains a sequential logic with storage elements such as flip-flop, externally apply the desired value to the flip-flop in the IC chip or the value of the flip-flop. It is very difficult to observe from outside. There is a scan design method to solve this problem.
스캔 설계 방법은 회로의 제어도(controllability) 및 관측도(observability)를 높이기 위해 이용되는 테스트를 고려한 설계(DFT, design for testability) 방법 중 하나이다. 스캔 설계 방법을 이용하면, 회로의 구조적(structural) 정보를 기초로 테스트 패턴을 자동으로 생성하는 ATPG(Automatic Test Pattern Generator) 소프트웨어를 이용하여 크기는 작으면서 높은 고장 검출율(fault coverage)를 갖는 테스트 데이터를 얻을 수 있다. The scan design method is one of the design for testability (DFT) methods considering the test used to increase the controllability and observability of the circuit. Using the scan design method, a small but high fault coverage test is performed using the Automatic Test Pattern Generator (ATPG) software, which automatically generates test patterns based on the structural information of the circuit. Data can be obtained.
다시 말해, 스캔 설계는 스캔 테스트 동안 순차 회로(sequential logic)를 조합 회로(combinational logic)화 하여 칩 외부에서 해당 회로를 쉽게 제어하고 관측할 수 있게 하며, ATPG를 통해 테스트 데이터의 크기를 최소화할 수 있다. 스캔 설계 및 ATPG 소프트웨어를 통해 얻은 테스트 데이터는 적어도 하나 이상의 스캔 패턴으로 구성된다. 일반적으로 스캔 패턴들은 스캔 테스트의 수행에서 순서를 가진다. 테스트 패턴 집합은 하나 이상의 스캔 패턴으로 구성된다.In other words, the scan design turns sequential logic into combinational logic during the scan test, making it easier to control and observe the circuit outside the chip, and minimize the size of the test data through ATPG. have. Test data obtained through scan design and ATPG software consists of at least one scan pattern. In general, scan patterns are ordered in the performance of scan tests. The test pattern set consists of one or more scan patterns.
도 1은 종래 스캔 설계 방법이 적용된 IC 칩의 일 예를 도시한 도면이다.1 is a diagram illustrating an example of an IC chip to which a conventional scan design method is applied.
도 1을 참조하면, IC 칩(100)은 적어도 하나 이상의 조합회로(110)와 복수 개의 플립플롭(120,130,140)으로 구성되는 순차 회로(Sequential Logic)이다. 도 1의 경우, 플립플롭(120,130,140)은 멀티플렉서(Multiplexer, MUX) 방식의 스캔 플립플롭이나, 이 외 다양한 방식으로 구현될 수 있다. Referring to FIG. 1, the IC chip 100 is a sequential logic composed of at least one combination circuit 110 and a plurality of flip- flops 120, 130, and 140. In the case of FIG. 1, the flip- flops 120, 130, and 140 may be a scan flip-flop of a multiplexer (MUX) method, or various other methods.
IC 칩(100)은 주 입력(PI, Primary Input) 포트(150), 주 출력(PO, Primary Output) 포트(152), 스캔활성화(SE, Scan Enable) 포트(160), 스캔 입력 포트(162), 클락(Clock) 입력 포트(164), 스캔 출력 포트(166) 등을 포함한다. 스캔활성화 포트(160)와 클락입력 포트(164)는 각각 플립플롭(120,130,140)과 연결된다. 각 플립플롭(120,130,140)은 조합회로(110)와 연결되어 각 플립플롭에 저장된 값을 조합회로로 출력하고, 조합회로로부터 출력된 값을 입력받을 수 있다. The IC chip 100 may include a primary input (PI) port 150, a primary output (PO) port 152, a scan enable (SE) port 160, and a scan input port 162. ), A clock input port 164, a scan output port 166, and the like. The scan activation port 160 and the clock input port 164 are connected to the flip- flops 120, 130, and 140, respectively. Each flip- flop 120, 130, 140 may be connected to the combination circuit 110 to output a value stored in each flip-flop to the combination circuit, and receive a value output from the combination circuit.
주 입력 포트(150) 및 주 출력 포트(152)는 각각 IC 칩의 정상적인 동작 과정에서 데이터를 입력하고 출력하는 포트이다. The main input port 150 and the main output port 152 are ports for inputting and outputting data during normal operation of the IC chip.
스캔활성화 포트(160)는 스캔 활성화 신호 또는 스캔 비활성화 신호를 입력하는 포트로써, 스캔 활성화 신호 또는 스캔 비활성화 신호에 따라 IC 칩은 정상적인 동작을 수행하는 노멀(normal), 즉 기능(functional) 모드가 되거나 IC 칩을 테스트하는 스캔 모드가 된다.The scan activation port 160 is a port for inputting a scan enable signal or a scan disable signal, and according to the scan enable signal or the scan disable signal, the IC chip enters a normal or functional mode in which a normal operation is performed. The scan mode is tested to test the IC chip.
스캔 입력 포트(162)는 IC 칩(100)의 테스트를 위하여 스캔 패턴을 입력하는 포트이고, 스캔 출력 포트(166)는 스캔 패턴에 의한 테스트 결과를 출력하기 위한 포트이다. 스캔 출력 포트를 통해 출력되는 비트 패턴을 출력 스캔 패턴 또는 출력 패턴이라고 하며, 예를 들어 스캔 테스트 결과 패턴일 수 있다. The scan input port 162 is a port for inputting a scan pattern for testing the IC chip 100, and the scan output port 166 is a port for outputting a test result based on the scan pattern. The bit pattern output through the scan output port is called an output scan pattern or an output pattern, and may be, for example, a scan test result pattern.
클락입력 포트(164)는 스캔 입력 포트(162)를 통해 입력되는 스캔 패턴을 플립플롭(120,130,140)에 쉬프트(shift)하여 로드하거나, 조합회로(110)의 출력을 플립플롭(120,130,140)에 캡쳐할 수 있도록 트리거링(triggering)하기 위한 클락 신호를 입력하는 포트이다. 예를 들어, 플립플롭(120,130,140)은 클락입력 포트(164)를 통해 입력되는 클락 신호의 상승 에지(rising edge) 또는 하강 에지(falling edge)에 의해 트리거링되어 입력 값을 저장 또는 캡쳐한다. The clock input port 164 shifts and loads a scan pattern input through the scan input port 162 to the flip- flops 120, 130, and 140, or captures the output of the combination circuit 110 on the flip- flops 120, 130, and 140. It is a port to input clock signal for triggering. For example, the flip- flops 120, 130, and 140 are triggered by the rising edge or the falling edge of the clock signal input through the clock input port 164 to store or capture an input value.
스캔 입력 포트(162)로부터 복수 개의 플립플롭(120,130,140)을 거쳐 스캔 출력 포트(166)로 연결되는 경로(점선 경로)를 스캔 체인(Scan Chain) 또는 스캔 경로(Scan Path)라고 한다. 도 1에서는 단일 스캔 체인을 도시하였으나, 복수 개의 스캔 체인이 사용될 수 있다.A path (dashed path) connected to the scan output port 166 through the plurality of flip- flops 120, 130, and 140 from the scan input port 162 is called a scan chain or a scan path. 1 illustrates a single scan chain, a plurality of scan chains may be used.
기능 모드의 경우, 조합 회로(110)는 주 입력 포트(150)를 통해 데이터를 입력받고 주 출력 포트(152)를 통해 결과를 출력하는 정상적인 동작을 수행한다. 이와 더불어, 기능 모드에서 플립플롭(120,130,140)은 클락 신호에 따라 조합회로(110)의 출력값을 입력받으며, 스캔 테스트 시에는 이러한 동작을 스캔 캡쳐(scan capture)라고 한다. In the functional mode, the combination circuit 110 performs a normal operation of receiving data through the main input port 150 and outputting a result through the main output port 152. In addition, in the function mode, the flip- flops 120, 130, and 140 receive an output value of the combination circuit 110 according to the clock signal, and this operation is called scan capture during the scan test.
스캔 모드에서, 스캔 패턴의 각 비트들은 클락 신호에 따라 스캔 경로 상에 존재하는 플립플롭(120,130,140) 들에 순차적으로 쉬프트-인(Shift-In) 되고, 또한 순차적으로 스캔 출력 포트(166)를 통해 쉬프트-아웃(Shift-Out) 된다. 여기서, 플립플롭(120,130,140)에 스캔 패턴이 쉬프트-인 된 상태를 로드(load)라고 하며, 플립플롭(120,130,140)에 저장된 값이 스캔 출력 포트(166)를 통해 쉬프트-아웃된 상태를 언로드(unload)라고 한다. In scan mode, each bit of the scan pattern is sequentially shifted-in to flip- flops 120, 130, and 140 present on the scan path in accordance with the clock signal, and sequentially through the scan output port 166. It is shifted out. Here, a state in which the scan pattern is shifted-in to the flip- flops 120, 130, and 140 is called a load, and an unloaded state in which the value stored in the flip- flop 120, 130, and 140 is shifted out through the scan output port 166 is unloaded. ).
예를 들어, IC 칩 내 스캔 체인 상의 플립플롭(120,130,140)의 수가 3개라면, 각 스캔 패턴의 길이는 스캔 체인 상의 플립플롭의 수와 동일한 3 비트의 길이로 구성되고, 3 비트의 스캔 패턴은 클락 신호에 따라 순차적으로 스캔 체인 상의 플립플롭(120,130,140)에 쉬프트-인 된다. 즉, 클락 신호의 상승 에지에 플립플롭에 값이 저장된다고 할 때, 클락 신호의 한 번의 상승 에지에 첫 번째 플립플롭(140)에 스캔 패턴의 첫 번째 비트가 입력되어 저장되고, 다음 클락 신호에서 첫 번째 플리플롭(140)의 출력 값은 두 번째 플립플롭(130)에 저장되고, 첫 번째 플립플롭(140)에는 스캔 패턴의 두 번째 비트 값이 저장된다. 또 다음 클락 신호에서 두 번째 플립플롭(130)의 출력 값은 세 번째 플립플롭(120)에 저장되고, 첫 번째 플립플롭(140)의 출력 값은 두 번째 플립플롭(130)에 저장되고, 첫 번째 플립플롭(140)에는 스캔 패턴의 세 번째 비트 값이 저장된다. 따라서 세 번의 클락 신호로 하나의 스캔 패턴이 스캔 체인 상의 플립플롭(120,130,140)에 로드된다. 마찬가지로 세 번의 클락 신호로 스캔 체인 상의 플립플롭(120,130,140)의 값이 스캔 출력 포트(166)를 통해 언로드된다.For example, if the number of flip- flops 120, 130, 140 on the scan chain in the IC chip is three, the length of each scan pattern consists of three bits of length equal to the number of flip-flops on the scan chain, and the three-bit scan pattern is The shift signals are sequentially shifted into the flip- flops 120, 130 and 140 on the scan chain according to the clock signal. That is, when a value is stored in the flip-flop on the rising edge of the clock signal, the first bit of the scan pattern is input and stored in the first flip-flop 140 on one rising edge of the clock signal, and then stored in the next clock signal. The output value of the first flip-flop 140 is stored in the second flip-flop 130, and the second flip-flop 140 stores the second bit value of the scan pattern. In the next clock signal, the output value of the second flip-flop 130 is stored in the third flip-flop 120, and the output value of the first flip-flop 140 is stored in the second flip-flop 130, and the first The third flip-flop 140 stores the third bit value of the scan pattern. Therefore, one scan pattern is loaded into the flip- flops 120, 130 and 140 on the scan chain with three clock signals. Similarly, with the three clock signals, the values of the flip- flops 120, 130 and 140 on the scan chain are unloaded through the scan output port 166.
일반적인 스캔 테스트 과정을 보다 구체적으로 살펴보면 다음과 같다.In more detail, the general scan test process is as follows.
(1) IC 칩(100)의 주 입력 포트(150)에 주 입력 테스트 데이터를 인가한다. (1) Main input test data is applied to the main input port 150 of the IC chip 100.
(2) 스캔 활성화 포트(160)에 스캔 활성화 신호를 인가하여 칩(100)을 스캔 모드로 만든다.(2) The scan activation signal is applied to the scan activation port 160 to make the chip 100 in the scan mode.
(3) 스캔 입력 포트(162)에 스캔 패턴을 쉬프트-인하여 스캔 체인 상의 플립플롭(120,130,140)에 스캔 패턴을 로드한다. 스캔 체인에 로드된 스캔 패턴은 조합회로(110)에 인가된다. 상기 스캔 패턴이 조합회로에 인가된 후 주 출력 포트(152)를 통해 출력되는 결과를 예측된 주 출력 값과 비교하며, 비교결과가 다르면 IC 칩은 불량품이다.(3) Shift-in the scan pattern to the scan input port 162 to load the scan pattern to the flip- flops 120, 130 and 140 on the scan chain. The scan pattern loaded in the scan chain is applied to the combination circuit 110. After the scan pattern is applied to the combination circuit, the result output through the main output port 152 is compared with the predicted main output value. If the comparison result is different, the IC chip is defective.
(4) 스캔 활성화 포트(160)에 스캔 비활성화 신호를 인가하여 칩(100)을 스캔 모드에서 기능 모드로 전환한다. 기능 모드에서, 클락 신호가 인가되면 플립플롭(120,130,140)은 조합회로(110)의 출력 값을 캡쳐하며, 이러한 동작을 스캔 캡쳐라고 하고, 이때의 모드를 또한 스캔 캡쳐 모드라고도 부른다.(4) The scan deactivation signal is applied to the scan activation port 160 to switch the chip 100 from the scan mode to the function mode. In the functional mode, when a clock signal is applied, the flip- flops 120, 130, and 140 capture the output values of the combination circuit 110, and this operation is called scan capture, and this mode is also called a scan capture mode.
(5) 스캔 활성화 포트(160)에 스캔 활성화 신호를 인가하여 칩을 다시 기능 모드에서 스캔 모드로 전환한다. (5) The scan activation signal is applied to the scan activation port 160 to switch the chip back from the functional mode to the scan mode.
(6) 그리고, 스캔 체인 상의 플립플롭(120,130,140)에 캡쳐된 값을 스캔 출력 포트(166)를 통해 쉬프트-아웃 하여 언로드한다. (6) Then, the values captured in the flip- flops 120, 130, and 140 on the scan chain are shifted out through the scan output port 166 and unloaded.
(7) 언로드된 출력 패턴과 미리 알고 있는 예측 패턴을 비교하여 IC 칩의 정상 동작 여부를 파악한다. 여기서, 예측 패턴은 IC 칩이 정상적인 경우 주 입력 테스트 데이터와 스캔 패턴을 인가하고 스캔 캡쳐 동작을 한 후 스캔 출력 포트(166)를 통해 출력되는 스캔 패턴으로서 테스트 전에 미리 알고 있는 값이다. 즉, 상기 단계 (3)에서의 비교 결과와 단계 (7)에서의 비교 결과가 모두 동일함이면, 즉 테스크 결과가 정상(pass)이면 IC 칩은 양품이며 그렇지 않으면 IC 칩은 불량품이다.(7) The unloaded output pattern is compared with a known prediction pattern to determine whether the IC chip is operating normally. Here, the prediction pattern is a scan pattern that is output through the scan output port 166 after applying the main input test data and the scan pattern and performing the scan capture operation when the IC chip is normal, and is a value known before the test. That is, if the comparison result in step (3) and the comparison result in step (7) are the same, that is, if the test result is pass, the IC chip is good and the IC chip is defective.
스캔 테스트의 종류는 크게 고착 고장(stuck-at-fault) 테스트와 지연 고장 테스트(delay fault) 테스트로 나뉜다. 여기서, 고착 고장은 IC 칩 상의 어느 신호선이 논리 0(logic 0) 또는 논리 1(logic 1) 값으로 의도하지 않게 고착된 문제를 의미하고, 지연고장은 IC 칩 상에서 어느 신호선(line) 또는 경로(path)를 통해 신호값을 전달할 때 지연 시간으로 인해 IC 칩의 스펙을 만족하지 못하는 고장을 의미한다. There are two types of scan tests: stuck-at-fault tests and delay fault tests. Here, the fixing failure refers to a problem in which a signal line on the IC chip is inadvertently stuck to a logic 0 or logic 1 value, and the delay failure refers to any signal line or path on the IC chip. When passing the signal value through the path, it means a failure that does not meet the specifications of the IC chip due to the delay time.
지연 고장 테스트의 종류로는 또한 천이 지연(transition delay) 테스트와 경로 지연(path delay) 테스트가 있다. 천이 지연 테스트는 IC 칩 상의 어느 특정 노드(node) 또는 신호선에 0-to-1 또는 1-to-0 신호값 천이 지연 시간 문제가 있는지 테스트하는 것이다. 경로 지연 테스트는 IC 칩 상의 어느 특정 경로에 0-to-1 또는 1-to-0 신호값 천이 지연 시간 문제가 있는지 테스트하는 것이다.Types of delay failure tests also include transition delay tests and path delay tests. The transition delay test is to test whether a specific node or signal line on the IC chip has a 0-to-1 or 1-to-0 signal value transition delay problem. The path delay test is to test whether a particular path on the IC chip has a 0-to-1 or 1-to-0 signal value transition delay time problem.
지연 고장 테스트를 위한 대표적 방법으로 론치-온-캡쳐(Launch-On-Capture) 방법과 론치-온-쉬프트(Launch-On-Shift) 방법이 있으며, 이들 방법 또한 지연 고장 테스트를 위한 스캔 패턴을 스캔 체인 상에 쉬프트-인 하는 로드 동작과 스캔 체인 상의 플립플롭에 갭쳐된 지연고장 테스트 결과를 쉬프트-아웃 하는 언로드 동작으로 구성된다.Representative methods for delay failure testing include launch-on-capture and launch-on-shift methods, which also scan the scan pattern for delay failure testing. It consists of a load operation that shifts in on the chain and an unload operation that shifts out the delayed test results that are captured by flip-flops on the scan chain.
이와 같은 종래 스캔 테스트의 경우, 스캔 체인 상의 플립플롭의 개수만큼 쉬프트 하기 위한 클락 펄스의 개수가 필요하므로, 쉬프트-인과 쉬프트-아웃 동작에 따른 많은 시간이 소요되는 문제점이 있다. 그러나 테스트 시간을 줄이기 위하여 클락 신호의 주파수, 즉 쉬프트 주파수를 단순히 높일 수는 없다.In the conventional scan test, since the number of clock pulses for shifting the number of flip-flops on the scan chain is required, there is a problem in that it takes a lot of time due to the shift-in and shift-out operations. However, you can't simply increase the clock signal's frequency, or shift frequency, to reduce test time.
예를 들어, 스캔 쉬프트 주파수를 단순히 높이게 되면 전력 소모 또는 크리티컬 경로(cirtical path) 지연 시간 문제 등에 의해 양품을 불량품으로 판정하는 오버 킬(over kill) 문제점이 발생할 수 있다.For example, simply increasing the scan shift frequency may cause an overkill problem in which a good product is judged to be defective due to a power consumption or a critical path delay time.
또한, 딥 서브 마이크론(DSM, Deep Sub-Micron) 미세공정 및 저전력 공정뿐만 아니라 저전력 설계에 의해 IC 칩은 더욱 저전력화되어 가면서 IC 칩 동작 주파수에 대한 파워 서플라이 노이즈의 영향은 더욱 높아졌다. 특히 IC 칩은 기능 모드일 때보다 스캔 모드에서 보다 많은 스위칭 동작이 발생하므로, 스위칭 동작에 따른 파워 서플라이 노이즈로 인해 발생하는 신호선의 추가적인 지연이 지연 테스트 오버킬을 발생시킬 수 있어 단순히 쉬프트 주파수를 높이는데 한계가 있다. In addition to deep sub-micron (DSM) microprocessing and low-power processes, as well as lower power designs, IC chips are becoming more power-lower, and the impact of power supply noise on IC chip operating frequencies is higher. In particular, since the IC chip generates more switching operation in scan mode than in function mode, the additional delay of the signal line caused by power supply noise due to switching operation can cause delay test overkill, which simply increases the shift frequency. There is a limit.
또한, IC 칩 상의 신호선 간 간섭(signal crosstalk)에 의한 신호 무결성(signal integrity) 문제는 DSM 미세 공정으로 가면서 더욱 중요해졌다. 스캔 모드에서 보다 더 많이 발생하는 스위칭 동작으로 인해 신호선 간 갑섭이 더욱 심해진다. 따라서 지연 테스트 시에 신호선 간 간섭으로 인해 신호선에 발생하는 추가적인 지연이 지연 테스트 오버킬을 발생시킬 수 있다. In addition, the issue of signal integrity due to signal crosstalk on IC chips has become more important as the DSM fine process proceeds. More switching behavior occurs in scan mode, resulting in more interference between signal lines. Therefore, an additional delay occurring in the signal line due to the interference between the signal lines during the delay test may cause the delay test overkill.
또한, 스캔 패턴의 파워 소모 값을 기초로 쉬프트 주파수를 찾는 경우, 파워 소모 값이 IC 칩의 스펙을 넘기지 않더라도 스캔 테스트 특성상 IC 칩에 과도한 회로 스위칭 동작과 공정 변이(process variation)의 영향으로 인해 IR-drop 또는 Ground-bounce로 인한 스캔 테스트 오류 문제가 발생할 수 있다. 예를 들어, 스캔 패턴을 사용한 지연 테스트 시에 IR-drop, 즉 전압 강하(voltage drop)의 영향으로 인해 특정 신호선에 추가적인 지연이 생길 수 있으며 이는 지연 테스트 오버킬을 야기할 수 있다. 또한 반대로 스캔 패턴의 전력소모가 IC 칩의 스펙을 넘는 경우라도 칩의 공정 및 설계 특성에 의해 IR-drop 또는 Ground-bounce 문제가 발생하지 않을 수 있다. 따라서 단순히 파워 소모 값만을 가지고는 IC 칩에 대한 최적의 쉬프트 주파수를 찾는 데 한계가 있다. 또한, 스캔 패턴의 파워 소모 값만으로 최대 쉬프트 주파수를 찾는 경우에, 파워 소모 값이 IC 칩의 스펙을 넘지 않더라도 증가된 쉬트프 주파수에 의해 스캔 체인 상에 크리티컬 패스(critical path) 타이밍 문제가 발생할 수 있다. In addition, when the shift frequency is found based on the power consumption value of the scan pattern, even if the power consumption value does not exceed the IC chip specification, due to the influence of excessive circuit switching operation and process variation on the IC chip due to the scan test characteristics, the IR Scan test error problems can occur due to -drop or ground-bounce. For example, in a delay test using a scan pattern, the effects of IR-drop, or voltage drop, can cause additional delays on certain signal lines, which can cause delay test overkill. In contrast, even if the power consumption of the scan pattern exceeds the specifications of the IC chip, IR-drop or ground-bounce problems may not occur due to the process and design characteristics of the chip. Therefore, there is a limit to finding the optimal shift frequency for the IC chip with only the power consumption value. In addition, in the case of finding the maximum shift frequency using only the power consumption value of the scan pattern, the critical shift timing problem may occur on the scan chain due to the increased shift frequency even if the power consumption value does not exceed the IC chip specification. have.
또한, 쉬프트 주파수를 증가하면 스캔 체인 상에 크리티컬 패스 타이밍 문제가 생길 수 있지만 스캔 패턴에 의한 논리적인 문제는 생기지 않는 경우가 있다. 다시 말해 스캔 체인의 크리티컬 패스 상의 비트 값의 상태에 따라 거짓(false) 크리티컬 패스의 경우가 특정 스캔 쉬프트 사이클에서 생길 수 있다.In addition, increasing the shift frequency may cause a critical path timing problem on the scan chain, but may not cause a logical problem due to the scan pattern. In other words, depending on the state of the bit value on the critical path of the scan chain, the case of a false critical path may occur in a particular scan shift cycle.
또한, 다중 전압 섬(Voltage Island) 또는 전압 지역(Voltage Domain or Region) 기법을 사용하는 저전력 IC 칩의 경우 높은 속도의 성능이 필요한 설계 지역에는 높은 전압을 공급하고 그렇지 않은 지역에는 상대적으로 낮은 전압을 공급하므로, 각 전압 지역별로 허용되는 전력소모가 다르다.In addition, low-power IC chips that use multiple voltage island or voltage domain or region techniques provide high voltages for design areas that require high speed performance, and relatively low voltages for areas that do not. As a result, the permissible power consumption is different for each voltage region.
본 발명이 이루고자 하는 기술적 과제는, 스캔 패턴을 스캔 체인에 쉬프트-인 또는 쉬프트-아웃 하기 위하여 사용하는 쉬프트 주파수를 스캔 섹션별로 최적화화여 스캔 테스트 시간 또는 번인 테스트 시간을 최소화하는 칩 테스트 시간 최소화 방법 및 그 장치를 제공하는 데 있다. SUMMARY OF THE INVENTION The present invention provides a method for minimizing chip test time by optimizing a shift frequency used to shift-in or shift-out a scan pattern into a scan chain for each scan section to minimize scan test time or burn-in test time. To provide the device.
상기의 기술적 과제를 달성하기 위한, 본 발명에 따른 칩 테스트 시간 최소화 방법의 일 예는, 적어도 둘 이상의 스캔 섹션의 각각에 대하여, 쉬프트 주파수 또는 쉬프트 주파수의 주기의 증감을 통해 얻는 스캔 체인의 출력 패턴을 예측 패턴과 비교한 결과를 기초로 제1 쉬프트 주파수를 파악하는 단계를 포함하고, 상기 쉬프트 주파수 또는 쉬프트 주파수의 주기는 상기 스캔 섹션의 쉬프트-인 또는 쉬프트-아웃의 주파수 또는 주파수의 주기이다.An example of the chip test time minimization method according to the present invention for achieving the above technical problem, for each of the at least two or more scan sections, the output pattern of the scan chain obtained by increasing or decreasing the shift frequency or the period of the shift frequency Determining a first shift frequency based on a result of comparing a to a prediction pattern, wherein the shift frequency or the period of the shift frequency is the frequency or frequency of the shift-in or shift-out of the scan section.
상기의 기술적 과제를 달성하기 위한, 본 발명에 따른 칩 테스트 시간 최소화 방법의 다른 일 예는, 적어도 둘 이상의 스캔 섹션 각각에 대하여 서로 다른 쉬프트 주파수를 결정하는 단계;를 포함하고, 각 스캔 섹션에 대해 결정된 쉬프트 주파수는 스캔 체인의 출력 패턴이 예측 패턴과 상이해지는 쉬프트 주파수보다 작은 값인 것을 특징으로 한다.Another example of the method for minimizing chip test time according to the present invention for achieving the above technical problem includes determining a different shift frequency for each of the at least two scan sections; for each scan section The determined shift frequency is characterized in that the output pattern of the scan chain is smaller than the shift frequency which is different from the prediction pattern.
상기의 기술적 과제를 달성하기 위한, 본 발명에 따른 칩 테스트 시간 최소화 장치의 일 예는, 스캔 쉬프트 주파수를 증감하는 주파수 증감부; 하나 이상의 스캔 섹션을 포함하는 스캔 패턴을 스캔 체인에 입력하는 패턴 입력부; 상기 스캔 체인의 출력 패턴이 예측 패턴과 동일한지 파악하는 패턴 비교부; 및 상기 출력 패턴과 상기 예측 패턴이 상이한 시점의 쉬프트 주파수보다 작은 쉬프트 주파수를 상기 스캔 섹션의 가능한 쉬프트 주파수로 파악하는 주파수 파악부;를 포함하며, 적어도 둘 이상의 스캔 섹션에 대해 각각 파악된 쉬프트 주파수들의 일부 또는 전부는 서로 상이한 것을 특징으로 한다.In order to achieve the above technical problem, an example of an apparatus for minimizing chip test time according to the present invention may include: a frequency increase and decrease unit configured to increase or decrease a scan shift frequency; A pattern input unit configured to input a scan pattern including at least one scan section into a scan chain; A pattern comparison unit to determine whether an output pattern of the scan chain is identical to a prediction pattern; And a frequency grading unit that grasps a shift frequency smaller than a shift frequency at a point in time at which the output pattern and the prediction pattern are different as possible shift frequencies of the scan section, wherein each of the shift frequencies identified for at least two scan sections is included. Some or all of them are different from each other.
상기의 기술적 과제를 달성하기 위한, 본 발명에 따른 칩 테스트 시간 최소화 방법의 다른 일 예는, 적어도 둘 이상의 스캔 섹션 중 기 설정된 초기 쉬프트 주파수로 스캔 체인에 스캔 섹션을 쉬프팅할 때의 소모 전력 또는 소모 전류가 기 설정된 임계값 이상 또는 초과인 적어도 하나 이상의 스캔 섹션에 대해, 상기 스캔 체인의 출력 패턴이 예측 패턴과 동일한 쉬프트 주파수를 결정하는 단계;를 포함한다.Another example of a method for minimizing chip test time according to the present invention for achieving the above technical problem is power consumption or consumption when shifting a scan section in a scan chain at a predetermined initial shift frequency among at least two scan sections. And determining, for at least one scan section whose current is above or above a predetermined threshold, an output frequency of the scan chain is equal to a prediction pattern.
상기의 기술적 과제를 달성하기 위한, 본 발명에 따른 칩 테스트 시간 최소화 방법의 다른 일 예는, 제1 스캔 섹션 및 제2 스캔 섹션을 스캔 체인에 쉬프팅할 때의 소모 전력 또는 소모 전류가 기 설정된 임계값 이상 또는 초과인 제1 쉬프트 주파수 및 제2 쉬프트 주파수를 결정하는 단계; 및 제3 스캔 섹션 및 제4 스캔 섹션을 상기 스캔 체인에 쉬프팅할 때의 소모 전력 또는 소모 전류가 상기 임계값 미만 또는 이하인 제3 쉬프트 주파수 및 제4 쉬프트 주파수를 결정하는 단계;를 포함하고, 상기 제1 쉬프트 주파수와 상기 제2 쉬프트 주파수는 서로 상이하고, 상기 제3 쉬프트 주파수와 상기 제4 쉬프트 주파수는 서로 동일한 것을 특징으로 한다.Another example of the method for minimizing chip test time according to the present invention for achieving the above technical problem is that the power consumption or current consumption when shifting the first scan section and the second scan section in the scan chain is a preset threshold. Determining a first shift frequency and a second shift frequency that are above or above a value; And determining a third shift frequency and a fourth shift frequency when the power consumption or current consumption when shifting the third scan section and the fourth scan section to the scan chain is less than or equal to the threshold value. The first shift frequency and the second shift frequency are different from each other, and the third shift frequency and the fourth shift frequency are the same.
상기의 기술적 과제를 달성하기 위한, 본 발명에 따른 칩 테스트 시간 최소화 장치의 다른 일 예는, 스캔 섹션을 제1 쉬프트 주파수로 스캔 체인에 쉬프팅할 때의 소모 전력 또는 소모 전류를 검출하는 전력 검출부; 상기 제1 쉬프트 주파수에 의한 소모 전력 또는 소모 전류가 기 설정된 임계값 미만 또는 이하인 적어도 하나 이상의 스캔 섹션에 대해 상기 제1 쉬프트 주파수 이하를 상기 스캔 섹션의 가능한 쉬프트 주파수로 파악하거나 결정하는 제1주파수 파악부; 및 소모 전력 또는 소모 전류가 상기 임계값 이상 또는 초과인 적어도 하나 이상의 스캔 섹션에 대해, 상기 스캔 체인의 출력 패턴을 예측 패턴과 동일하게 유지할 수 있는 적어도 하나 이상의 제2 쉬프트 주파수를 파악하거나 결정하는 제2 주파수 파악부;를 포함한다.According to another aspect of the present invention, there is provided a chip test time minimizing apparatus, including: a power detector configured to detect power consumption or current consumption when shifting a scan section to a scan chain at a first shift frequency; A first frequency grasp for identifying or determining the first shift frequency or less as a possible shift frequency of the scan section for at least one or more scan sections whose power consumption or current consumption by the first shift frequency is below or below a predetermined threshold; part; And identifying or determining at least one second shift frequency for at least one scan section in which power consumption or current draw is above or above the threshold, the output pattern of the scan chain being equal to a prediction pattern. 2 frequency grasping unit; includes.
상기의 기술적 과제를 달성하기 위한, 본 발명에 따른 칩 테스트 시간 최소화 방법의 일 예는, 적어도 둘 이상의 스캔 섹션의 각각에 대하여 할당된 서로 다른 쉬프트 주파수를 이용하여 상기 스캔 섹션을 스캔 체인에 쉬프팅하는 단계; 및 상기 쉬프팅과 함께 번인 테스트를 수행하는 단계;를 포함한다.In order to achieve the above technical problem, an example of a method of minimizing chip test time according to the present invention includes shifting the scan sections to a scan chain using different shift frequencies assigned to each of at least two or more scan sections. step; And performing a burn-in test together with the shifting.
상기의 기술적 과제를 달성하기 위한, 본 발명에 따른 칩 테스트 시간 최소화 장치의 일 예는, 공급되는 전압과 온도를 제어하는 챔버제어부; 및 상기 전압과 온도가 공급되는 상태에서, 스캔 체인에 스캔 섹션을 쉬프팅하는 쉬프팅부;를 포함하며, 적어도 둘 이상의 스캔 섹션의 각각에 대하여 서로 다른 쉬프트 주파수를 사용하여 스캔 섹션을 스캔 체인에 쉬프팅한다.In order to achieve the above technical problem, an example of an apparatus for minimizing chip test time according to the present invention may include: a chamber controller configured to control a supplied voltage and a temperature; And a shifting unit configured to shift the scan section to the scan chain while the voltage and the temperature are supplied, and shift the scan section to the scan chain using different shift frequencies for each of the at least two scan sections. .
본 발명에 따르면, 스캔 패턴, 스캔 섹션 또는 섹션 그룹별 최적의 쉬프트 주파수를 제공한다. 또한 전력 소모 또는 크리티컬 경로(cirtical path) 지연 시간만을 고려하여 쉬프트 주파수를 높이는 경우에 오버 쉬프트 주파수로 인해 양품을 불량품으로 판정하는 오버 킬(over kill) 문제점을 해결하면서 스캔 테스트 시간을 최소화할 수 있는 최적의 쉬프트 주파수를 찾을 수 있다. According to the present invention, an optimum shift frequency for each scan pattern, scan section or section group is provided. In addition, when increasing the shift frequency by considering only power consumption or critical path delay time, scan test time can be minimized while solving an over kill problem in which a good product is judged to be defective due to an overshift frequency. Find the optimal shift frequency.
또한 파워 서플라이 노이즈의 영향, 신호선 간 간섭 영향을 고려하여 최적의 쉬프트 주파수를 찾을 수 있다. 또한 스캔 테스트에 의한 과도한 회로 스위칭 동작, 프로세스 변이(process variation), 미세 공정, 저전력 공정 또는 저전력 설계의 영향으로 야기될 수 있는 IR-drop 또는 Ground-bounce의 영향을 반영하여 최적의 쉬프트 주파수를 찾을 수 있다. In addition, the optimum shift frequency can be found by considering the influence of power supply noise and interference between signal lines. In addition, the optimum shift frequency can be found by reflecting the effects of IR-drop or ground-bounce that may be caused by excessive circuit switching behavior, process variation, microprocessing, low-power processes, or low-power designs caused by scan tests. Can be.
또한 쉬프트 주파수의 증가시에 발생할 수 있는 스캔 체인상의 크리티컬 패스 타이밍 영향을 고려하여 최적의 쉬프트 주파수를 찾을 수 있다. In addition, the optimum shift frequency can be found by considering the influence of the critical path timing on the scan chain that may occur when the shift frequency is increased.
또한 스캔 체인 상의 비트 값에 따라 스캔 체인의 크리티컬 패스가 거짓(false) 크리티컬 패스 상태가 될 경우에는 크리티컬 타이밍 제약을 무시하고 IC 칩이 정상적으로 동작할 수 있는 범위 내에서 스캔 쉬프트 주파수를 최대한 높여 테스트 시간을 최소화할 수 있다. In addition, if the critical path of the scan chain becomes a false critical path according to the bit value on the scan chain, it ignores the critical timing constraint and maximizes the scan shift frequency within the range where the IC chip can operate normally. Can be minimized.
또한 다중 전압 섬(voltage island) 또는 전압 지역(voltage doamin or region) 기법을 사용하는 저전력 IC 칩의 경우 각 전압 섬 또는 전압 지역 별로 허용되는 전력소모를 반영하여 최적의 쉬프트 주파수를 찾을 수 있다.In addition, for low-power IC chips using multiple voltage island or voltage region or region techniques, the optimal shift frequency can be found by reflecting the power consumption allowed for each voltage island or voltage region.
또한 스캔 패턴 또는 스캔 섹션의 최적 쉬프트 주파수를 찾는데 있어서 IC 칩의 회로 설계 정보가 필요 없으므로, 칩의 회로 설계 정보가 손실 또는 유실되어도 칩과 스캔 패턴 집합만 있으면 스캔 패턴별 또는 스캔 섹션별 최적의 쉬프트 주파수를 찾을 수 있다. In addition, the circuit design information of the IC chip is not needed to find the optimal shift frequency of the scan pattern or scan section. Therefore, even if the chip design information is lost or lost, only the chip and scan pattern set is required. Find the frequency.
또한, 초기에 명목 쉬프트 주파수와 같은 기 설정된 일정 쉬프트 주파수를 모든 스캔 섹션에 할당한 후 각 스캔 섹션의 소모 전력 또는 소모 전류가 일정 이상인 스캔 패턴 또는 스캔 섹션에 대해서 최적의 쉬프트 주파수를 찾는 과정을 수행하는 경우 전체 스캔 패턴 또는 스캔 섹션 각각에 대해 최적의 쉬프트 주파수를 찾는 방법에 비해 찾는 시간을 절약할 수 있다. In addition, a predetermined predetermined shift frequency, such as a nominal shift frequency, is initially assigned to all scan sections, and then a process of finding an optimal shift frequency for a scan pattern or scan section in which the power consumption or current consumption of each scan section is a certain level or more is performed. This can save time compared to finding the optimal shift frequency for each full scan pattern or scan section.
또한, 스캔 패턴을 이용하여 노후화를 보다 가속화시켜 번인(burn-in) 테스트 시간을 줄일 수 있다. 또한 번인 테스트와 함께 스캔 패턴을 이용한 스캔 테스트를 동시에 수행할 수 있어 IC 칩 등에 대한 전체 테스트 시간을 줄일 수 있다. 또한 번인 테스트의 품질을 높일 수 있는 효과가 있다. Scan patterns can also be used to further accelerate aging, reducing burn-in test time. In addition, a burn test and a scan pattern using a scan pattern can be performed simultaneously, thereby reducing the overall test time for the IC chip. It also has the effect of improving the quality of the burn-in test.
도 1은 종래 스캔 설계 방법이 적용된 IC 칩의 일 예를 도시한 도면,1 is a diagram illustrating an example of an IC chip to which a conventional scan design method is applied;
도 2 및 도 3은 본 발명에 따른 칩 테스트 장치의 일 실시 예의 구성을 각각 도시한 도면,2 and 3 are views each showing the configuration of an embodiment of a chip test apparatus according to the present invention;
도 4는 본 발명에 따른 스캔 테스트 시간 최소화 방법에 적용되어 스캔 테스트 시간을 줄일 수 있는 스캔 패턴의 일 예를 도시한 도면,4 is a view showing an example of a scan pattern that can be applied to the method of minimizing scan test time according to the present invention to reduce scan test time;
도 5는 본 발명에 따른 스캔 섹션의 일 예를 도시한 도면,5 illustrates an example of a scan section according to the present invention;
도 6은 본 발명에 따른 스캔 테스트 시간 최소화를 위하여 각 스캔 섹션별로 쉬프트 주파수를 할당한 일 예를 도시한 도면,6 is a diagram illustrating an example of allocating a shift frequency for each scan section in order to minimize scan test time according to the present invention;
도 7은 본 발명에 따른 스캔 테스트 시간 최소화를 위한 쉬프트 주파수를 찾는 방법의 일 예를 도시한 도면,7 illustrates an example of a method for finding a shift frequency for minimizing scan test time according to the present invention;
도 8은 본 발명에 따른 스캔 테스트 시간 최소화 방법의 일 예를 도시한 흐름도,8 is a flowchart illustrating an example of a method for minimizing scan test time according to the present invention;
도 9는 본 발명에 따른 스캔 테스트 시간 최소화 방법의 다른 일 예를 도시한 흐름도,9 is a flowchart illustrating another example of a method for minimizing scan test time according to the present invention;
도 10은 본 발명에 따른 스캔 테스트 시간 최소화 방법의 보다 구체적인 과정을 도시한 호름도,10 is a flowchart illustrating a more specific process of a scan test time minimization method according to the present invention;
도 11은 본 발명에 따른 스캔 테스트 시간 최소화 방법에서 정상적인 쉬프트-인을 파악하는 구체적인 과정을 도시한 흐름도,11 is a flowchart illustrating a specific process of identifying a normal shift-in in a scan test time minimization method according to the present invention;
도 12는 본 발명에 따른 스캔 테스트 시간 최소화 방법의 다른 일 예를 도시한 흐름도, 12 is a flowchart illustrating another example of a method for minimizing scan test time according to the present invention;
도 13은 본 발명에 따른 스캔 테스트 시간 최소화 장치의 일 실시예의 구성을 도시한 도면, 13 is a view showing the configuration of an embodiment of an apparatus for minimizing scan test time according to the present invention;
도 14은 본 발명에 따른 스캔 섹션별 최적의 쉬프트 주파수를 할당하는 방법의 다른 일 예를 도시한 도면,14 illustrates another example of a method for allocating an optimum shift frequency for each scan section according to the present invention;
도 15는 본 발명에 따른 스캔 테스트 시간 최소화 방법의 다른 일 예를 도시한 흐름도, 15 is a flowchart illustrating another example of a method for minimizing scan test time according to the present invention;
도 16은 본 발명에 따른 스캔 테스트 시간 최소화 장치의 다른 일 예를 도시한 도면, 16 is a view showing another example of an apparatus for minimizing scan test time according to the present invention;
도 17은 본 발명에 따른 스캔 테스트 시간 최소화를 위한 스캔 패턴의 재배치 방법의 일 예를 도시한 도면,17 illustrates an example of a method of repositioning a scan pattern for minimizing scan test time according to the present invention;
도 18 및 도 19는 본 발명에 따른 칩 테스트 장치의 다른 일 실시 예의 구성을 도시한 도면,18 and 19 are views illustrating a configuration of another embodiment of a chip test apparatus according to the present invention;
도 20은 본 발명에 따라, 단일 스캔 쉬프트 주파수를 이용하여 번인 테스트를 수행하는 경우에 IC 칩에 미치는 온도 영향의 일 예를 도시한 도면,20 illustrates an example of a temperature effect on an IC chip when performing a burn-in test using a single scan shift frequency according to the present invention;
도 21은 본 발명에 따라, 스캔 패턴별 최적의 주파수를 이용하여 번인 테스트를 수행하는 경우에 IC 칩에 미치는 온도 영향의 일 예를 도시한 도면,21 is a view showing an example of the temperature effect on the IC chip when performing the burn-in test using the optimal frequency for each scan pattern according to the present invention,
도 22는 본 발명에 따른 번인 테스트의 시간 최소화를 위하여 각 스캔 섹션별 최적의 쉬프트 주파수를 사용한 방법의 다른 일 예를 도시한 도면, FIG. 22 is a view showing another example of a method using an optimal shift frequency for each scan section to minimize time of a burn-in test according to the present invention; FIG.
도 23은 본 발명에 따른 번인 테스트 시간 최소화 장치의 일 예를 도시한 도면, 23 is a view showing an example of a burn-in test time minimization apparatus according to the present invention;
도 24 내지 도 26은 본 발명에 따른 쉬프트 주파수 결정을 위하여 스캔 체인에 입력되는 패턴의 일 예를 도시한 도면, 그리고,24 to 26 are diagrams showing an example of a pattern input to a scan chain for shift frequency determination according to the present invention;
도 27은 각 스캔 패턴에 대해 IC 칩의 임계 소모 전력에 근접할 때의 쉬프트 주파수와 쉬프트 주파수 증감 방법을 통해 최적화 한 쉬프트 주파수에 대한 실험 결과를 도시한 도면이다.FIG. 27 is a diagram illustrating experimental results of a shift frequency when the scan power is approached to a threshold power consumption of an IC chip and a shift frequency optimized by a shift frequency increase / decrease method for each scan pattern.
이하에서, 첨부된 도면들을 참조하여 본 발명에 따른 스캔 테스트 시간 최소화 방법 및 그 장치에 대해 상세히 설명한다.Hereinafter, a method and apparatus for minimizing scan test time according to the present invention will be described in detail with reference to the accompanying drawings.
도 2 및 도 3은 본 발명이 적용되는 일반적으로 ATE(Automatic Test Equipment)라고 불리는 IC 칩 테스트 장치, 즉 스캔 테스트 장치의 일 실시 예의 구성을 각각 도시한 도면이다.2 and 3 are diagrams illustrating the configuration of an embodiment of an IC chip test apparatus, that is, a scan test apparatus, generally called an automatic test equipment (ATE) to which the present invention is applied.
도 2 및 도 3을 참조하면, 스캔 테스트 장치는 호스트 컴퓨터(200,300), 테스터 본체(210,310), 테스트 헤드(220,320), 인터페이스 보드(230,330)를 포함한다. 테스트를 위해 인터페이스 보드에 위치하는 테스트 대상 디바이스(DUT, Device Under Test)(240,340)는 웨이퍼 상의 IC 또는 패키징 된 IC 칩 등이다. DUT가 웨이퍼 상의 IC 칩인 경우 프로버(350)를 더 포함할 수 있다. 이하 웨이퍼 상의 IC 칩 또는 패키징된 IC 칩을 통칭하여 IC 칩이라고 한다.2 and 3, the scan test apparatus includes host computers 200 and 300, tester bodies 210 and 310, test heads 220 and 320, and interface boards 230 and 330. The device under test (DUT) 240 and 340 positioned on the interface board for the test may be an IC on a wafer or a packaged IC chip. If the DUT is an IC chip on a wafer, it may further include a prober 350. Hereinafter, an IC chip or a packaged IC chip on a wafer is collectively called an IC chip.
테스터 본체(210,310)는 스캔 테스트를 전체적으로 제어한다. 예를 들어, 테스터 본체는 DUT 테스트를 위한 셋업, DUT 테스트를 위한 전기적 신호의 발생, DUT 테스트 결과 신호의 관측 및 측정 등의 전반적인 과정을 제어한다. 테스트 본체(210,310)는 중앙처리장치(CPU), 메모리, 하드 디스크, 사용자 인터페이스 등을 포함하는 컴퓨터로 구현될 수 있으며, 실시 예에 따라 DUT(240,340)에 전원을 공급하는 디바이스 파워 공급장치(Device Power Supply)를 더 포함할 수도 있다. 또한, 테스터 본체(210,310)는 각종 디지털 신호를 처리하는 신호처리 프로세서(DSP, Digital Signal Processor)(미도시)와 테스트 헤드(220,320)를 제어하고, DUT(240,340)로 신호를 인가하는 제어기 및 신호 생성기 등의 전용 하드웨어, 소프트웨어 또는 펌웨어 등을 포함할 수 있다. 테스터 본체(210,310)는 메인 프레임 또는 서버라고 불리기도 한다.The tester bodies 210 and 310 control the scan test as a whole. For example, the tester body controls the overall process of setting up for the DUT test, generating the electrical signal for the DUT test, and observing and measuring the DUT test result signal. The test bodies 210 and 310 may be implemented as a computer including a central processing unit (CPU), a memory, a hard disk, a user interface, and the like, and according to an embodiment, a device power supply device for supplying power to the DUTs 240 and 340. It may further include a power supply). In addition, the tester main body 210 or 310 controls a signal processing processor (DSP) (not shown) for processing various digital signals and the test heads 220 and 320, and a controller and a signal for applying a signal to the DUTs 240 and 340. It may include dedicated hardware such as a generator, software or firmware. Tester bodies 210 and 310 may also be called mainframes or servers.
호스트 컴퓨터(200,300)는 워크스테이션 등과 같은 컴퓨터일 수 있으며, 사용자가 테스트 프로그램을 실행시키고 테스트 과정을 제어하며 테스트 결과를 분석할 수 있도록 하는 장치이다. 일반적으로 호스트 컴퓨터(200,300)는 중앙 처리장치, 메모리 또는 하드 디스크와 같은 저장장치, 사용자 인터페이스 등을 포함할 수 있으며, 테스터 본체(210,310)와 유선 또는 무선 통신으로 연결될 수 있다. 호스트 컴퓨터(200,300)는 테스트를 제어하기 위한 전용 하드웨어, 소프트웨어 또는 펌웨어 등을 포함할 수 있다. 본 실시 예는 호스트 컴퓨터와 테스터 본체를 구분하여 도시하였으나, 호스트 컴퓨터(200,300)와 테스터 본체(210,310)는 하나의 장치로 구현될 수 있다. The host computers 200 and 300 may be computers, such as workstations, and are devices that allow a user to execute a test program, control a test process, and analyze test results. In general, the host computer 200 or 300 may include a central processing unit, a storage device such as a memory or a hard disk, a user interface, and the like, and may be connected to the tester bodies 210 and 310 by wire or wireless communication. The host computers 200 and 300 may include dedicated hardware, software or firmware for controlling the test. In the present embodiment, the host computer and the tester main body are illustrated separately, but the host computer 200 and 300 and the tester main body 210 and 310 may be implemented as a single device.
테스터 본체(210,310) 또는 호스트 컴퓨터(200,300)의 메모리의 일 예로 DRAM, SRAM, 플래쉬 메모리 등이 사용될 수 있으며, 메모리에는 DUT 테스트를 수행하기 위한 프로그램과 데이터가 저장될 수 있다.An example of memory of the tester main body 210 or 310 or the host computer 200 or 300 may be a DRAM, an SRAM, a flash memory, or the like, and a program and data for performing a DUT test may be stored in the memory.
테스터 본체(210,310) 또는 호스트 컴퓨터(200,300)의 소프트웨어 또는 펌웨어는 스캔 테스트를 위한 디바이스 드라이버 프로그램, 운영체제(OS, Operating System) 프로그램, DUT 테스트를 수행하는 프로그램으로써, DUT 테스트를 위한 셋업, DUT 테스트를 위한 신호의 발생, DUT 테스트 결과 신호의 관측 분석 등의 수행을 위한 명령 코드(instruction code) 형태로 메모리에 저장되어 중앙 처리장치에 의해 수행될 수 있다. 따라서 스캔 테스트 패턴은 이러한 프로그램에 의해 DUT로 인가될 수 있다. 또한 DUT 테스트 및 테스트 결과에 대한 리포팅 및 분석 데이터를 프로그램을 통해 자동 수행하여 얻을 수 있다. 프로그램에 사용되는 언어는 C, C++, 자바(java) 등 다양한 언어가 사용될 수 있다. 프로그램은 하드디스크, 마그네틱 테이프 또는 플래시 메모리 등과 같은 저장장치에 저장될 수 있다.Software or firmware of the tester main body 210 or 310 or the host computer 200 or 300 is a device driver program for operating a scan test, an operating system (OS) program, a program for performing a DUT test, and performs setup for a DUT test and a DUT test. The signal may be stored in a memory in the form of an instruction code for generation of a signal, an observation analysis of a DUT test result signal, or the like, and may be performed by a central processing unit. Thus, the scan test pattern can be applied to the DUT by this program. In addition, reporting and analysis data for DUT tests and test results can be obtained automatically through the program. The language used in the program may be various languages such as C, C ++, and Java. The program may be stored in a storage device such as a hard disk, magnetic tape or flash memory.
테스터 본체(210,310) 또는 호스트 컴퓨터(200,300)의 중앙 처리장치는 프로세서(processor)로서, 메모리에 저장된 소프트웨어 또는 프로그램의 코드를 실행한다. 예를 들어, 키보드나 마우스 등과 같은 사용자 인터페이스를 통해 사용자 명령을 받으면, 중앙 처리장치는 사용자의 명령을 분석하고 이를 소프트웨어 또는 프로그램을 통해 수행한 후 그 결과를 스피커, 프린터, 모니터 등의 사용자 인터페이스를 통해 사용자에게 제공한다.The central processing unit of the tester body 210 or 310 or the host computer 200 or 300 is a processor and executes code of software or a program stored in a memory. For example, when a user command is received through a user interface such as a keyboard or a mouse, the central processing unit analyzes the user's command and executes it through software or a program, and then outputs the result to a user interface such as a speaker, a printer, or a monitor. To the user through.
테스터 본체(210,310) 또는 호스트 컴퓨터(200,300)의 사용자 인터페이스는 사용자와 장치 간에 정보를 주고받고 명령을 전달할 수 있도록 해준다. 예를 들어, 키보드, 터치 스크린, 마우스 등과 같은 사용자 입력을 위한 인터페이스 장치와, 스피커, 프린터, 모니터 등과 같은 출력 인터페이스 장치 등이 있다.The user interface of the tester body 210, 310 or the host computer 200, 300 allows the user and the device to exchange information and communicate commands. For example, an interface device for user input such as a keyboard, a touch screen, a mouse, and the like, and an output interface device such as a speaker, a printer, a monitor, and the like.
테스트 헤드(220,320)는 테스터 본체(210,310)와 DUT(240,340) 사이에 전기적 신호 전송을 위한 채널 등을 포함한다. 테스트 헤드(220,320) 상부에는 인터페이스 보드(230,330)가 구비된다. 패키징된 IC 칩 테스트에 사용되는 인터페이스 보드를 일반적으로 로드 보드(load board)라고 하며, 웨이퍼 상의 IC 칩 테스트에 사용되는 인터페이스 보드를 일반적으로 프로브 카드(probe card)라고 한다. The test heads 220 and 320 include a channel for transmitting an electrical signal between the tester bodies 210 and 310 and the DUTs 240 and 340. Interface boards 230 and 330 are provided on the test heads 220 and 320. An interface board used for testing a packaged IC chip is generally called a load board, and an interface board used for testing an IC chip on a wafer is generally called a probe card.
도 2 및 도 3의 테스트 장치는 본 발명의 이해를 돕기 위한 하나의 예에 지나지 아니하며 각각의 구성을 통합하여 일체형으로 구현하거나, 하나의 구성을 다수의 구성으로 분리하여 구현할 수 있는 등 실시 예에 따라 다양하게 설계 변경가능하다.2 and 3 is only one example for better understanding of the present invention, and may be implemented in one piece by integrating each component, or in one embodiment by dividing one configuration into a plurality of configurations. Various design changes are possible according to this.
도 4는 본 발명에 따른 스캔 테스트 시간 최소화 방법에 적용되어 스캔 테스트 시간을 줄일 수 있는 스캔 패턴의 일 예를 도시한 도면이다.4 is a diagram illustrating an example of a scan pattern that can be applied to a method for minimizing scan test time according to the present invention to reduce scan test time.
도 4를 참조하면, 스캔 모드에서 쉬프트-인 동작과 쉬프트-아웃 동작을 각각 수행하는 경우에 소요되는 시간을 줄이기 위하여 쉬프트-인과 쉬프트-아웃 동작이 동시에 수행된다. 즉 로드와 언로드 동작이 동시에 수행된다.Referring to FIG. 4, the shift-in and shift-out operations are simultaneously performed to reduce the time required when the shift-in operation and the shift-out operation are performed in the scan mode. In other words, the load and unload operations are performed at the same time.
예를 들어, k번째 입력 스캔 패턴(430)이 스캔 입력 포트를 통해 스캔 체인에 쉬프트-인 되어 로드될 때, k-1번째 입력 스캔 패턴(400)에 의한 테스트 결과가 스캔 출력 포트를 동시에 쉬프트-아웃 되어 언로드 된다. 이때 언로드된 출력 패턴은 k번째 입력 스캔 패턴(430)과 쌍으로 관리되는 k-1번째 입력 스캔 패턴(400)에 대한 예측 출력 스캔 패턴(440)과 비교된다.For example, when the k-th input scan pattern 430 is loaded shift-in through the scan input port into the scan chain, the test results by the k-1 th input scan pattern 400 simultaneously shift the scan output port. -Out and unload. In this case, the unloaded output pattern is compared with the predicted output scan pattern 440 for the k-1 th input scan pattern 400 managed in pairs with the k th input scan pattern 430.
쉬프트-인과 쉬프트-아웃 동작을 중첩(overlapping)시켜 스캔 테스트를 하기 위하여, 스캔 입력 포트를 통해 쉬프트-인 되는 k 번째 입력 스캔 패턴(430)과 k-1 번째 입력 스캔 패턴(400)에 대한 예측 출력 스캔 패턴(440)을 쌍으로 관리한다. 따라서 스캔 패턴들은 서로 순서를 가질 수 있다. 또한 스캔 패턴들은 다양한 방법으로 재배치될 수 있다. Predictions for the k-th input scan pattern 430 and the k-1 th input scan pattern 400 that are shifted-in through the scan input port in order to scan scan by overlapping the shift-in and shift-out operation. The output scan pattern 440 is managed in pairs. Thus, the scan patterns can be in order with each other. Scan patterns can also be rearranged in various ways.
첫 번째 스캔 패턴을 스캔 체인에 쉬프트-인 할 때 동시에 쉬프트-아웃 되는 출력 패턴은 돈케어(Don't-care) 패턴이거나 테스트 대상 칩의 리셋에 의한 스캔 체인 상태 값일 수 있다. When the first scan pattern is shifted in to the scan chain, the output pattern that is shifted out at the same time may be a Don't-care pattern or a scan chain state value by resetting the chip under test.
스캔 테스트 시간을 최소화하기 위한 또 다른 방법으로 스캔 테스트를 위한 전체 스캔 패턴의 개수를 줄이는 방법과 스캔 쉬프트 속도를 높이는 방법이 있다. 여기서, 스캔 쉬프트 속도를 높인다고 함은 쉬프트-인 또는 쉬프트-아웃의 쉬프트 주파수를 높이거나 스캔 체인에 입력 또는 출력되는 스캔 패턴의 각 비트 사이의 시간 간격(즉, 쉬프트 주기)을 감소하는 것을 의미하고, 스캔 쉬프트 속도를 낮춘다고 함은 쉬프트 주파수를 낮추거나 쉬프트 주기를 증가하는 것을 의미한다. 또한 스캔 쉬프트 속도를 최적화한다고 함은 쉬프트 주파수를 최적화하거나 쉬프트 주기를 최적화하는 것을 의미한다. 쉬프트 주파수의 증감이나 쉬프트 주기의 증감은 실질적으로 동일하므로, 이하에서는 설명의 편의를 위해 쉬프트 주파수의 증감 관점에서 스캔 테스트 시간을 최소화하는 방법에 대해 설명한다. 또한 상기 쉬프트 주기는 쉬프트 주파수의 주기로 불릴 수도 있다. Another way to minimize scan test time is to reduce the total number of scan patterns for the scan test and to increase the scan shift speed. Here, increasing the scan shift rate means increasing the shift frequency of the shift-in or shift-out or decreasing the time interval (ie, shift period) between each bit of the scan pattern input or output in the scan chain. For example, lowering the scan shift rate may mean lowering the shift frequency or increasing the shift period. In addition, optimizing the scan shift rate means optimizing the shift frequency or optimizing the shift period. Since the increase and decrease of the shift frequency and the increase and decrease of the shift period are substantially the same, a method of minimizing the scan test time in terms of the increase and decrease of the shift frequency will be described below for convenience of description. The shift period may also be referred to as a shift frequency.
도 5는 본 발명에 따른 스캔 테스트의 시간을 최소화하기 위하여 스캔 패턴 집합상의 스캔 패턴들을 스캔 섹션으로 분할하는 일 예를 도시한 도면이다.5 is a diagram illustrating an example of dividing the scan patterns on the scan pattern set into scan sections in order to minimize the time of the scan test according to the present invention.
도 5를 참조하면, IC 칩의 테스트를 위하여 복수 개의 스캔 패턴이 사용될 수 있다. 스캔 섹션은 적어도 하나 이상의 스캔 패턴으로 구성되거나 스캔 패턴의 일부로 구성될 수 있으며, 스캔 섹션별로 최적의 쉬프트 주파수를 찾아 스캔 테스트 시에 적용함으로써 스캔 테스트 시간을 보다 더 절약할 수 있다. Referring to FIG. 5, a plurality of scan patterns may be used for testing an IC chip. The scan section may consist of at least one scan pattern or part of a scan pattern, and further reduce scan test time by finding an optimum shift frequency for each scan section and applying the scan section.
제1 실시 예로, 스캔 섹션(500)은 하나의 스캔 패턴으로 구성되며 스캔 패턴과 일대일 대응될 수 있다. 즉 스캔 패턴이 곧 스캔 섹션이 될 수 있다. According to a first embodiment, the scan section 500 may be configured as one scan pattern and correspond one-to-one with the scan pattern. That is, the scan pattern may soon be a scan section.
제2 실시 예로, 스캔 섹션(510)은 두 개의 스캔 패턴을 포함할 수 있다. 스캔 섹션에 포함되는 스캔 패턴의 개수는 실시 예에 따라 다양하게 변경 가능하다.In a second embodiment, the scan section 510 may include two scan patterns. The number of scan patterns included in the scan section may be variously changed according to an embodiment.
제3 실시 예로, 스캔 섹션(520)은 제1 스캔 패턴의 일부와 제2 스캔 패턴의 일부로 구성될 수 있다.In a third embodiment, the scan section 520 may be configured as part of the first scan pattern and part of the second scan pattern.
제4 실시 예로, 스캔 섹션(530)은 하나의 스캔 패턴의 일부로 구성될 수 있다. In a fourth embodiment, the scan section 530 may be configured as part of one scan pattern.
제5 실시 예로, 하나의 스캔 패턴이 두 개의 스캔 섹션(540,550)으로 분할될 수 있다. 하나의 스캔 패턴에 포함되는 스캔 섹션의 개수는 실시 예에 따라 다양하게 변경 가능하다.According to a fifth embodiment, one scan pattern may be divided into two scan sections 540 and 550. The number of scan sections included in one scan pattern may be variously changed according to embodiments.
하나 이상의 스캔 패턴은 앞서 살핀 여러 가지 실시 예(500,510,520,530,540,550) 중 어느 하나의 방법으로 분할될 수 있을 뿐만 아니라, 이들 실시 예를 두 가지 이상 적용하여 스캔 패턴을 분할할 수 있다. 예를 들어, 도 5의 N개의 스캔 패턴으로 구성된 스캔 패턴 집합은 하나의 스캔 패턴을 포함하는 제1 스캔 섹션(500), 두 개의 스캔 패턴을 포함하는 제2 스캔 섹션(510), 하나의 스캔 패턴의 일부를 포함하는 제3,4 스캔 섹션(540,550)으로 분할될 수 있다. One or more scan patterns may be divided by any one of the various embodiments 500, 510, 520, 530, 540, and 550 previously described, and the scan patterns may be divided by applying two or more of these embodiments. For example, a scan pattern set consisting of N scan patterns of FIG. 5 includes a first scan section 500 including one scan pattern, a second scan section 510 including two scan patterns, and one scan. It may be divided into third and fourth scan sections 540 and 550 that include part of the pattern.
이 외에도 스캔 패턴 집합을 스캔 섹션으로 분할하는 다양한 방법이 적용될 수 있으며, 본 발명은 도 5에 도시된 스캔 섹션에 한정되지 않는다.In addition, various methods of dividing the scan pattern set into scan sections may be applied, and the present invention is not limited to the scan section shown in FIG. 5.
도 6은 본 발명에 따른 스캔 테스트 시간 최소화를 위하여 각 스캔 섹션별로 쉬프트 주파수를 할당한 일 예를 도시한 도면이다.6 is a diagram illustrating an example of allocating a shift frequency for each scan section to minimize scan test time according to the present invention.
도 6을 참조하면, 복수의 쉬프트 주파수들이 각 스캔 섹션에 할당된다. 종래 스캔 테스트의 경우에, IC 칩을 테스트하기 위한 모든 스캔 패턴들을 IC 칩의 스캔 체인에 정상적으로 쉬프트할 수 있는 단일 스캔 쉬프트 주파수(constant scan shift frequency)를 이용하는데, 이러한 단일 주파수를 명목(nominal) 쉬프트 주파수라고도 한다. Referring to FIG. 6, a plurality of shift frequencies are assigned to each scan section. In the case of the conventional scan test, it uses a constant scan shift frequency that can normally shift all scan patterns for testing the IC chip to the scan chain of the IC chip, which is nominal. Also called shift frequency.
일반적으로 명목 쉬프트 주파수는 ATPG 소프트웨어로 스캔 패턴을 만들 때 사용되는 쉬프트 주파수이거나 이를 기준으로 약간 조정된 쉬프트 주파수일 수 있으며, IC 칩을 테스트하기 위한 모든 스캔 패턴들을 IC 칩의 스캔 체인에 정상적으로 쉬프트할 수 있는 단일 쉬프트 주파수로서 상당히 낮은 주파수이다. In general, the nominal shift frequency can be the shift frequency used when creating a scan pattern with ATPG software, or a slightly adjusted shift frequency based on it, and all scan patterns for testing the IC chip will normally shift in the scan chain of the IC chip. It is a single shift frequency that can be quite low.
따라서 명목 쉬프트 주파수를 테스트 대상 IC 칩에 대한 수 천 내지 수 만개 이상의 스캔 패턴들에 그대로 사용할 경우 스캔 테스트 시간이 매우 많이 소요되며, 특히 IC 칩의 양산 테스트 시 IC 칩의 원가와 시장진입 시간(time-to-market)에 상당한 영향을 줄 수 있다. 예를 들어, 1개의 IC 칩을 테스트 하는데 2초가 소요된다고 가정하면, 1천만개의 칩을 순차적으로 테스트하면 약 5,556시간, 즉 약 231일이 소요된다. 고가의 장비를 사용하여 수 개의 칩을 동시에 테스트 하여도 적지 않은 테스트 시간이 소요된다. 통상 IC 칩 테스트 서비스 회사는 사용하는 테스트 장비 수와 테스트 시간에 비례하여 비용을 청구하므로 칩 테스트 소요시간은 칩 원가에 많은 영향을 미친다. Therefore, if the nominal shift frequency is used as it is for several thousand to tens of thousands or more scan patterns of the IC chip to be tested, the scan test time is very large, especially during the mass production test of the IC chip, the IC chip cost and time to market. can have a significant impact on the market. For example, assuming that it takes 2 seconds to test one IC chip, testing 10 million chips sequentially takes about 5,556 hours, or about 231 days. Even if you test several chips at the same time using expensive equipment, it takes a lot of test time. IC chip test service companies usually charge in proportion to the number of test equipment used and the test time, so chip test time has a significant effect on chip cost.
그렇다고 명목 쉬프트 주파수를 높게 할 경우, 스캔 패턴을 쉬프트-인 또는 쉬프트-아웃할 때 발생하는 전력 소모가 IC 칩이 요구하는 전력 범위를 벗어나게 되므로 정상적인 스캔 테스트를 수행할 수 없게 된다. 또한, 오버 쉬프트 주파수로 인해 크리티컬 경로(cirtical path) 지연 시간 문제, 파워 서플라이 노이즈 영향 심화, 신호선 간 간섭 영향 심화 등으로 인한 양품을 불량품으로 판정하는 오버 킬(over kill) 문제가 발생할 수 있다. 이는 IC 칩 양산에 있어서 매우 중요한 수율과 원가에 영향을 미칠 수 있다. However, if you increase the nominal shift frequency, the power dissipation of shift-in or shift-out of the scan pattern will be beyond the power range required by the IC chip, making it impossible to perform normal scan tests. In addition, the overshift frequency may cause an overkill problem in which good quality is determined as a defective product due to a critical path delay time problem, a deep power supply noise effect, a deep interference effect between signal lines, and the like. This can affect yield and cost, which are very important for IC chip production.
따라서 본 실시 예는 전체 스캔 패턴에 대해 명목 쉬프트 주파수와 같은 단일의 쉬프트 주파수를 적용하는 것이 아니라 스캔 섹션 별로 스캔 체인에 정상적으로 쉬프트 될 수 있는 최적의 쉬프트 주파수를 할당한다. 스캔 섹션 별 최적의 쉬프트 주파수를 찾는 과정은 도 7 이하를 참조하여 보다 상세하게 설명한다. 여기서, 최적의 쉬프트 주파수는 스캔 섹션에 대해 허용 가능한 최대 쉬프트 주파수이거나 이보다 작은 쉬프트 주파수일 수 있다. Therefore, the present embodiment does not apply a single shift frequency such as a nominal shift frequency to the entire scan pattern, but allocates an optimal shift frequency that can be normally shifted in the scan chain for each scan section. A process of finding an optimum shift frequency for each scan section will be described in more detail with reference to FIG. 7. Here, the optimal shift frequency may be the maximum allowable shift frequency for the scan section or a shift frequency smaller than this.
다시 도 6을 참조하면, 첫 번째 스캔 섹션은 쉬프트 주파수 A를 할당받고, 두 번째 스캔 섹션은 쉬프트 주파수 B를 할당받는다. 그리고 세 번째 스캔 섹션은 첫 번째 스캔 섹션과 동일한 쉬프트 주파수 A를 할당받는다. 이와 같이, 각 스캔 섹션은 동일한 쉬프트 주파수를 할당받거나 서로 다른 쉬프트 주파수를 할당받을 수 있다. Referring back to FIG. 6, the first scan section is assigned a shift frequency A, and the second scan section is assigned a shift frequency B. And the third scan section is assigned the same shift frequency A as the first scan section. As such, each scan section may be assigned the same shift frequency or different shift frequencies.
예를 들어, 하나의 스캔 패턴이 복수의 스캔 섹션으로 분할된 경우에, 하나의 스캔 패턴에 복수의 쉬프트 주파수가 할당될 수 있다. 도 5를 참조하면, 하나의 스캔 패턴에 속한 두 개의 스캔 섹션(540,550)은 서로 다른 쉬프트 주파수를 할당받을 수 있다. 즉, 하나의 스캔 패턴에 두 개의 쉬프트 주파수가 할당된다.For example, when one scan pattern is divided into a plurality of scan sections, a plurality of shift frequencies may be assigned to one scan pattern. Referring to FIG. 5, two scan sections 540 and 550 belonging to one scan pattern may be assigned different shift frequencies. That is, two shift frequencies are allocated to one scan pattern.
쉬프트 주파수를 할당받은 각 스캔 섹션은 실시 예에 따라 섹션 그룹으로 통합될 수도 있다. 예를 들어, 두 번째 스캔 섹션과 세 번째 스캔 섹션을 섹션 그룹으로 묶고, 각 스캔 섹션의 쉬프트 주파수 A,B 중 더 작은 쉬프트 주파수 또는 그 이하를 해당 섹션 그룹에 할당할 수 있다. Each scan section assigned a shift frequency may be integrated into a section group according to an embodiment. For example, the second scan section and the third scan section may be grouped into a section group, and a smaller shift frequency or less than the shift frequencies A and B of each scan section may be assigned to the corresponding section group.
본 발명의 배경이 되는 기술에서 설명한 일반적인 스캔 테스트 과정의 주 입력 포트에 테스트 데이터 인가 및 스캔 체인에 스캔 패턴 입력 후 주 출력에서의 테스트 결과 관찰은 이하 본 실시 예에 일반적인 스캔 테스트 과정으로 적용될 수 있다. Applying test data to the main input port of the general scan test procedure described in the background technology of the present invention and observing the test result at the main output after inputting the scan pattern to the scan chain may be applied to the general scan test procedure in the following embodiment .
이하 본 발명의 설명의 편의를 위하여, 다음과 같이 입력 패턴, 스캔 섹션, 스캔 패턴, 출력 패턴의 관계를 설명한다.For convenience of explanation of the present invention, the relationship between the input pattern, the scan section, the scan pattern, and the output pattern will be described as follows.
입력 패턴은 스캔 체인에 입력되는 비트 패턴이다. 예를 들어, 도 7을 참조하면 현재 쉬프트 주파수 결정 대상인 k번째 스캔 섹션(704)은 k번째 입력 패턴(704)과 일대일 대응되며, k번째 스캔 섹션(704)(= k번째 입력 패턴(704)) 앞의 입력 패턴은 k-1번째 입력 패턴(702)이며, k번째 스캔 섹션(704)(= k번째 입력 패턴(704)) 뒤의 입력 패턴은 k+1번째 입력 패턴(706)이다.The input pattern is a bit pattern that is input to the scan chain. For example, referring to FIG. 7, the k th scan section 704 that is the current shift frequency determination object corresponds one-to-one with the k th input pattern 704, and the k th scan section 704 (= k th input pattern 704). ) Is the k-1 th input pattern 702, and the input pattern after the k th scan section 704 (= k th input pattern 704) is the k + 1 th input pattern 706.
(스캔 섹션과 스캔 패턴이 일대일 대응되는 경우의 입력 패턴) 쉬프트 주파수 결정 대상인 k번째 스캔 섹션(704)이 k번째 스캔 패턴과 일대일 대응되는 경우에, k-1번째 입력 패턴(702), k번째 입력 패턴(704) 및 k+1번째 입력 패턴(706)은 각각 k-1번째 스캔 패턴, k번째 스캔 패턴 및 k+1번째 스캔 패턴과 일대일 대응될 수 있다.(Input pattern when the scan section and the scan pattern correspond one-to-one) When the k-th scan section 704 that is the shift frequency determination target corresponds one-to-one with the k-th scan pattern, the k-1 th input pattern 702 and the k-th The input pattern 704 and the k + 1 th input pattern 706 may correspond one-to-one with the k-1 th scan pattern, the k th scan pattern, and the k + 1 th scan pattern, respectively.
(스캔 섹션과 스캔 패턴이 일대일 대응되는 경우의 출력 패턴K) 쉬프트 주파수 결정 대상인 k번째 스캔 섹션(704)이 k번째 스캔 패턴과 일대일 대응되는 경우에, k번째 스캔 섹션(704)(= k번째 입력 패턴(704))에 대한 스캔 체인의 출력 패턴은 k번째 스캔 패턴에 대한 스캔 체인의 출력 패턴에 해당한다. k번째 스캔 패턴에 대한 스캔 체인의 출력 패턴은 k번째 스캔 패턴에 대한 스캔 캡쳐 결과 패턴이거나 k번째 스캔 패턴이 스캔 체인으로부터 그대로 출력된 패턴일 수 있다. (Output pattern K when scan section and scan pattern correspond one-to-one) k-th scan section 704 (= k-th) when k-th scan section 704 that is the shift frequency determination object corresponds one-to-one with k-th scan pattern The output pattern of the scan chain for input pattern 704 corresponds to the output pattern of the scan chain for the k th scan pattern. The output pattern of the scan chain for the k-th scan pattern may be a scan capture result pattern for the k-th scan pattern or a pattern in which the k-th scan pattern is output directly from the scan chain.
(스캔 섹션과 스캔 패턴이 일대일 대응되는 경우의 출력 패턴K-1) 쉬프트 주파수 결정 대상인 k번째 스캔 섹션(704)이 k번째 스캔 패턴과 일대일 대응되는 경우에, k-1번째 입력 패턴(702)에 대한 스캔 체인의 출력 패턴은 k-1번째 스캔 패턴에 대한 스캔 체인의 출력 패턴에 해당한다. k-1번째 스캔 패턴에 대한 스캔 체인의 출력 패턴은 k-1번째 스캔 패턴에 대한 스캔 캡쳐 결과이거나 k-1번째 스캔 패턴이 스캔 체인으로부터 그대로 출력된 패턴일 수 있다. (Output pattern K-1 when the scan section and the scan pattern correspond one-to-one) When the k-th scan section 704 that is the shift frequency determination object corresponds one-to-one with the k-th scan pattern, the k-1 th input pattern 702 The output pattern of the scan chain for s corresponds to the output pattern of the scan chain for the k-1 th scan pattern. The output pattern of the scan chain for the k−1 th scan pattern may be a scan capture result for the k−1 th scan pattern or a pattern in which the k−1 th scan pattern is output directly from the scan chain.
(스캔 섹션과 스캔 패턴이 일대일 대응되는 경우의 출력 패턴 K+1) 쉬프트 주파수 결정 대상인 k번째 스캔 섹션이 k번째 스캔 패턴과 일대일 대응되는 경우에, k+1번째 입력 패턴(706)에 대한 스캔 체인의 출력 패턴은 k+1번째 스캔 패턴에 대한 스캔 체인의 출력 패턴이다. k+1번째 스캔 패턴에 대한 스캔 체인의 출력 패턴은 k+1번째 스캔 패턴에 대한 스캔 캡쳐 결과 패턴이거나 k+1번째 스캔 패턴이 스캔 체인으로부터 그대로 출력된 패턴일 수 있다.(Output pattern K + 1 when the scan section and the scan pattern correspond one-to-one) When the k-th scan section to be shifted frequency determination corresponds one-to-one with the k-th scan pattern, the scan for the k + 1 th input pattern 706 is performed. The output pattern of the chain is the output pattern of the scan chain for the k + 1th scan pattern. The output pattern of the scan chain for the k + 1 th scan pattern may be a scan capture result pattern for the k + 1 th scan pattern or a pattern in which the k + 1 th scan pattern is output as it is from the scan chain.
(스캔 섹션이 스캔 패턴의 일부인 경우의 입력패턴 K-1, K+1) 예를 들어, 도 25를 참조하면, 쉬프트 주파수 결정 대상인 k번째 스캔 섹션(704)이 k번째 스캔 패턴의 일부분인 경우에, k-1번째 입력 패턴(702)은 k-1번째 스캔 패턴 및 k번째 스캔 섹션(704)이 포함된 k번째 스캔 패턴에서 k번째 스캔 섹션을 제외한 일부분을 포함할 수 있다. k+1번째 입력 패턴(706)은 k+1번째 스캔 패턴 및 k번째 스캔 섹션(704)이 포함된 k번째 스캔 패턴에서 k번째 스캔 섹션(704)을 제외한 일부분을 포함할 수 있다. (Input patterns K-1 and K + 1 when the scan section is part of the scan pattern) For example, referring to FIG. 25, when the k-th scan section 704 that is the shift frequency determination target is part of the k-th scan pattern. The k-th input pattern 702 may include a portion of the k-th scan pattern including the k-th scan pattern and the k-th scan section 704 except for the k-th scan section. The k + 1 th input pattern 706 may include a portion except the k th scan section 704 in the k th scan pattern including the k + 1 th scan pattern and the k th scan section 704.
(스캔 섹션이 스캔 패턴의 일부인 경우의 출력패턴K) 쉬프트 주파수 결정 대상인 k번째 스캔 섹션(704)이 도 25와 같이 k번째 스캔 패턴의 일부분인 경우에, k번째 스캔 섹션(704)에 대한 스캔 체인의 출력 패턴은 k번째 스캔 섹션(704)에 대한 스캔 캡쳐 결과 패턴이거나 k번째 스캔 섹션이 포함된 k번째 스캔 패턴에 대한 스캔 캡쳐 결과 패턴일 수 있다. 또는 k번째 스캔 섹션에 대한 스캔 체인의 출력 패턴은 k번째 스캔 섹션(704)이 스캔 체인으로부터 그대로 출력된 패턴이거나 k번째 스캔 섹션(704)이 포함된 k번째 스캔 패턴이 스캔 체인으로부터 그대로 출력된 패턴일 수 있다.(Output pattern K when scan section is part of scan pattern) Scanning for k-th scan section 704 when the k-th scan section 704 that is the shift frequency determination target is a part of k-th scan pattern as shown in FIG. The output pattern of the chain may be a scan capture result pattern for the k th scan section 704 or a scan capture result pattern for the k th scan pattern that includes the k th scan section. Alternatively, the output pattern of the scan chain for the k-th scan section may be a pattern in which the k-th scan section 704 is output directly from the scan chain or the k-th scan pattern including the k-th scan section 704 is output directly from the scan chain. It may be a pattern.
(스캔 섹션이 스캔 패턴의 일부인 경우의 출력패턴 K-1, K+1) 쉬프트 주파수 결정 대상인 k번째 스캔 섹션(704)이 도 25와 같이 k번째 스캔 패턴의 일부부인 경우에, k-1번째 입력 패턴(702)에 대한 스캔 체인의 출력 패턴은 k-1번째 스캔 패턴에 대한 출력 패턴이거나, k-1번째 스캔 패턴 및 k번째 스캔 패턴의 일부분에 대한 출력패턴일 수 있다. 또한 k+1번째 입력 패턴(706)에 대한 스캔 체인의 출력 패턴은 k+1번째 스캔 패턴에 대한 출력 패턴이거나, k+1번째 스캔 패턴 및 k번째 스캔 패턴의 일부분에 대한 출력패턴일 수 있다. 또 다른 예로, k-1번째 입력 패턴(702) 또는 k+1번째 입력 패턴(706)에 포함된 k번째 스캔 패턴의 일부분에 대한 스캔 체인의 출력 패턴은 k번째 스캔 섹션(704)이 포함된 k번째 스캔 패턴에 대한 스캔 체인의 출력 패턴에 반영될 수 있다. (Output patterns K-1 and K + 1 when the scan section is part of the scan pattern) When the k-th scan section 704 that is the shift frequency determination target is a part of the k-th scan pattern as shown in FIG. The output pattern of the scan chain for the input pattern 702 may be an output pattern for the k−1 th scan pattern or an output pattern for the k−1 th scan pattern and a portion of the k th scan pattern. Also, the output pattern of the scan chain for the k + 1 th input pattern 706 may be an output pattern for the k + 1 th scan pattern or an output pattern for a portion of the k + 1 th scan pattern and the k th scan pattern. . As another example, the output pattern of the scan chain for a portion of the k th scan pattern included in the k-1 th input pattern 702 or the k + 1 th input pattern 706 may include the k th scan section 704. Can be reflected in the output pattern of the scan chain for the k-th scan pattern.
(스캔 섹션이 복수의 스캔 패턴에 걸쳐있는 경우) 예를 들어, 도 26을 참조하면, 쉬프트 주파수 결정 대상인 k번째 스캔 섹션(704)이 복수의 스캔 패턴에 걸쳐있을 수 있다. 이 경우, k-1번째 입력 패턴(702)은 k번째 스캔 섹션(704)을 제외한 k-1번째 스캔 패턴의 일부분을 포함할 수 있고, k+1번째 입력 패턴(706)은 k번째 스캔 섹션(704)을 제외한 k+1번째 스캔 패턴의 일부분을 포함할 수 있다. 이 경우에, 각 스캔 패턴에 걸쳐있는 k번째 스캔 섹션(704)의 각 부분에 대해 최적의 쉬프트 주파수를 각각 별도로 파악하고, k번째 스캔 섹션(704)에 대해 할당 가능한 단일 쉬프트 주파수를 결정할 수 있다. (When the scan section spans a plurality of scan patterns) For example, referring to FIG. 26, a k-th scan section 704 that is a shift frequency determination object may span a plurality of scan patterns. In this case, the k-1 th input pattern 702 may include a portion of the k-1 th scan pattern except the k th scan section 704, and the k + 1 th input pattern 706 is the k th scan section. It may include a portion of the k + 1 th scan pattern except 704. In this case, it is possible to separately determine the optimum shift frequency for each part of the kth scan section 704 spanning each scan pattern, and to determine the single shift frequency assignable for the kth scan section 704. .
이상은 본 발명의 이해를 돕기 위한 예에 해당하며, 본 발명은 이 예에 한정되지 아니한다. 또한 스캔 패턴은 도 5에서 설명한 바와 같이 다양한 형태의 스캔 섹션으로 분할될 수 있으며, 스캔 섹션의 분할 형태에 따라 k번째 입력 패턴과 그 앞뒤에 위치한 k-1번째 입력 패턴 또는 k+1번째 입력 패턴의 형태 또한 다양할 수 있다. 즉, k-1번째 입력 패턴(702) 또는 k+1번째 입력 패턴(706)은 적어도 하나 이상의 스캔 섹션으로 구성될 수 있다. The above is an example for aiding the understanding of the present invention, and the present invention is not limited to this example. In addition, the scan pattern may be divided into various types of scan sections, as described in FIG. The form may also vary. That is, the k-1 th input pattern 702 or the k + 1 th input pattern 706 may be composed of at least one scan section.
도 7은 본 발명에 따른 스캔 테스트 시간 최소화를 위한 쉬프트 주파수를 찾는 방법의 일 예를 도시한 도면이다.7 illustrates an example of a method for finding a shift frequency for minimizing scan test time according to the present invention.
도 7은 도 4에서 설명한 쉬프트-인과 쉬프트-아웃이 중첩하여 수행되는 경우에 스캔 테스트 시간을 최소화하기 위한 방법의 일 예를 설명한다. 도 7은 본 발명에 따른 하나의 예를 설명하고자 함이며, 본 발명은 도 4에서 설명한 쉬프트-인과 쉬프트-아웃이 동시에 수행되는 경우로 한정되지 아니한다.FIG. 7 illustrates an example of a method for minimizing scan test time when the shift-in and the shift-out described in FIG. 4 are performed by overlapping. FIG. 7 illustrates one example according to the present invention, and the present invention is not limited to the case where the shift-in and the shift-out described in FIG. 4 are performed at the same time.
IC 칩의 스캔 테스트는 입력 스캔 패턴에 대한 테스트 결과 패턴을 예측 패턴과 비교하여 테스트 정상 여부를 판단한다. 즉, 입력 스캔 패턴을 스캔 체인에 로드한 후 캡쳐 동작을 수행하여 얻은 결과 패턴을 언로드하고, 예측 패턴과 언로드된 결과 패턴을 비교하여 테스트 정상 여부를 판단한다. The scan test of the IC chip compares the test result pattern of the input scan pattern with the prediction pattern to determine whether the test is normal. That is, after loading the input scan pattern into the scan chain, the result pattern obtained by performing the capture operation is unloaded, and the test pattern is determined by comparing the predicted pattern with the unloaded result pattern.
본 실시 예에서 스캔 패턴 또는 스캔 섹션에 대한 쉬프트 주파수 최적화를 위해서는 쉬프트 주파수 최적화 대상 스캔 패턴 또는 스캔 섹션이 스캔 체인에 쉬프트-인 될 때 동시에(또는 순차적으로) 쉬프트-아웃 되는 출력 패턴 또한 정상인지 확인하는 것이 바람직하다. 예를 들어, 쉬프트 주파수 최적화 대상 스캔 패턴 또는 스캔 섹션이 증가된 쉬프트 주파수로 스캔 체인에 정상적으로 쉬프트-인 되더라도, 증가된 쉬프트 주파수로 쉬프트-아웃 되는 이전 입력 스캔 패턴에 대한 테스트 결과 패턴에 오류가 생길 수도 있기 때문이다. In the present embodiment, for shift frequency optimization for a scan pattern or a scan section, when the shift frequency optimization target scan pattern or the scan section is shifted in the scan chain, whether the output pattern shifted out simultaneously (or sequentially) is also normal. It is desirable to. For example, even if the scan pattern to be shifted frequency optimized or the scan section is normally shifted in to the scan chain with an increased shift frequency, the test result pattern for the previous input scan pattern shifted out with the increased shift frequency will cause an error. Because it may.
도 7을 참조하면, 현재 쉬프트 주파수 결정 대상인 k번째 스캔 섹션(704)이 특정 쉬프트 주파수로 스캔 체인에 정상적으로 쉬프트-인 되는지 확인하기 위하여, k-1번째 입력 패턴(702)과 k+1번째 입력 패턴(706)을 함께 이용할 수 있다. 즉, 현재 쉬프트 주파수 결정 대상인 k번째 스캔 섹션(704)을 반복적으로 스캔 체인에 입력하기 전마다, 스캔 체인을 일정한 비트 패턴으로 초기화할 수 있는 k-1번째 입력 패턴(702)을 사용할 수 있다. 또한 k번째 스캔 섹션(704)에 대한 스캔 체인의 출력 패턴이 반복적으로 쉬프트-아웃 될 때 마다 일정한 비트 패턴으로 스캔 체인에 쉬프트-인 되는 k+1번째 입력 패턴(702)을 사용할 수 있다. Referring to FIG. 7, the k−1 th input pattern 702 and the k + 1 th input are used to determine whether the k th scan section 704 that is the current shift frequency determination target is properly shifted into the scan chain at a specific shift frequency. The pattern 706 can be used together. That is, each time the k-th scan section 704, which is the current shift frequency determination target, is repeatedly input to the scan chain, a k-1 th input pattern 702 capable of initializing the scan chain into a constant bit pattern may be used. Also, whenever the output pattern of the scan chain for the k th scan section 704 is repeatedly shifted out, a k + 1 th input pattern 702 which is shifted in the scan chain with a constant bit pattern may be used.
k번째 스캔 섹션(704)이 k번째 스캔 패턴과 일대일 대응되는 경우에, k-1번째 입력 패턴(702)은, k번째 스캔 섹션(704)의 앞에 위치한 실제 스캔 테스트에 사용되는 k-1 번째 스캔 패턴이거나, 상기 k-1번째 스캔 패턴을 스캔 체인에 로드한 후 스캔 캡쳐하여 얻는 결과 패턴에 대한 예측 패턴일 수 있다. If the k-th scan section 704 has a one-to-one correspondence with the k-th scan pattern, the k-th input pattern 702 is the k-1th used for the actual scan test located in front of the k-th scan section 704. It may be a scan pattern or a prediction pattern for a result pattern obtained by scanning and capturing the k-1 th scan pattern in a scan chain.
또 다른 예로, 현재 쉬프트 주파수 결정 대상인 k번째 스캔 섹션(704)이 도 25와 같이 k번째 스캔 패턴의 일부인 경우에, k-1번째 입력 패턴(702)은 k번째 스캔 섹션(704)의 앞에 위치한 실제 테스트에 사용되는 k-1번째 스캔 패턴 또는 k-1번째 스캔 패턴을 스캔 체인에 로드한 스캔 캡쳐하여 얻는 결과 패턴에 대한 예측 패턴을 포함할 수 있다. 또한 k-1번째 입력 패턴(702)은 k번째 스캔 패턴에서 k번째 스캔 섹션을 제외한 일부분을 포함할 수 있다. 여기서, k번째 스캔 패턴에서 k번째 스캔 섹션을 제외한 일부분은 실제 스캔 테스트에 사용되는 비트 패턴의 일부일 수 있다. As another example, when the k th scan section 704 that is the current shift frequency determination object is part of the k th scan pattern as shown in FIG. 25, the k−1 th input pattern 702 is positioned in front of the k th scan section 704. The k-1 th scan pattern or the k-1 th scan pattern used for the actual test may include a prediction pattern for a result pattern obtained by scanning a scan loaded in a scan chain. Also, the k−1 th input pattern 702 may include a portion of the k th scan pattern except for the k th scan section. Here, a part of the k-th scan pattern except for the k-th scan section may be a part of the bit pattern used for the actual scan test.
또 다른 예로, k-1번째 입력 패턴(702)은 스캔 체인상의 스위칭 동작을 줄이기 위하여 비트 '0' 또는 '1' 위주로 구성되거나 연속된 비트 '0' 또는 '1' 위주로 구성되는 등 기 설정된 임의의 패턴일 수도 있다. As another example, the k-1 th input pattern 702 is composed of bit '0' or '1' oriented or consecutive bit '0' or '1' oriented so as to reduce switching operation on the scan chain. It may be a pattern of.
또 다른 예로, k-1번째 입력 패턴(702)은 도 24와 같이 적어도 하나 이상의 스캔 섹션으로 구성될 수 있다. As another example, the k−1 th input pattern 702 may include at least one scan section as shown in FIG. 24.
k번째 스캔 섹션(704)이 k번째 스캔 패턴과 일대일 대응되는 경우에, k+1번째 입력 패턴(706)은 k번째 스캔 섹션(704)의 뒤에 위치한 실제 스캔 테스트에 사용되는 k+1번째 스캔 패턴이거나 K+1번째 스캔 패턴을 스캔 체인에 로드한 후 스캔 캡쳐하여 얻는 결과 패턴에 대한 예측 패턴일 수 있다. If the k th scan section 704 has a one-to-one correspondence with the k th scan pattern, the k + 1 th input pattern 706 is the k + 1 th scan used for the actual scan test located behind the k th scan section 704. It may be a pattern or a prediction pattern for a result pattern obtained by scanning and capturing a K + 1th scan pattern into a scan chain.
또 다른 예로, 현재 쉬프트 주파수 결정 대상인 k번째 스캔 섹션(704)이 도 25와 같이 k번째 스캔 패턴의 일부분인 경우에, k+1번째 입력 패턴(706)은 k번째 스캔 섹션(704)의 뒤에 위치한 실제 스캔 테스트에 사용되는 k+1번째 스캔 패턴 또는 k+1번째 스캔 패턴을 스캔 체인에 로드한 후 스캔 캡쳐하여 얻는 결과 패턴에 대한 예측 패턴을 포함할 수 있다. 또한 k+1번째 입력 패턴(706)은 k번째 스캔 패턴에서 k번째 스캔 섹션(704)을 제외한 일부분을 포함할 수 있다. 여기서, k번째 스캔 섹션(704)을 제외한 일부분은 실제 스캔 테스트에 사용되는 비트 패턴의 일부일 수 있다. As another example, when the k th scan section 704 that is the current shift frequency determination object is part of the k th scan pattern as shown in FIG. 25, the k + 1 th input pattern 706 is located after the k th scan section 704. The k + 1 th scan pattern or the k + 1 th scan pattern used for the actual scan test located in the scan chain may be included in the prediction pattern for the result pattern obtained by scanning capture. Also, the k + 1 th input pattern 706 may include a portion of the k th scan pattern except the k th scan section 704. Here, a part except the k-th scan section 704 may be a part of a bit pattern used for the actual scan test.
또 다른 예로, k+1번째 입력 패턴(706)은 스캔 체인상의 스위칭 동작을 줄이기 위하여 비트 '0' 또는 '1' 위주로 구성되거나 연속된 비트 '0' 또는 '1' 위주로 구성되는 등 기 설정된 임의의 패턴일 수 있다.As another example, the k + 1 th input pattern 706 is composed of bit '0' or '1' oriented or consecutive bit '0' or '1' oriented so as to reduce switching operation on the scan chain. It may be a pattern of.
또 다른 예로, k+1번째 입력 패턴(702)은 도 24와 같이 적어도 하나 이상의 스캔 섹션으로 구성될 수 있다.As another example, the k + 1 th input pattern 702 may include at least one scan section as shown in FIG. 24.
그리고 스캔 테스트에 있어서 첫 번째 스캔 섹션의 앞과 마지막 스캔 섹션의 뒤에 각각 위치하는 입력 스캔 패턴은, 스캔 체인상의 스위칭 동작을 줄이기 위하여 비트 '0' 또는 '1' 위주로 구성되거나 연속된 비트 '0' 또는 '1' 위주로 구성되는 등 기 설정된 임의의 패턴일 수 있다. 또한 상기 첫 스캔 섹션의 앞에 위치하는 입력 스캔 패턴은 테스트 대상 칩이 리셋 상태일 때의 스캔 체인 상의 값일 수도 있다.In the scan test, the input scan pattern, which is located before the first scan section and after the last scan section, is composed of bit '0' or '1' oriented or consecutive bit '0' to reduce switching operation on the scan chain. Alternatively, the pattern may be any predetermined pattern composed mainly of '1'. In addition, the input scan pattern located in front of the first scan section may be a value on the scan chain when the chip under test is in the reset state.
k-1번째 입력 패턴(702) 또는 k+1번째 입력 패턴(706)은 각각 하나 이상의 스캔 섹션으로 구성될 수 있으며, 이들 섹션의 쉬프트 주파수는 현재 쉬프트 주파수 결정 대상인 k번째 스캔 섹션(704)의 최대 쉬프트 주파수를 찾는데 제약을 주지않는 것이 바람직하다. The k-1 th input pattern 702 or the k + 1 th input pattern 706 may each consist of one or more scan sections, the shift frequency of which is the k th scan section 704 of the current shift frequency determination target. It is desirable not to constrain the search for the maximum shift frequency.
예를 들어, k-1번째 입력 패턴(702)은 최대 30MHz까지 스캔 체인에 정상적으로 쉬프트-인 가능하고, 쉬프트 주파수 결정 대상인 k번째 스캔 섹션(704)은 최대 50MHz까지 스캔 체인에 정상적으로 쉬프트-인 가능하다고 하자. 이 경우에, 쉬프트 주파수를 증가하면서 k-1번째 입력 패턴(702)과 k번째 스캔 섹션(704)을 스캔 체인에 순차적으로 쉬프트-인 하고, 스캔 체인에 대한 출력 패턴을 예측 패턴과 비교하여 k번째 스캔 섹션(704)에 대해 찾을 수 있는 최대 쉬프트 주파수는 30MHz로 제약을 받는다. 즉 쉬프트 주파수가 30MHz를 초과하는 경우에 k-1번째 입력 패턴(702)에 대한 출력 패턴과 예측 패턴이 상이해질 수 있기 때문이다. For example, the k-1 th input pattern 702 can normally shift-in up to 30 MHz in the scan chain and the k-th scan section 704 subject to shift frequency determination can normally shift-in up to 50 MHz in the scan chain. Let's say In this case, the k-1 th input pattern 702 and the k th scan section 704 are sequentially shifted into the scan chain while increasing the shift frequency, and the output pattern for the scan chain is compared with the predictive pattern k. The maximum shift frequency that can be found for the first scan section 704 is constrained to 30 MHz. That is, when the shift frequency exceeds 30 MHz, the output pattern and the prediction pattern for the k-1 th input pattern 702 may be different.
따라서 이러한 제약 상황을 피하기 위하여, k-1번째 입력 패턴(702) 또는 k+1번째 입력 패턴(706)의 쉬프트 주파수는 기 설정된 쉬프트 주파수(위 예의 경우, 30MHz)를 초과하지 않도록 하는 것이 바람직하다. Therefore, in order to avoid such a constraint situation, it is preferable that the shift frequency of the k-1 th input pattern 702 or the k + 1 th input pattern 706 does not exceed a preset shift frequency (30 MHz in the above example). .
예를 들어, k-1번째 입력 패턴(702) 또는 k+1번째 입력 패턴(706)의 쉬프트 주파수를 기 설정된 쉬프트 주파수(위 예의 경우, 30MHz 이하)로 고정하고, k번째 스캔 섹션(704)의 쉬프트 주파수만을 증감하여, k번째 스캔 섹션(704)에 대한 최대 쉬프트 주파수를 찾을 수 있다. For example, the shift frequency of the k-1 th input pattern 702 or the k + 1 th input pattern 706 is fixed to a preset shift frequency (30 MHz or less in the above example), and the k th scan section 704 is performed. By increasing or decreasing only the shift frequency of, the maximum shift frequency for the kth scan section 704 can be found.
또 다른 예로, k-1번째 입력 패턴(702), k번째 스캔 섹션(704), k+1번째 입력 패턴(704)에 대해 기 설정된 쉬프트 주파수(위 예의 경우, 30MHz 이하)까지 모두 함께 쉬프트 주파수의 증감을 적용하고, 기 설정된 쉬프트 주파수를 벗어나는 경우에는 k번째 스캔 섹션(704)의 쉬프트 주파수만을 증감할 수 있다. 다시 말해, k번째 스캔 섹션(704)의 쉬프트 주파수와 나머지 입력 패턴(702,706)의 쉬프트 주파수를 서로 다르게 제어할 수 있다. 물론 k-1번째 입력 패턴(702) 또는 k+1번째 입력 패턴(706)의 최대 허용 쉬프트 주파수가 k번째 스캔 섹션의 최대 쉬프트 주파수보다 크다면 k번째 스캔 섹션(704)과 나머지 입력 패턴(702,706)의 쉬프트 주파수를 동일하게 증감할 수 있다. 여기서, 기 설정된 쉬프트 주파수는 명목 쉬프트 주파수이거나 명목 쉬프트 주파수를 조정한 쉬프트 주파수 또는 테스트 장치마다 미리 설정된 값 또는 사용자가 설정한 값이 될 수 있는 등 실시 예에 따라 다양하게 변경 가능하며 위 예에 반드시 한정되는 것은 아니다. As another example, the shift frequency together with the preset shift frequency (30 MHz or less in the above example) for the k-1 th input pattern 702, the k th scan section 704, and the k + 1 th input pattern 704 together. If the increase and decrease of the predetermined shift frequency is applied, only the shift frequency of the k-th scan section 704 may be increased or decreased. In other words, the shift frequency of the k-th scan section 704 and the shift frequency of the remaining input patterns 702 and 706 may be controlled differently. Of course, if the maximum allowable shift frequency of the k-1 th input pattern 702 or the k + 1 th input pattern 706 is greater than the maximum shift frequency of the k th scan section, then the k th scan section 704 and the remaining input patterns 702, 706. Can be increased or decreased in the same manner. Here, the preset shift frequency may be variously changed according to an exemplary embodiment such as a nominal shift frequency, a shift frequency adjusted with a nominal shift frequency, or a preset value or a user set value for each test device. It is not limited.
또 다른 예로, k-1번째 입력 패턴(702) 또는 k+1번째 입력 패턴(706)에 대해 본 발명에 따른 방법을 통해 최적의 쉬프트 주파수가 이미 결정된 경우에는 최적 쉬프트 주파수 이하를 적용하여 k-1번째 입력 패턴(702) 또는 k+1번째 입력 패턴(706)을 스캔 체인에 쉬프트-인 할 수도 있다. As another example, when the optimal shift frequency has already been determined for the k-1 th input pattern 702 or the k + 1 th input pattern 706 by the method according to the present invention, k- is applied by applying below the optimal shift frequency. The first input pattern 702 or the k + 1th input pattern 706 may be shifted in to the scan chain.
예를 들어, 본 발명에 따른 방법을 스캔 패턴들에 대해 순차적으로 적용하는 경우, 현재 쉬프트 주파수 결정 대상인 k 번째 스캔 섹션의 쉬프트 주파수 결정 과정 이전에 k-1번째 입력 패턴을 구성하는 적어도 하나 이상의 스캔 섹션에 대한 최적의 쉬프트 주파수가 미리 결정될 수 있다. 따라서 스캔 테스트 시간 최소화 장치는 k-1 번째 입력 패턴의 각 스캔 섹션별 최적의 쉬프트 주파수를 이용하고, k+1 번째 입력 패턴에 대한 각 스캔 섹션에 대해서는 명목 쉬프트 주파수 또는 명복 쉬프트 주파수를 조정한 쉬프트 주파수를 이용할 수 있다.For example, when the method according to the present invention is sequentially applied to the scan patterns, at least one scan constituting the k-1 th input pattern before the shift frequency determination process of the k th scan section, which is the current shift frequency determination target. The optimal shift frequency for the section can be predetermined. Therefore, the scan test time minimization apparatus uses the optimal shift frequency for each scan section of the k-1 th input pattern, and shifts the nominal shift frequency or the smooth shift frequency for each scan section for the k + 1 th input pattern. Frequency can be used.
그리고 k번째 스캔 패턴의 최적의 쉬프트 주파수를 찾고자 하는 스캔 섹션에 대해 쉬프트 주파수를 증감하면서, k-1, k, k+1 번째 스캔 패턴을 스캔 체인(710)에 순차적으로 입력하여 실제 출력 패턴(720)이 예측 패턴(730)과 동일한지를 파악한다. 이때 필요시, k-1, k 또는 k+1번째 스캔 패턴 중 적어도 하나 이상의 스캔 패턴에 대한 스캔 캡쳐 동작이 수행될 수 있다. And while increasing or decreasing the shift frequency for the scan section to find the optimum shift frequency of the k-th scan pattern, sequentially input the k-1, k, k + 1st scan pattern to the scan chain 710 to the actual output pattern ( Determine if 720 is the same as the prediction pattern 730. In this case, a scan capture operation may be performed on at least one scan pattern among k-1, k, or k + 1th scan patterns.
예를 들어, 스캔 테스트 시간 최소화 장치는 초기 쉬프트 주파수로서 명목 쉬프트 주파수를 사용하고, 스캔 테스트 시간 최소화 장치에 기 설정된 쉬프트 주파수의 변동 단위로 쉬프트 주파수를 증가한다. 즉 k-1 번째 입력 패턴(702)을 스캔 체인에 명목 주파수와 같은 기 설정된 쉬프트 주파수로 쉬프트-인하여 로드한 후, k 번째 스캔 섹션(704)을 "초기 쉬프트 주파수 + 일정 단위 증가"의 쉬프트 주파수로 스캔 체인에 쉬프트-인 하고, 동시에 k-1번째 입력 패턴(702)에 의한 테스트 결과(즉, 출력패턴 K-1)(722)를 쉬프트-아웃하여 미리 알고 있는 예측 패턴 K-1(732)과 동일한지 비교한다. 이때 k-1번째 입력 패턴(702) 또는 k-1번째 입력 패턴(702)에 포함된 적어도 하나 이상의 스캔 섹션에 대해 기 설정된 쉬프트 주파수는 k번째 스캔 섹션(704)의 초기 쉬프트 주파수와 서로 다를 수 있다. 그리고 다시 k+1 번째 입력 패턴(706)의 쉬프트-인과 동시에, k 번째 스캔 패턴(704)에 의한 테스트 결과를 쉬프트-아웃 하여 얻은 출력 패턴 K(724)를 미리 알고 있는 예측 패턴 K(734)와 동일한지 비교한다. 이때 k번째 스캔 섹션(704)이 도 25와 같이 스캔 패턴의 일부분인 경우에, k-1번째 입력 패턴(702), k번째 스캔 섹션(704), k+1번째 입력 패턴(706)과 이들 각각에 대한 출력 패턴은 앞서 살펴 본 바와 같다.For example, the scan test time minimization apparatus uses the nominal shift frequency as the initial shift frequency, and increases the shift frequency in units of variation of the shift frequency preset in the scan test time minimization apparatus. That is, after shifting-in the k-1 th input pattern 702 with a preset shift frequency equal to the nominal frequency in the scan chain, the k th scan section 704 has a shift frequency of "Initial Shift Frequency + Incremental Unit Increment". Shift-in to the low scan chain, and simultaneously shift-out the test result (i.e., output pattern K-1) 722 by the k-th input pattern 702 to know the predicted pattern K-1 (732) in advance. Is equal to). In this case, the preset shift frequency of at least one scan section included in the k-1 th input pattern 702 or the k-1 th input pattern 702 may be different from the initial shift frequency of the k th scan section 704. have. Then, at the same time as the shift-in of the k + 1 th input pattern 706, the prediction pattern K 734 knowing in advance the output pattern K 724 obtained by shifting out the test result by the k th scan pattern 704. Compare with. In this case, when the k th scan section 704 is a part of the scan pattern as shown in FIG. 25, the k-1 th input pattern 702, the k th scan section 704, the k + 1 th input pattern 706, and these The output pattern for each is as described above.
위에서 언급한 기 설정된 쉬프트 주파수는 현재 쉬프트 주파수 결정 대상인 k번째 스캔 섹션(704)의 최적 쉬프트 주파수를 찾는데 제약을 주지 않는 것이 바람직하다. 따라서 k-1번째 입력 패턴(702) 또는 k+1번재 입력 패턴(706)의 쉬프트 주파수는 k번째 스캔 섹션(704)의 쉬프트 주파수와 함께 증감되지 않도록 하거나 k번째 스캔 섹션(704)과 상이한 주파수를 사용할 수 있으며, 이때 k-1번째 입력 패턴(702) 또는 k+1번째 입력 패턴(706)의 스캔 섹션을 스캔 체인에 정상적으로 입력할 수 있는 쉬프트 주파수를 사용하는 것이 바람직하다. 일 실시 예로, 기 설정된 쉬프트 주파수는 명목 쉬프트 주파수 외에 명목 쉬프트 주파수를 조정한 값 또는 프로그램에 의해 장치에 설정된 값 또는 사용자가 설정한 값이 될 수 있는 등 실시 예에 따라 다양하게 변경 가능하며 위 예에 반드시 한정되는 것은 아니다. The above-described preset shift frequency preferably does not limit the search for the optimal shift frequency of the k-th scan section 704 that is the current shift frequency determination target. Therefore, the shift frequency of the k-1 th input pattern 702 or the k + 1 th input pattern 706 does not increase or decrease with the shift frequency of the k th scan section 704 or is different from the k th scan section 704. In this case, it is preferable to use a shift frequency capable of normally inputting the scan section of the k-1 th input pattern 702 or the k + 1 th input pattern 706 into the scan chain. According to an embodiment, the preset shift frequency may be variously changed according to an embodiment, such as a value adjusted to a nominal shift frequency in addition to the nominal shift frequency, a value set in the device by a program, or a value set by a user. It is not necessarily limited to.
출력패턴 K-1(722)과 예측패턴 K-1(732)이 동일하고, 출력패턴 K(724)와 예측패턴 K(734)가 동일하면, 현재 쉬프트 주파수 결정 대상인 k번째 스캔 섹션(704)에 대해 사용 가능한 쉬프트 주파수이다. 스캔 테스트 시간 최소화 장치는 최적의 쉬프트 주파수를 찾고자 하는 스캔 섹션 K(704)에 대한 쉬프트 주파수를 다시 일정 크기만큼 증가하며, 상기와 같이 다시 k-1번째 입력 스캔 패턴(702)부터 스캔 체인에 입력하는 과정을 수행하여 출력 패턴(720)과 예측 패턴(730)의 비교과정을 다시 수행한다.If the output pattern K-1 722 and the prediction pattern K-1 732 are the same, and the output pattern K 724 and the prediction pattern K 734 are the same, the k-th scan section 704 that is the current shift frequency determination target The shift frequency available for. The scan test time minimization apparatus again increases the shift frequency for scan section K 704 to find the optimal shift frequency by a predetermined amount, and again inputs the scan chain from the k-1 th input scan pattern 702 as described above. The process of comparing the output pattern 720 and the prediction pattern 730 is performed again.
이와 같이, k 번째 스캔 섹션(704)에 대한 쉬프트 주파수를 계속하여 증가하여 출력 패턴(720)과 예측 패턴(730)이 달라지는 지점까지 수행하고, 그 지점 이전의 쉬프트 주파수 이하를 k 번째 스캔 섹션의 최적의 쉬프트 주파수로 결정할 수 있다. As such, the shift frequency for the k th scan section 704 is continuously increased to the point where the output pattern 720 and the prediction pattern 730 are different, and the shift frequency before the point is less than or equal to the k th scan section. The optimal shift frequency can be determined.
앞의 실시 예는 쉬프트 주파수를 증가하여 최적 쉬프트 주파수를 찾는 방법을 주로 설명하고 있으나, 다른 실시 예로, 쉬프트 주파수를 k번째 스캔 섹션(704)의 출력 패턴(720)과 예측 패턴(730)이 상이한 높은 주파수로부터 반복 감소하여 출력 패턴(720)과 예측 패턴(730)이 동일해지는 지점을 찾고, 그 지점의 쉬프트 주파수 이하를 k번째 스캔 섹션(704)의 최적 쉬프트 주파수로 결정할 수도 있다. Although the above embodiment mainly describes a method of finding an optimum shift frequency by increasing the shift frequency, in another embodiment, the shift frequency is different from the output pattern 720 and the prediction pattern 730 of the k-th scan section 704. It is also possible to find a point where the output pattern 720 and the prediction pattern 730 are equalized by repetitively decreasing from a high frequency, and determining below the shift frequency of that point as the optimal shift frequency of the kth scan section 704.
또한 쉬프트 주파수를 증감하면서 스캔 섹션 또는 스캔 패턴에 대한 출력 패턴을 예측 패턴과 반복적으로 비교 수행할 때 쉬프트 주파수의 증감 범위의 일 실시 예로서, 스캔 테스트 시간 최소화 장치에 설정된 범위 내에서 증감하거나 또는 출력 패턴(720)과 예측 패턴(730)이 동일하다가 상이해지거나 상이하다가 동일해 지는 지점을 찾으면 쉬프트 주파수의 증감을 멈추어 스캔 섹션별 가능한 최대 쉬프트 주파수를 찾는데 소요되는 시간을 줄일 수 있다. In addition, when the output pattern for the scan section or the scan pattern is repeatedly compared with the prediction pattern while increasing or decreasing the shift frequency, the shift frequency may be increased or decreased within the range set in the scan test time minimizing apparatus. If the pattern 720 and the prediction pattern 730 are the same and different or different and find the same point, the increase and decrease of the shift frequency can be stopped to reduce the time required to find the maximum possible shift frequency for each scan section.
실시 예에 따라, k 번째 스캔 섹션에 대한 최적의 쉬프트 주파수를 찾기 위한 초기 쉬프트 주파수는 명목 주파수 외에 다양한 값이 설정될 수 있으며, 또한 낮은 쉬프트 주파수에서 증가시키는 것이 아니라 출력 패턴과 예측 패턴이 상이한 높은 쉬프트 주파수에서 시작하여 쉬프트 주파수를 낮춰가면서 출력 패턴과 예측 패턴이 동일해지는 지점의 쉬프트 주파수를 찾을 수도 있다. 또한, k 번째 스캔 섹션의 쉬프트 주파수의 변화를 순차적으로 증가 또는 감소시키는 것이 아니라 여러 알고리즘을 통해 다양한 방법으로 변경시켜 보다 빠른 시간에 최적의 쉬프트 주파수를 찾을 수도 있다. According to an embodiment, the initial shift frequency for finding the optimal shift frequency for the kth scan section may be set in addition to the nominal frequency, and may not be increased at a low shift frequency, but may be increased at different output patterns and prediction patterns. You can also find the shift frequency at the point where the output pattern and the prediction pattern are the same, starting with the shift frequency and decreasing the shift frequency. In addition, instead of sequentially increasing or decreasing the shift frequency of the k-th scan section, various algorithms may be used to change the shift frequency to find an optimal shift frequency at a faster time.
예를 들면 이진 검색(binary search) 알고리즘을 사용할 수 있다. 이에 대한 일 예를 들면, 쉬프트 주파수가 10MHz에서 테스트 성공이고 20MHz에서 실패하면 다음 쉬프트 주파수는 그 사이인 15MHz를 시도해 본다. 그리고 만약 테스트 성공이면 15MHz와 20MHz 사이를 시도해 보며, 만약 실패하면 10MHz와 15MHz 사이를 시도해 보는 방법이다.For example, you can use a binary search algorithm. For example, if the shift frequency is a test success at 10 MHz and fails at 20 MHz, the next shift frequency tries 15 MHz in between. If the test is successful, try between 15MHz and 20MHz. If this fails, try between 10MHz and 15MHz.
도 7의 예에서, 최적의 쉬프트 주파수를 찾기 위한 스캔 섹션 K(704)는 스캔 패턴 K(704)와 일대일 대응되지만, 도 25의 k번째 스캔 섹션(704) 또는 도 5의 스캔 섹션(530)과 같이 스캔 패턴의 일부로 구성될 수도 있다. 즉 현재 쉬프트 주파수 결정 대상 스캔 섹션의 길이가 스캔 체인의 길이보다 짧을 수 있다. 이러한 경우 최적의 쉬프트 주파수를 찾기 위해 선택된 스캔 섹션을 포함하는 스캔 패턴에서, 해당 스캔 섹션을 제외한 부분의 쉬프트 주파수는 해당 스캔 섹션의 최적 쉬프트 주파수를 찾는데 제약을 주지 않는 것이 바람직하다. 이를 위하여 상기 선택된 스캔 섹션을 제외한 부분의 쉬프트 주파수는 상기 선택된 스캔 섹션의 쉬프트 주파수와 함께 증감되지 않도록 하거나, 상기 선택된 스캔 섹션과 상이한 쉬프트 주파수를 사용할 수 있다. 또한 상기 선택된 스캔 섹션을 제외한 부분의 쉬프트 주파수는 상기 선택된 스캔 섹션을 제외한 부분을 스캔 체인에 정상적으로 입력할 수 있는 쉬프트 주파수를 사용하는 것이 바람직하다.In the example of FIG. 7, scan section K 704 to find the optimal shift frequency corresponds one to one with scan pattern K 704, but k-th scan section 704 of FIG. 25 or scan section 530 of FIG. 5. It may be configured as part of the scan pattern as shown. That is, the length of the current scan frequency determination target scan section may be shorter than the length of the scan chain. In this case, in the scan pattern including the scan section selected to find the optimal shift frequency, the shift frequency of the portion except the scan section is not limited to finding the optimal shift frequency of the scan section. To this end, the shift frequency of the portion excluding the selected scan section may not increase or decrease with the shift frequency of the selected scan section, or a shift frequency different from the selected scan section may be used. In addition, the shift frequency of the portion excluding the selected scan section may preferably use a shift frequency capable of normally inputting the portion excluding the selected scan section to the scan chain.
일 실시 예로, 최적의 쉬프트 주파수를 찾기 위해 선택된 스캔 섹션을 제외한 부분에 적용되는 쉬프트 주파수는 명목 쉬프트 주파수 이하 또는 상기 선택된 스캔 섹션을 제외한 부분에 대해 본 발명에 따른 방법을 통해 최적의 쉬프트 주파수가 이미 결정된 경우에는 해당 최적의 쉬프트 주파수 이하와 같이 기 설정된 쉬프트 주파수가 사용될 수 있다. 그리고 상기 최적의 쉬프트 주파수를 찾기 위해 선택된 스캔 섹션에 대해서는 이상에서 설명한 바와 같이 쉬프트 주파수 증감을 통해 최적의 주파수를 찾는다. 상기 기 설정된 쉬프트 주파수는 명목 쉬프트 주파수를 조정한 값이거나 프로그램에 의해 장치에 설정된 값 또는 사용자가 설정한 값이 될 수 있는 등 실시 예에 따라 다양하게 변경 가능하며 위 예에 반드시 한정되는 것은 아니다. In one embodiment, the shift frequency applied to the portion other than the selected scan section to find the optimal shift frequency is less than the nominal shift frequency or for the portion except the selected scan section, the optimal shift frequency is already determined by the method according to the present invention. In this case, a predetermined shift frequency may be used, such as less than or equal to the optimum shift frequency. For the scan section selected to find the optimal shift frequency, the optimum frequency is found by shifting the frequency up or down as described above. The preset shift frequency may be variously changed according to an exemplary embodiment, such as a value of adjusting a nominal shift frequency, a value set in a device by a program, or a value set by a user, but is not necessarily limited to the above example.
도 7은 k-1번째 입력 패턴을 함께 사용하여 k번째 스캔 섹션의 최적 쉬프트 주파수를 찾는 방법을 도시하고 있으나, 반드시 이에 한정되는 것은 아니다. 실시 예에 따라, k-1번째 입력 패턴 또는 k+1번째 입력 패턴 없이, k번째 스캔 섹션만을 스캔 체인에 입력하고, 그 출력 패턴을 예측 패턴과 비교하여 최적 쉬프트 주파수를 찾을 수도 있다. FIG. 7 illustrates a method of finding an optimum shift frequency of a k-th scan section by using a k-1 th input pattern together, but is not necessarily limited thereto. According to an embodiment, only the k-th scan section may be input to the scan chain without a k-1 th input pattern or a k + 1 th input pattern, and the output pattern may be compared with a prediction pattern to find an optimal shift frequency.
도 8은 본 발명에 따른 스캔 테스트 시간 최소화 방법의 일 예를 도시한 흐름도이다.8 is a flowchart illustrating an example of a method for minimizing scan test time according to the present invention.
도 8을 참조하면, 스캔 테스트 시간 최소화 장치는 하나 이상의 스캔 패턴을 적어도 둘 이상의 스캔 섹션으로 분할한다(S800). Referring to FIG. 8, the apparatus for minimizing scan test time divides one or more scan patterns into at least two scan sections (S800).
분할하는 단계에 있어서, IC 칩을 테스트하기 위한 수 천 또는 수만 개 이상의 스캔 패턴들을 분할한 스캔 섹션이나 섹션 그룹에 대한 데이터 또는 이들 데이터를 포함하는 파일을 만드는 작업은 컴퓨터 프로그램 또는 소프트웨어를 사용하여 일괄적으로 처리할 수 있다. In the dividing step, the data for the scan section or the group of sections divided into thousands or tens of thousands or more scan patterns for testing the IC chip, or the file containing the data, may be batched using a computer program or software. Can be handled as
일 예로, 컴퓨터 프로그램 또는 소프트웨어는 스캔 섹션의 개수, 길이, 위치 등의 스캔 섹션 분할과 관련된 정보를 사용하여 스캔 패턴 집합을 스캔 섹션이나 섹션 그룹으로 분할하고, 분할된 스캔 섹션이나 섹션 그룹에 대한 데이터 또는 이들 데이터를 포함하는 파일을 일괄적으로 만들 수 있다.For example, a computer program or software divides a scan pattern set into scan sections or section groups by using information related to the scan section division such as the number, length, and position of the scan sections, and the data for the divided scan sections or section groups. Alternatively, files containing these data can be created in a batch.
스캔 섹션 분할과 관련된 정보는 키보드, 마우스, 음성인식 장치와 같은 사용자 인터페이스 장치나 스캔 섹션 분할과 관련된 정보를 포함하는 정보 데이터 코드나 파일, 또는 데이터 통신 네트워크 등을 통해 얻을 수 있고 컴퓨터 프로그램 또는 소프트웨어에 의해 사용될 수 있다.Information related to the scan section division may be obtained through a user interface device such as a keyboard, mouse, or voice recognition device, or information data code or file containing information related to the scan section division, or through a data communication network. Can be used by.
스캔 패턴의 분할의 일 예로 도 5에 도시된 방법을 사용할 수 있다. 스캔 테스트 시간 최소화 장치는 복수 개의 쉬프트 주파수를 각 스캔 섹션에 할당한다(S810). 여기서 각 스캔 섹션에 할당된 쉬프트 주파수는 스캔 체인의 출력 패턴이 예측 패턴과 상이해지기 전의 쉬프트 주파수 이하이다. 상기 스캔 패턴의 스캔 섹션으로의 분할(S800)과 상기 쉬프트 주파수의 스캔 섹션 할당(S810)은 실시 예에 따라 동일한 장치 또는 서로 다른 장치에서 각각 수행될 수 있으며, 스캔 테스트 장치 또는 컴퓨터 등의 장치에서 수행될 수도 있다. As an example of division of the scan pattern, the method illustrated in FIG. 5 may be used. The scan test time minimization apparatus allocates a plurality of shift frequencies to each scan section (S810). Here, the shift frequency assigned to each scan section is less than or equal to the shift frequency before the output pattern of the scan chain is different from the prediction pattern. The division of the scan pattern into scan sections (S800) and the scan section allocation of the shift frequency (S810) may be performed in the same device or different devices, respectively, according to embodiments. It may also be performed.
즉, 스캔 테스트 시간 최소화 장치는 쉬프트 주파수의 증감에 따라 출력 패턴과 예측 패턴이 달라지기 바로 이전의 쉬프트 주파수를 해당 스캔 섹션에 할당 가능한 최대 쉬프트 주파수서 찾는다. 실시 예에 따라 각 스캔 섹션은 쉬프트 주파수의 증감을 통해 찾은 최대 쉬프트 주파수보다 작은 쉬프트 주파수를 할당받을 수도 있다.That is, the scan test time minimization apparatus searches for the maximum shift frequency that can be allocated to the scan section immediately before the output pattern and the prediction pattern are changed according to the increase or decrease of the shift frequency. According to an embodiment, each scan section may be assigned a shift frequency smaller than the maximum shift frequency found by increasing or decreasing the shift frequency.
도 9는 본 발명에 따른 스캔 테스트 시간 최소화를 위하여 각 스캔 섹션별 최적의 쉬프트 주파수를 결정하는 방법의 다른 일 예를 도시한 도면이다.9 is a diagram illustrating another example of a method of determining an optimal shift frequency for each scan section in order to minimize scan test time according to the present invention.
도 9을 참조하면, 스캔 테스트 시간 최소화 장치는 하나 이상의 스캔 패턴을 적어도 둘 이상의 스캔 섹션으로 분할한다(S900). Referring to FIG. 9, the scan test time minimization apparatus divides one or more scan patterns into at least two scan sections (S900).
스캔 테스트 시간 최소화 장치는 스캔 섹션을 스캔 체인에 쉬프트-인 하는 주파수를 증감시키면서, 출력 패턴이 예측 패턴과 상이해지는 시점의 쉬프트 주파수를 파악한다(S910). 최적의 쉬프트 주파수를 찾기 위하여 사용되는 칩은 미리 양품으로 검사된 칩을 사용하는 것이 바람직하다. 예를 들어, 명목 쉬프트 주파수를 이용하여 스캔 테스트 한 결과 양품인 칩을 이용하여 본 실시 예에 따라 최적의 쉬프트 주파수를 검색한다. 이하의 다른 실시 예에서도 동일하다. The scan test time minimization apparatus detects the shift frequency at the time when the output pattern is different from the prediction pattern while increasing or decreasing the frequency shifting-in the scan section to the scan chain (S910). Chips used to find the optimal shift frequency are preferably chips that have been tested for good quality in advance. For example, as a result of a scan test using a nominal shift frequency, an optimal shift frequency is searched according to the present embodiment using a good chip. The same is true in other embodiments below.
그리고, 스캔 테스트 시간 최소화 장치는 출력 패턴과 예측 패턴이 상이해지는 시점 이전의 쉬프트 주파수를 해당 스캔 섹션의 쉬프트 주파수로 결정한다(S920). 상기 이전의 쉬프트 주파수라고 함은 그보다 작은 쉬프트 주파수도 포함한다.In operation S920, the scan test time minimization apparatus determines a shift frequency before a time point at which the output pattern and the prediction pattern differ from each other in the scan section. The former shift frequency also includes a smaller shift frequency.
예를 들어, 제1 쉬프트 주파수에서 출력 패턴과 예측 패턴이 동일하였으나, 제1 쉬프트 주파수를 일정 크기 증가한 제2 쉬프트 주파수에서 스캔 체인의 출력 패턴과 예측 패턴이 달라지는 경우, 스캔 테스트 시간 최소화 장치는 제2 쉬프트 주파수 또는 이보다 작은 쉬프트 주파수를 스캔 섹션의 쉬프트 주파수로 결정하거나 결정할 수 있는 정보를 제공할 수 있다.For example, when the output pattern and the prediction pattern are the same at the first shift frequency, but the output pattern and the prediction pattern of the scan chain are different at the second shift frequency in which the first shift frequency is increased by a certain amount, the scan test time minimization apparatus Two shift frequencies, or smaller, may be determined as the shift frequency of the scan section or may provide information that may be determined.
최적의 쉬프트 주파수를 찾기 위하여 증감하는 크기는 스캔 테스트 장치에 미리 설정되어 있으며, 사용자에 의해 증감 크기가 변경될 수도 있다. The magnitude of the increase and decrease in order to find the optimal shift frequency is preset in the scan test apparatus, and the increase and decrease size may be changed by the user.
본 실시 예는 설명의 편의를 위하여 쉬프트-인 하는 쉬프트 주파수의 증감을 통해 스캔 섹션별 최적의 쉬프트 주파수를 찾는 방법을 기술하고 있으나, 실시 예에 따라 쉬프트-아웃 하는 주파수를 증감시키면서 최적 쉬프트 주파수를 찾을 수 있다. 이하의 실시 예에서도 마찬가지이다. The present embodiment describes a method of finding an optimal shift frequency for each scan section by increasing or decreasing the shift frequency for convenience of description, but according to the exemplary embodiment, the optimum shift frequency is increased while increasing or decreasing the shift-out frequency. You can find it. The same applies to the following examples.
도 9에서 설명한 각 단계는 실시 예에 따라 스캔 테스트 시간 최소화 장치에서 모두 실시되는 것이 아니라 컴퓨터 등의 다른 장치에서 실시될 수도 있다. Each step described with reference to FIG. 9 may be performed in another apparatus such as a computer, rather than all performed in the apparatus for minimizing scan test time, according to an exemplary embodiment.
도 10은 본 발명에 따른 스캔 테스트 시간 최소화 방법의 보다 구체적인 과정을 도시한 흐름도의 일 실시 예이다.10 is an embodiment of a flowchart illustrating a more detailed process of the scan test time minimization method according to the present invention.
도 10을 참조하면, 스캔 테스트 시간 최소화 장치는 하나 이상의 스캔 패턴을 복수의 스캔 섹션으로 분할한다(S1000). Referring to FIG. 10, the apparatus for minimizing scan test time divides one or more scan patterns into a plurality of scan sections (S1000).
스캔 테스트 시간 최소화 장치는 스캔 섹션들 중 본 실시 예에 따라 쉬프트 주파수가 결정되지 아니한 스캔 섹션을 하나 선택한다(S1010). 예를 들어, 스캔 테스트를 위한 스캔 패턴들 사이에 일정한 순서가 정해져 있는 경우라면, 스캔 테스트 시간 최소화 장치는 첫 번째 스캔 섹션부터 순차적으로 선택할 수 있다. 또는 사용자가 쉬프트 주파수를 최적화하고자 하는 스캔 섹션을 선택하고, 스캔 테스트 시간 최소화 장치가 상기 선택된 스캔 섹션에 대해 쉬프트 주파수 최적화를 수행할 수 있다. 이 밖에도 쉬프트 주파수를 최적화하고자 하는 스캔 섹션을 선택하는 다양한 방법이 있을 수 있다. The scan test time minimization apparatus selects one scan section of which no shift frequency is determined according to the present exemplary embodiment among the scan sections (S1010). For example, if a predetermined order is defined between scan patterns for the scan test, the scan test time minimizing apparatus may sequentially select from the first scan section. Alternatively, the user may select a scan section for which the shift frequency is to be optimized, and the scan test time minimization apparatus may perform the shift frequency optimization for the selected scan section. In addition, there are various ways to select the scan section for which the shift frequency is to be optimized.
스캔 테스트 시간 최소화 장치는 쉬프트 주파수를 증감한다(S1020). 예를 들어, 스캔 테스트 시간 최소화 장치는 초기 쉬프트 주파수를 명목 쉬프트 주파수 등으로 다양하게 설정할 수 있다.The scan test time minimization apparatus increases or decreases the shift frequency (S1020). For example, the scan test time minimization apparatus may variously set the initial shift frequency to a nominal shift frequency.
스캔 테스트 시간 최소화 장치는 초기 쉬프트 주파수부터 시작하여 증감된 쉬프트 주파수에서 스캔 섹션을 스캔 체인에 정상적으로 쉬프트-인이 가능한지를 파악한다(S1030). 선택된 쉬프트 주파수 결정 대상 스캔 섹션이 현재의 쉬프트 주파수로 정상적으로 쉬프트-인이 가능한지를 파악하는 구체적인 방법의 일 예는 도 11에서 설명한다.The scan test time minimization apparatus determines whether the scan section can normally be shifted-in to the scan chain at the increased shift frequency starting from the initial shift frequency (S1030). An example of a specific method of determining whether the selected shift frequency determination target scan section can normally shift-in to the current shift frequency will be described with reference to FIG. 11.
스캔 섹션의 정상적인 쉬프트-인이 가능하면(S1040), 스캔 테스트 시간 최소화 장치는 다시 쉬프트 주파수를 증감하고(S1020) 정상적인 쉬프트-인이 가능한지 파악하는 과정을 반복한다(S1030).If the normal shift-in of the scan section is possible (S1040), the scan test time minimization apparatus increases or decreases the shift frequency (S1020) and repeats the process of determining whether normal shift-in is possible (S1030).
쉬프트 주파수의 증감에 따라 스캔 섹션의 정상적인 쉬프트-인이 안 되는 경우가 발생하면(S1040), 스캔 테스트 시간 최소화 장치는 스캔 섹션의 정상적인 쉬프트-인이 안되는 현재의 쉬프트 주파수 이전의 쉬프트 주파수 이하를 스캔 섹션의 쉬프트 주파수로 결정하거나 결정할 수 있는 정보를 컴퓨터로 읽을 수 있는 기록매체에 저장할 수 있다(S1050). 그리고 모든 스캔 섹션에 대한 쉬프트 주파수가 결정되거나 결정할 수 있는 정보를 컴퓨터로 읽을 수 있는 기록매체에 저장할 때까지 위의 과정을 반복수행한다(S1060). 여기서, 기록매체에 저장되는 정보의 일 예로, 각 쉬프트 주파수에 대한 쉬프트 또는 테스트 성공(pass) 또는 실패(fail)에 관한 정보일 수 있다. If the shift section does not normally shift-in the scan section due to the increase or decrease of the shift frequency (S1040), the scan test time minimization apparatus scans below the shift frequency before the current shift frequency where the scan section is not normally shifted-in. Information that can be determined or determined by the shift frequency of the section can be stored in a computer-readable recording medium (S1050). The above process is repeated until shift frequencies for all scan sections are determined or information that can be determined is stored in a computer-readable recording medium (S1060). Here, as an example of the information stored in the recording medium, it may be information about a shift or a test pass or fail for each shift frequency.
스캔 테스트 시간 최소화 장치는 필요에 따라 스캔 섹션을 섹션 그룹으로 묶을 수 있다(S1070). 예를 들어, 실제 스캔 테스트를 수행하는 스캔 테스트 장치가 스캔 테스트 동안 지원 가능한 최대 쉬프트 주파수 변경 횟수, 쉬프트 주파수의 최대 개수, 쉬프트 주파수 변경에 필요한 지연 시간 등의 제약 사항을 가진 경우에, 스캔 테스트 시간 최소화 장치는 스캔 섹션의 개수가 위 제약 사항을 만족할 수 있도록 스캔 섹션들을 그룹으로 묶을 수 있으며, 이때 전체 스캔 테스트 시간이 최소화될 수 있도록 고려할 수 있다. 이때 하나의 스캔 섹션 그룹에 포함되는 적어도 둘 이상의 스캔 섹션의 각 최적의 쉬프트 주파수들 중 가장 낮은 쉬프트 주파수 이하를 해당 섹션 그룹의 쉬프트 주파수로 결정할 수 있다. 섹션 그룹으로 묶는 과정(S1070)는 실시 예에 따라 생략될 수 있다. The apparatus for minimizing scan test time may group scan sections into section groups as necessary (S1070). For example, if the scan test device that performs the actual scan test has constraints such as the maximum number of shift frequency changes that can be supported during the scan test, the maximum number of shift frequencies, and the delay time required for the shift frequency change, the scan test time The minimization apparatus may group scan sections in such a way that the number of scan sections satisfies the above constraints, and may consider the total scan test time to be minimized. In this case, the lowest shift frequency among the optimal shift frequencies of at least two scan sections included in one scan section group may be determined as the shift frequency of the corresponding section group. The step S1070 of grouping the sections into groups may be omitted according to an embodiment.
예를 들어, 스캔 테스트 장치에서 지원 가능한 최대 쉬프트 주파수 변경 횟수가 5인 경우, 스캔 테스트 시간 최소화 장치는 현재 스캔 섹션의 수가 5를 초과하는 경우 스캔 섹션들을 5 개 이하의 섹션 그룹으로 나누고, 각 섹션 그룹 내 섹션의 최적의 쉬프트 주파수 중 가장 낮은 최적의 쉬프트 주파수 이하를 해당 섹션 그룹의 쉬프트 주파수로 결정할 수 있다. 섹션 그룹으로 그룹핑하는 방법은 비슷한 최적의 쉬프트 주파수를 갖는 섹션 그룹별로 그룹핑하는 방법 등 다양한 방법이 존재할 수 있으며, 전체 스캔 테스트 시간이 최소화될 수 있도록 하는 것이 바람직하다.For example, if the maximum number of shift frequency changes supported by the scan test device is 5, the scan test time minimizer divides the scan sections into 5 or less section groups if the current number of scan sections exceeds 5, and each section The lower or lower optimal shift frequency among the optimal shift frequencies of the sections in the group may be determined as the shift frequency of the corresponding section group. As a method of grouping into section groups, there may be various methods such as grouping by section groups having similar optimal shift frequencies, and it is desirable to minimize the total scan test time.
지금까지 살펴본 실시 예들은 주로 쉬프트 주파수의 증감만을 고려하여 최적의 쉬프트 주파수를 찾는 과정이었다. 그러나 칩은 공급 전압이나 주변 온도 등에 의해서도 영향을 받으므로 이러한 환경 조건을 반영하여 최적의 쉬프트 주파수를 찾을 필요가 있다.The embodiments discussed so far have mainly been a process of finding an optimal shift frequency in consideration of only the increase and decrease of the shift frequency. However, the chip is also affected by supply voltage and ambient temperature, so it is necessary to find the optimal shift frequency to reflect these environmental conditions.
따라서, 스캔 테스트 시간 최소화 장치는 공급 전압이나 외부 온도 등의 조건을 변경해 가면서 최적 쉬프트 주파수를 찾는 과정을 수행할 수 있다. Therefore, the scan test time minimizing apparatus may perform a process of finding an optimum shift frequency while changing conditions such as supply voltage and external temperature.
예를 들어, 스캔 테스트 시간 최소화 장치는 칩의 규격상 범위 또는 QA(Quality Assurance), QC(Quality Control) 등과 같은 품질 관련 정책 등을 고려하여 칩에 공급되는 전압을 증감할 수 있다(S1020). 그리고 스캔 테스트 시간 최소화 장치는 각각의 증감된 공급 전압에서 본 발명의 실시 예에 따라 스캔 섹션별 최적의 쉬프트 주파수를 찾는다. 선택된 스캔 섹션의 공급 전압별로 찾은 최적의 쉬프트 주파수가 복수 개 존재하면, 스캔 테스트 시간 최소화 장치는 이 중 가장 낮은 최적의 쉬프트 주파수 이하를 상기 선택된 스캔 섹션의 쉬프트 주파수로 결정할 수 있다(S1050). 이 외 온도 증감이나 다른 여러 가지 조건별로 최적의 쉬프트 주파수를 찾는 과정을 반복하고, 이 중 가장 낮은 최적의 쉬프트 주파수 이하를 해당 스캔 섹션의 쉬프트 주파수로 결정할 수 있다. For example, the scan test time minimization apparatus may increase or decrease the voltage supplied to the chip in consideration of a specification range of the chip or quality related policies such as QA (Quality Assurance) and QC (Quality Control). In addition, the scan test time minimization apparatus finds an optimal shift frequency for each scan section according to an embodiment of the present invention at each increased or decreased supply voltage. If there are a plurality of optimal shift frequencies found for each supply voltage of the selected scan section, the scan test time minimization apparatus may determine a shift frequency of the selected scan section below the lowest optimal shift frequency among them (S1050). In addition, the process of finding the optimal shift frequency may be repeated for temperature increase or decrease and various other conditions, and the lower than the lowest optimal shift frequency may be determined as the shift frequency of the corresponding scan section.
여기서, IC 칩의 공급 전압 또는 주변 온도 등을 변화시키면서 IC 칩의 동작 주파수 범위와 같은 특성을 파악하는 것을 일반적으로 전기적 특성 테스팅(electrical testing) 또는 쉬무잉(shmooing)이라 하며, 전기적 특성 테스팅 또는 쉬무잉을 하여 특성 정보에 대한 도표를 만드는 것을 쉬무 플랏팅(shmoo plotting) 한다고 한다. 도표는 쉬무 플랏(shmoo plot)이라 불린다. Here, to grasp the characteristics such as the operating frequency range of the IC chip while changing the supply voltage or ambient temperature of the IC chip, generally referred to as electrical testing or shiming, electrical characteristics testing or Making a plot of characteristic information by making a drawing is called 'smooth plotting'. The plot is called a shmoo plot.
도 10의 각 단계는 스캔 테스트 시간 최소화 장치뿐만 아니라 컴퓨터 등의 다른 장치에 의해 수행될 수도 있다. Each step of FIG. 10 may be performed by another apparatus such as a computer as well as a scan test time minimization apparatus.
도 11은 본 발명에 따른 스캔 테스트 시간 최소화 방법에서 정상적인 쉬프트-인을 파악하는 구체적인 과정의 일 실시 예를 도시한 흐름도이다. 즉, 도 11은 도 10의 S1030 단계에 대응된다.11 is a flowchart illustrating an embodiment of a specific process of identifying a normal shift-in in the scan test time minimization method according to the present invention. That is, FIG. 11 corresponds to step S1030 of FIG. 10.
도 11을 참조하면, 스캔 테스트 시간 최소화 장치는 도 7과 같이 현재 선택된 쉬프트 주파수 결정 대상인 k번째 스캔 섹션의 앞에 위치한 k-1 번째 입력 패턴을 스캔 체인에 쉬프트-인 한다(S1100). k-1 번째 입력 패턴은 k번째 스캔 섹션의 앞에 위치하여 실제 스캔 테스트에 사용되는 k-1 번째 입력 패턴이거나, k-1번째 입력 패턴을 스캔 체인에 로드한 후 스캔 캡쳐할 때 나타나는 결과 패턴에 대한 예측 패턴일 수 있다. k-1번째 입력 패턴은 어느 하나의 스캔 섹션에 포함되거나 하나 이상의 스캔 섹션을 포함할 수 있다. Referring to FIG. 11, the scan test time minimization apparatus shifts-in the k-1 th input pattern positioned in front of the k th scan section, which is the currently selected shift frequency determination target, to the scan chain as shown in FIG. 7 (S1100). The k-1th input pattern is located in front of the kth scan section and is either the k-1th input pattern used for the actual scan test, or the resulting pattern that appears when the k-1th input pattern is loaded into the scan chain and then captured. May be a prediction pattern. The k-th input pattern may be included in any one scan section or may include one or more scan sections.
(1) k-1 번째 입력 패턴이 실제 스캔 테스트에 사용되는 k-1 번째 스캔 패턴인 경우, 스캔 테스트 시간 최소화 장치는 k-1 번째 스캔 패턴을 스캔 체인에 로드한 후 스캔 캡쳐하는 과정을 수행한다. 이 경우 실제 스캔 테스트 동작을 그대로 반영할 수 있는 장점이 있다. (1) If the k-1 th input pattern is the k-1 th scan pattern used for the actual scan test, the scan test time minimization apparatus loads the k-1 th scan pattern into the scan chain and performs a scan capture process. do. In this case, there is an advantage of reflecting the actual scan test operation.
(2) k-1 번째 입력 패턴이 실제 스캔 테스트에 사용되는 k-1 번째 스캔 패턴을 로드 후 스캔 캡쳐할 때 나타나는 결과 패턴에 대한 예측 패턴인 경우, 스캔 테스트 시간 최소화 장치는 상기 k-1 번째 입력 패턴을 스캔 체인에 로드한 후 별도의 스캔 캡쳐 과정을 수행할 필요가 없으므로 스캔 캡쳐를 위한 클락에 소요되는 시간을 줄일 수 있고, 따라서 최적의 쉬프트 주파수를 찾는데 소요되는 시간을 줄일 수 있다. (2) When the k-1 th input pattern is a predictive pattern for a result pattern that appears when the k-1 th scan pattern used for the actual scan test is loaded after the scan capture, the apparatus for minimizing the scan test time is performed. Since the input pattern is loaded into the scan chain, there is no need to perform a separate scan capture process, thereby reducing the time required for clock capture and thus, the time required to find the optimal shift frequency.
스캔 테스트 시간 최소화 장치는 k-1 번째 입력 패턴을 로드 한 후, 선택된 쉬프트 주파수 결정 대상 스캔 섹션(k 번째 스캔 섹션)을 증감된 쉬프트 주파수로 스캔 체인에 쉬프트-인 한다(S1110). 만약 최적의 쉬프트 주파수를 찾기 위해 선택된 스캔 섹션 K가 도 5의 스캔 섹션(530,540,550)과 같이 스캔 패턴의 일부로서 스캔 체인의 길이보다 짧은 경우, 상기 스캔 섹션 K를 포함하는 입력 스캔 패턴을 스캔 체인에 쉬프트-인 한다.After the scan test time minimization apparatus loads the k-1 th input pattern, the apparatus shifts the selected shift frequency determination target scan section (k th scan section) into the scan chain at the increased or decreased shift frequency (S1110). If the scan section K selected to find the optimal shift frequency is shorter than the length of the scan chain as part of the scan pattern, such as scan sections 530, 540 and 550 of FIG. 5, then the input scan pattern comprising the scan section K is added to the scan chain. Shift-in
이때 선택된 쉬프트 주파수 결정 대상 스캔 섹션 K를 제외한 스캔 패턴부분의 쉬프트 주파수는 스캔 섹션 K의 최적의 쉬프트 주파수를 찾는데 제약을 주지 않는 것이 바람직하다, 이를 위해 스캔 섹션 K를 제외한 부분의 쉬프트 주파수는 스캔 섹션 K의 쉬프트 주파수와 함께 증감되지 않도록 하거나 스캔 섹션 K와 상이한 주파수를 사용할 수 있으며, 스캔 섹션 K를 제외한 부분을 스캔 체인에 정상적으로 입력할 수 있는 쉬프트 주파수를 사용하는 것이 바람직하다. 일 실시 예로, 스캔 섹션 K를 제외한 부분의 쉬프트 주파수는 명목 쉬프트 주파수 이하 또는 본 발명에 따른 방법을 통해 최적의 쉬프트 주파수가 이미 결정된 경우에는 해당하는 최적의 쉬프트 주파수 이하와 같이 기 설정된 쉬프트 주파수를 사용할 수 있다. 그리고 스캔 체인 상에 저장된 값을 쉬프트-아웃 한다. 상기 기 설정된 쉬프트 주파수는 명목 쉬프트 주파수를 조정한 값이거나 프로그램에 의해 장치에 설정된 값 또는 사용자가 설정한 값이 될 수 있는 등 실시 예에 따라 다양하게 변경 가능하며 위 예에 반드시 한정되는 것은 아니다. In this case, it is preferable that the shift frequency of the scan pattern portion except for the selected shift frequency target scan section K does not limit the search for the optimal shift frequency of the scan section K. It is preferable to use a shift frequency that does not increase or decrease with the shift frequency of K, or that a frequency different from the scan section K can be used, and that a portion other than the scan section K can be normally input into the scan chain. In an embodiment, the shift frequency of the portion excluding the scan section K may use a preset shift frequency, such as a nominal shift frequency or less, or when the optimal shift frequency is already determined through the method according to the present invention. Can be. Then shift out the value stored on the scan chain. The preset shift frequency may be variously changed according to an exemplary embodiment, such as a value of adjusting a nominal shift frequency, a value set in a device by a program, or a value set by a user, but is not necessarily limited to the above example.
예를 들어, k-1 번째 입력 패턴이 실제 스캔 테스트에 사용되는 k-1번째 스캔 패턴인 경우에, 쉬프트-아웃 되는 출력 패턴은 상기 k-1 번째 스캔 패턴을 로드한 상태에서 스캔 캡쳐한 결과이다. k-1 번째 입력 패턴이 실제 스캔 테스트에 사용되는 k-1 번째 스캔 패턴에 의한 스캔 캡쳐에 대한 예측 패턴인 경우에, 출력 패턴은 스캔 캡쳐 동작 없이 스캔 체인으로부터 그대로 출력되는 결과이다. For example, when the k-1 th input pattern is a k-1 th scan pattern used for an actual scan test, the output pattern shifted out is a scan capture result with the k-1 th scan pattern loaded. to be. In the case where the k-1 th input pattern is a predictive pattern for scan capture by the k-1 th scan pattern used in the actual scan test, the output pattern is a result output as is from the scan chain without a scan capture operation.
스캔 테스트 시간 최소화 장치는 출력 패턴이 예측 패턴과 동일한지 비교한다(S1120). 출력 패턴과 예측 패턴이 동일하지 않으면(S1120), 스캔 테스트 시간 최소화 장치는 현재 쉬프트 주파수 결정 대상인 k 번째 스캔 섹션을 현 쉬프트 주파수로 스캔 섹션에 정상적으로 쉬프트-인 할 수 없다고 파악한다(S1170).The scan test time minimization apparatus compares the output pattern with the prediction pattern (S1120). If the output pattern and the prediction pattern are not the same (S1120), the scan test time minimization apparatus determines that the k-th scan section which is the current shift frequency determination target cannot be normally shifted-in to the scan section at the current shift frequency (S1170).
출력 패턴과 예측 패턴이 동일하면, 스캔 테스트 시간 최소화 장치는 현재 스캔 체인 상에 위치한 쉬프트 주파수 결정 대상인 k 번째 스캔 섹션을 그대로 쉬프트-아웃 하거나, 상기 k 번째 스캔 섹션이 로드된 상태에서 스캔 캡쳐 후 그 결과를 쉬프트-아웃 한다(S1140). 스캔 캡쳐를 수행하는 경우 실제 스캔 테스트 동작 과정을 반영할 수 있는 장점이 있다. 스캔 캡쳐 없이 k 번째 스캔 섹션을 그대로 쉬프트-아웃 하는 경우는 스캔 캡쳐에 소요되는 시간을 줄임으로서 최적의 쉬프트 주파수를 찾는데 소요되는 시간을 줄일 수 있는 장점이 있다.If the output pattern and the prediction pattern are the same, the scan test time minimization apparatus shifts out the k-th scan section which is the target of the shift frequency determination currently located on the scan chain, or captures the scan after the k-th scan section is loaded. The result is shifted out (S1140). When performing scan capture, there is an advantage that can reflect the actual scan test operation process. The shift-out of the k th scan section without scan capture has the advantage of reducing the time required to find the optimal shift frequency by reducing the time required for scan capture.
스캔 테스트 시간 최소화 장치는 쉬프트-아웃된 출력 패턴과 예측 패턴을 비교한다(S1150). 예를 들어, 쉬프트 주파수 결정 대상인 k 번째 스캔 섹션이 그대로 쉬프트-아웃 되는 경우에 스캔 테스트 장치는 출력패턴에 k 번째 섹션이 그대로 있는지 비교한다. 상기 k번째 스캔 섹션에 대한 스캔 캡쳐 결과를 출력하는 경우에 스캔 테스트 시간 최소화 장치는 출력패턴과 k 번째 스캔 섹션에 대해 미리 알고 있는 예측된 스캔 캡쳐 패턴과 서로 동일한지 비교한다.The scan test time minimization apparatus compares the shifted-out output pattern and the prediction pattern (S1150). For example, when the k-th scan section to be shifted frequency determination is shifted out as it is, the scan test apparatus compares the k-th section in the output pattern. In the case of outputting the scan capture result for the k-th scan section, the scan test time minimization apparatus compares the output pattern with the predicted scan capture pattern that is known in advance for the k-th scan section.
스캔 테스트 시간 최소화 장치는 쉬프트 주파수 결정 대상인 k 번째 스캔 섹션에 대한 출력 패턴과 예측 패턴이 동일하면, 현 쉬프트 주파수로 상기 k 번째 스캔 섹션을 스캔 체인에 정상적으로 쉬프트-인이 가능하다고 파악한다(S1160). 즉, 현재 쉬프트 주파수 결정 대상인 k번째 스캔 섹션에 대한 출력 패턴뿐만 아니라 그 앞에 위치하는 스캔 섹션 또는 스캔 패턴에 대한 출력 패턴 또한 예측 패턴과 비교하여 k번째 스캔 섹션에 대하 최적의 쉬프트 주파수를 찾는 것이 바람직하다. The scan test time minimizing apparatus determines that the k-th scan section can be normally shifted-in into the scan chain at the current shift frequency when the output pattern and the prediction pattern for the k-th scan section which are the shift frequency determination targets are the same (S1160). . That is, it is desirable to find an optimal shift frequency for the k-th scan section by comparing the output pattern for the k-th scan section, which is the current shift frequency determination target, as well as the output pattern for the scan section or the scan pattern located in front of the prediction pattern. Do.
도 12는 본 발명에 따른 스캔 테스트 시간 최소화 방법의 다른 일 예를 도시한 흐름도이다.12 is a flowchart illustrating another example of a method for minimizing scan test time according to the present invention.
공정(process)의 종류 및 상태에 따라 서로 다른 웨이퍼 상의 IC 칩들 간 또는 동일 웨이퍼 상의 IC 칩들 간의 공정 차이(process variation)가 발생할 수 있으며, 이는 IC 칩의 동작 주파수 및 전력 소모 등에 많은 영향을 미칠 수 있다. 특히 미세공정 및 저전력 공정에서는 더 많은 영향을 미친다. 도 12는 최적의 스캔 쉬프트 주파수를 찾는데 이를 반영하기 위한 하나의 예이다.Depending on the type and state of a process, process variations between IC chips on different wafers or between IC chips on the same wafer may occur, which may greatly affect the operating frequency and power consumption of the IC chip. have. In particular, micro processes and low power processes have more influence. 12 is an example for finding an optimal scan shift frequency and reflecting the same.
도 12를 참조하면, 스캔 테스트 시간 최소화 장치는 복수의 칩에 대해 앞서 살핀 스캔 섹션별 최적의 주파수를 결정하는 과정을 수행한다(S1200). 여기서 복수의 칩은 동일 웨이퍼 상의 IC 칩이거나 서로 다른 웨이퍼 상의 IC 칩일 수 있으며, 미리 양품으로 검사된 칩이 바람직하다. Referring to FIG. 12, the scan test time minimizing apparatus performs a process of determining an optimal frequency for each salping scan section for a plurality of chips (S1200). Here, the plurality of chips may be IC chips on the same wafer or IC chips on different wafers, and chips that have been inspected with good quality in advance are preferable.
스캔 테스트 시간 최소화 장치는 어느 한 스캔 섹션에 대해 복수의 IC 칩을 통해 파악한 복수의 최적의 쉬프트 주파수들 중 가장 낮은 쉬프트 주파수 이하를 해당 스캔 섹션의 최적의 쉬프트 주파수로 결정하거나, 쉬프트 주파수를 결정할 수 있는 정보를 컴퓨터로 읽을 수 있는 기록매체에 저장할 수 있으며(S1210), 이를 각 스캔 섹션에 대해 수행할 수 있다. 여기서, 기록매체에 저장되는 정보의 일 예로, 각 쉬프트 주파수에 대한 쉬프트 또는 테스트의 성공(pass) 또는 실패(fail)에 관한 정보일 수 있다. The scan test time minimization apparatus may determine the optimal shift frequency of the corresponding scan section, or determine the shift frequency below the lowest shift frequency among the plurality of optimal shift frequencies found through the plurality of IC chips for one scan section. The information may be stored in a computer-readable recording medium (S1210), and this may be performed for each scan section. Here, as an example of the information stored in the recording medium, it may be information about the pass or fail of the shift or test for each shift frequency.
예를 들어, 제1 칩의 k 번째 스캔 섹션의 쉬프트 주파수가 A이고, 제2 칩의 k 번째 스캔 섹션의 쉬프트 주파수가 B라고 하자. 쉬프트 주파수 A가 쉬프트 주파수 B 보다 작다면, 스캔 테스트 장치는 k 번째 스캔 섹션의 쉬프트 주파수로 A 또는 그 이하를 선택하거나, 선택할 수 있는 정보를 컴퓨터로 읽을 수 있는 기록매체에 저장할 수 있다.For example, assume that the shift frequency of the k-th scan section of the first chip is A and the shift frequency of the k-th scan section of the second chip is B. If the shift frequency A is less than the shift frequency B, the scan test apparatus may select A or less as the shift frequency of the k-th scan section, or store the selectable information in a computer-readable recording medium.
도 12의 각 단계는 스캔 패턴 집합과 복수의 칩에 대해 각 스캔 섹션별로 파악된 쉬프트 주파수 정보를 사용하여 스캔 테스트 시간 최소화 장치뿐만 아니라 컴퓨터 등의 다른 장치에서 수행될 수도 있다. Each step of FIG. 12 may be performed in another apparatus such as a computer as well as an apparatus for minimizing scan test time by using the scan pattern set and the shift frequency information identified for each scan section for a plurality of chips.
또한 스캔 테스트 시간 최소화 장치는 복수의 IC 칩 각각에 대해 서로 다른 스캔 섹션의 최적의 쉬프트 주파수를 함께 찾거나 결정함으로써 최적의 쉬프트 주파수를 찾거나 결정하는데 소요되는 시간을 줄일 수 있다. 일 실시 에로서 복수의 각각의 스캔 테스트 장치에서 서로 다른 스캔 섹션의 최적의 쉬프트 주파수를 함께 찾거나 결정하거나, 하나의 스캔 테스트 장치에서 복수개의 IC 칩 각각에 대해 서로 다른 스캔 섹션의 최적의 쉬프트 주파수를 함께 찾거나 결정할 수 있다. In addition, the scan test time minimization apparatus can reduce the time required to find or determine the optimal shift frequency by finding or determining the optimal shift frequency of different scan sections together for each of the plurality of IC chips. In one embodiment, the plurality of scan test devices together find or determine the optimal shift frequency of different scan sections, or in one scan test device, the optimum shift frequency of different scan sections for each of the plurality of IC chips. You can find or decide together.
도 13은 본 발명에 따른 스캔 테스트 장치의 일 실시예의 구성을 도시한 도면이다.13 is a diagram showing the configuration of an embodiment of a scan test apparatus according to the present invention.
도 13의 스캔 테스트 시간 최소화 장치는 각 스캔 섹션의 쉬프트 주파수 최적화를 위해 전술한 본 발명의 방법을 수행할 수 있으며, 일 실시 예로 도 7 내지 도 12의 방법의 일부 또는 전체를 적용할 수 있다. The scan test time minimization apparatus of FIG. 13 may perform the above-described method of the present invention for optimizing the shift frequency of each scan section, and may apply some or all of the methods of FIGS. 7 to 12 as an example.
도 13을 참조하면, 스캔 테스트 시간 최소화 장치는 조건 설정부(1300), 패턴 분할부(1305), 패턴 입력부(1310), 패턴 비교부(1320) 및 주파수 파악부(1330)를 포함한다. 조건 설정부(1300)는 다시 주파수 증감부(1302), 공급전압 증감부(1304), 온도 증감부(1306) 등을 포함한다.Referring to FIG. 13, the apparatus for minimizing scan test time includes a condition setting unit 1300, a pattern dividing unit 1305, a pattern input unit 1310, a pattern comparing unit 1320, and a frequency grasping unit 1330. The condition setting unit 1300 again includes a frequency increasing unit 1302, a supply voltage increasing unit 1304, a temperature increasing unit 1306, and the like.
먼저, 조건 설정부(1300)는 스캔 섹션별 최적의 쉬프트 주파수를 찾기 위한 각종 조건을 설정한다. 구체적으로 주파수 증감부(1302)는 쉬프트 주파수를 증감하고, 공급전압 증감부(1304)는 칩에 공급되는 전압을 증감하고, 온도 증감부(1306)는 테스트 환경의 주변 온도를 증감한다. 조건 설정부(1300)는 공급 전압, 주변 온도 등의 조건을 설정하며 쉬프트 주파수를 증감시킬 수 있다.First, the condition setting unit 1300 sets various conditions for finding an optimal shift frequency for each scan section. In detail, the frequency increase / decrease unit 1302 increases or decreases the shift frequency, the supply voltage increase / decrease unit 1304 increases or decreases the voltage supplied to the chip, and the temperature increase / decrease unit 1306 increases or decreases the ambient temperature of the test environment. The condition setting unit 1300 may set conditions such as a supply voltage and an ambient temperature and increase or decrease the shift frequency.
패턴 분할부(1305)는 하나 이상의 스캔 패턴을 복수의 스캔 섹션으로 분할한다. The pattern divider 1305 divides one or more scan patterns into a plurality of scan sections.
패턴 입력부(1310)는 조건 설정부(1300)에서 설정된 조건에서 스캔 섹션을 스캔 체인에 쉬프트-인 한다. 보다 구체적으로 패턴 입력부(1310)는 최적의 스캔 쉬프트 주파수를 찾고자 하는 스캔 섹션의 앞과 뒤에 각각 위치한 스캔 패턴 또는 스캔 섹션을 상기 스캔 섹션과 함께 순차적으로 스캔 체인에 쉬프트-인 할 수 있다. The pattern input unit 1310 shifts-in the scan section into the scan chain under the condition set by the condition setting unit 1300. More specifically, the pattern input unit 1310 may sequentially shift-in the scan pattern or the scan section respectively located in front of and behind the scan section for which the optimum scan shift frequency is to be searched together with the scan section.
패턴 비교부(1320)는 패턴 입력부(1310)에 의한 쉬프트-인과 동시에 쉬프트-아웃되는 출력 패턴이 예측 패턴과 동일한지 비교한다. 조건 설정부(1300)에 의한 쉬프트 주파수의 증감에 따라 출력 패턴과 예측 패턴이 동일하다가 상이해지거나 상이하다가 동일해지는 시점이 존재할 수 있다. The pattern comparison unit 1320 compares the shift-in of the pattern input unit 1310 with the output pattern shifted out at the same time as the prediction pattern. As the shift frequency is increased or decreased by the condition setting unit 1300, there may be a time point in which the output pattern and the prediction pattern are the same and different or different and the same.
주파수 파악부(1330)는 패턴 비교부(1320)에 의한 비교결과 정보 또는 상기 비교 결과를 이용하여 상기 출력 패턴이 상기 예측 패턴과 상이해지기 전의 쉬프트 주파수 또는 그 이하의 쉬프트 주파수를 찾거나 파악하기 위한 쉬프트 주파수 정보를 컴퓨터로 읽을 수 있는 기록매체에 저장할 수 있다. 또한 상기 정보를 이용하여 상기 스캔 섹션의 최적의 쉬프트 주파수를 결정할 수도 있다. 일 실시 예로서 주파수 파악부(1330)는 적어도 현재 쉬프트 주파수 결정 대상 스캔 섹션 앞에 위치한 스캔 섹션과 상기 결정 대상 스캔 섹션에 대한 출력 패턴 모두 예측 패턴과 동일할 때의 쉬프트 주파수를 상기 결정 대상 스캔 섹션의 사용 가능한 쉬프트 주파수 정보로서 컴퓨터로 읽을 수 있는 기록매체에 저장할 수 있다. 또한 도 13에서 둘 이상의 각 부는 서로 하나의 모듈로 통합되거나 더욱 세분화될 수도 있다. The frequency determiner 1330 may find or determine a shift frequency of the output pattern before or after the output pattern differs from the prediction pattern by using the comparison result information by the pattern comparison unit 1320 or the comparison result. The shift frequency information may be stored in a computer-readable recording medium. The information may also be used to determine an optimal shift frequency of the scan section. According to an embodiment, the frequency determiner 1330 may determine a shift frequency when at least the scan section located in front of the current shift frequency determination target scan section and the output pattern for the determination target scan section are equal to the prediction pattern. Available shift frequency information may be stored on a computer readable recording medium. Also, in FIG. 13, two or more parts may be integrated into one module or may be further subdivided.
스캔 섹션을 스캔 체인에 쉬프트할 때, 쉬프트 주파수의 크기에 따라 IC 칩에서 소모되는 전력이 달라질 수 있다. 예를 들어, 쉬프트 주파수가 높을수록 칩에서 소모되는 전력이 증가한다. 또한 동일한 쉬프트 주파수라고 하여도 각 스캔 섹션별 비트 값에 따른 IC 칩 상의 회로 스위칭 횟수 또는 스위칭되는 회로 부분이 서로 다름으로 인해 칩에서 소모되는 전력이 달라질 수 있다.When shifting scan sections into the scan chain, the power consumed by the IC chip can vary depending on the magnitude of the shift frequency. For example, the higher the shift frequency, the more power is consumed by the chip. Also, even with the same shift frequency, the number of circuit switching on the IC chip or the part of the circuit to be switched may vary according to the bit value of each scan section.
도 14는 본 발명에 따른 스캔 섹션별 최적의 쉬프트 주파수를 찾거나 결정하는 방법의 다른 일 예를 도시한 도면이다.14 is a diagram illustrating another example of a method for finding or determining an optimal shift frequency for each scan section according to the present invention.
도 14를 참조하면, 스캔 섹션에 기 할당된 스캔 쉬프트 주파수를 사용하여 IC 칩을 검사할 때의 소모 전력이 IC 칩의 정상 동작이 가능한 임계 소모 전력(power consumption limit)을 넘지 않으면, 기 할당된 스캔 쉬프트 주파수를 사용한다. 반면에 스캔 섹션에 기 할당된 스캔 쉬프트 주파수를 사용할 때의 소모 전력이 임계 소모 전력을 넘으면 해당 스캔 섹션에 대해 쉬프트 주파수의 최적화를 수행한다. 즉, 소모 전력을 기초로 선별적으로 선택된 스캔 섹션에 대해서만 쉬프트 주파수 최적화를 수행하여 쉬프트 주파수를 찾거나 결정하는데 소요되는 시간을 줄일 수 있다. 쉬프트 주파수 최적화는 도 7 내지 도 12에서 살펴본 방법 등을 이용할 수 있다. 상기 임계 전력 소모 기반의 방법은 스캔 섹션의 전력 소모의 측정 또는 추정 과정에 소요되는 시간이 쉬프트 주파수 증감에 의한 쉬프트 주파수 최적화에 소용되는 시간보다 적고, IC 칩의 임계 소모 전력 이하 또는 미만의 전력 소모를 하는 스캔 섹션으로 IC 칩을 정상적으로 테스트 할 수 있는 경우에 효과적일 수 있다. Referring to FIG. 14, when the power consumption when the IC chip is inspected using the scan shift frequency pre-allocated in the scan section does not exceed the power consumption limit for the normal operation of the IC chip, the power consumption is pre-allocated. Use the scan shift frequency. On the other hand, if the power consumption when using the scan shift frequency pre-assigned to the scan section exceeds the threshold power consumption, the shift frequency is optimized for the corresponding scan section. That is, the shift frequency optimization may be performed only on the scan section selectively selected based on the power consumption to reduce the time required to find or determine the shift frequency. The shift frequency optimization may use the method described above with reference to FIGS. 7 to 12. In the threshold power consumption-based method, the time required for measuring or estimating the power consumption of the scan section is less than the time required for shift frequency optimization by shift frequency increase and decrease, and consumes power below or below the threshold power consumption of the IC chip. This can be effective if you can test the IC chip normally with a scan section.
또한 IC 칩의 테스트 시간을 더욱 줄이기 위하여, 임계 소모 전력 이하인 스캔 섹션에 대해서도 쉬프트 주파수 최적화를 수행할 수 있다. 일 예를 들어, 소모 전력이 일정 기준 이하인 스캔 섹션에 대해서 추가적으로 쉬프트 주파수 최적화를 수행할 수도 있다. To further reduce the test time of the IC chip, shift frequency optimization can also be performed on scan sections below the threshold power consumption. For example, shift frequency optimization may be additionally performed on a scan section in which power consumption is less than or equal to a predetermined reference.
다시 도 14를 참조하면, 스캔 섹션들을 초기 쉬프트 주파수로 쉬프트할 때 평균 소모 전력이 기 설정된 임계값 초과 또는 이상인 제1 스캔 섹션 그룹과 임계값 이하 또는 미만인 제2 스캔 섹션 그룹으로 구분한다. 여기서 초기 쉬프트 주파수는 명목 쉬프트 주파수 이상의 쉬프트 주파수가 바람직하며, 스캔 패턴 정보 또는 스캔 섹션 정보 데이터에 기 설정되어 있는 쉬프트 주파수이거나 경험치 또는 이전의 여러 실험 데이터를 통해 파악된 쉬프트 주파수를 기준으로 스캔 테스트 시간 최소화 장치에 사용자가 설정하거나 프로그램에 의해 자동으로 설정되는 값일 수도 있다. 예를 들면, 스캔 섹션들에 의해 소모되는 평균 소모 전력이 IC 칩이 허용 가능한 평균 소모 전력에 근접하게 하는 단일 쉬프트 주파수를 사용하거나 또는 적어도 둘 이상의 스캔 섹션에 대해 서로 다른 쉬프트 주파수를 초기 쉬프트 주파수로 사용할 수도 있다. 또한 임계 소모 전력은 IC 칩이 허용 가능한 평균 전력, 피크(peak) 전력 또는 이보다 크거나 작은 값이 될 수 있으며, 실시 예에 따라 다양한 값이 적용 가능하다. Referring back to FIG. 14, when the scan sections are shifted to the initial shift frequency, the average power consumption is divided into a first scan section group having a value above or above a predetermined threshold and a second scan section group having a value below or below a threshold. Here, the initial shift frequency is preferably a shift frequency equal to or greater than the nominal shift frequency, and the scan test time based on the shift frequency set in the scan pattern information or the scan section information data or the shift frequency determined through the empirical value or various previous experimental data. It may be a value set by the user in the minimization device or set automatically by a program. For example, use a single shift frequency such that the average power dissipated by the scan sections is close to the average power dissipation allowed by the IC chip, or use a different shift frequency as the initial shift frequency for at least two or more scan sections. Can also be used. In addition, the threshold power consumption may be an average power, a peak power, or a value larger or smaller than the allowable value of the IC chip, and various values may be applied according to embodiments.
도 14를 참조하면, 스캔 테스트 시간 최소화 장치는 임계값 이하 또는 미만인 스캔 섹션들에 대해서는 초기 쉬프트 주파수를 그대로 할당한다. 예를 들어, 도 14에서 평균 소모 전력이 임계값 미만인 스캔섹션1, 스캔섹션3은 모두 서로 동일 하거나 서로 다른 초기 쉬프트 주파수를 할당받을 수 있다. 즉 평균 소모 전력이 임계값 이하 또는 미만인 스캔섹션들에 대해서는 도 7 내지 도 12와 같은 최적의 쉬프트 주파수를 찾는 과정을 생략함으로써 스캔 섹션별 쉬프트 주파수를 찾기 위한 시간을 절약할 수 있다. Referring to FIG. 14, the scan test time minimization apparatus allocates an initial shift frequency as it is to scan sections that are less than or less than a threshold. For example, in FIG. 14, scan sections 1 and 3 that have an average power consumption less than a threshold value may all be allocated the same or different initial shift frequencies. That is, for scan sections having an average power consumption of less than or equal to a threshold value, the process of searching for an optimal shift frequency as illustrated in FIGS. 7 to 12 may be omitted, thereby saving time for searching for a shift frequency for each scan section.
반면, 도 14에서 평균 소모 전력이 임계 소모 전력 초과 또는 이상인 스캔섹션2, 스캔섹션4, 스캔섹션5는 쉬프트 주파수 증감을 통해 쉬프트 주파수를 할당받는다. 이때 전술한 본 발명의 방법을 사용할 수 있으며, 일 실시 예로 도 7 내지 도 12가 있다. On the other hand, in FIG. 14, scan sections 2, 4, and 5 that have average power consumptions above or above the threshold power consumption are allocated shift frequencies through shift frequency increases and decreases. In this case, the above-described method of the present invention can be used, and examples are FIGS. 7 to 12.
스캔 섹션별 할당받는 쉬프트 주파수는 서로 같을 수도 다를 수도 있다. 만약 초기 쉬프트 주파수가 높거나 임계 소모 전력이 낮은 경우 모든 스캔 섹션이 임계 소모 전력을 초과할 수 있으며, 이 경우 스캔 테스트 시간 최소화 장치는 모든 스캔 섹션에 대해 쉬프트 주파수 증감을 통한 최적의 쉬프트 주파수를 찾는 과정을 수행할 수 있다. The shift frequencies allocated to each scan section may be the same or different. If the initial shift frequency is high or the threshold power consumption is low, all scan sections may exceed the threshold power consumption, in which case the scan test time minimizer will find the optimal shift frequency by shifting the frequency up or down for all scan sections. The process can be performed.
또 다른 예로, 도 14의 세로 축의 값으로 평균 소모 전력이 아닌 피크(peak) 전력 소모를 사용하고, 피크 전력 소모의 크기를 기초로 쉬프트 주파수 증감을 통한 최적의 쉬프트 주파수를 찾기 위한 방법을 수행할 스캔섹션과 그렇지 않은 스캔섹션을 구분할 수 있다. 쉬프트 주파수 증감을 통한 스캔 섹션의 쉬프트 주파수 최적화 방법은 전술한 본 발명의 방법을 사용할 수 있으며, 일 실시 예로 도 7 내지 도 12가 있다. As another example, a method of using peak power consumption instead of average power consumption as a value of the vertical axis of FIG. 14 and finding an optimal shift frequency through shift frequency increase and decrease based on the magnitude of the peak power consumption will be performed. Scan sections can be distinguished from scan sections that are not. The shift frequency optimization method of the scan section using the shift frequency increase and decrease may use the above-described method of the present invention.
도 15는 본 발명에 따른 스캔 테스트 시간 최소화 방법의 다른 일 예를 도시한 흐름도이다.15 is a flowchart illustrating another example of a method for minimizing scan test time according to the present invention.
도 15를 참조하면, 스캔 테스트 시간 최소화 장치는 스캔 패턴을 적어도 하나 이상의 스캔 섹션으로 분할한다(S1500). 분할하는 단계(S1500)는 스캔 테스트 시간 최소화 장치뿐만 아니라 컴퓨터 등의 별도 장치에서 수행될 수도 있다. Referring to FIG. 15, the scan test time minimizing apparatus divides the scan pattern into at least one scan section (S1500). The dividing step S1500 may be performed in a separate device such as a computer as well as a scan test time minimizing device.
스캔 테스트 시간 최소화 장치는 기 설정된 초기 쉬프트 주파수로 스캔 섹션을 쉬프팅할 때의 소모 전력을 각각의 스캔 섹션에 대해 측정 또는 산출한다(S1510). 산출하는 단계(S1510)는 스캔 테스트 시간 최소화 장치뿐만 아니라 전력 소모 측정 장치나 컴퓨터 등의 별도 장치에서 수행될 수 있다. 도 15에서, 소모 전력은 예를 들어 평균 소모 전력 또는 피크 소모 전력이 될 수 있다. The scan test time minimization apparatus measures or calculates power consumption for each scan section when the scan section is shifted to a preset initial shift frequency (S1510). The calculating step S1510 may be performed by a separate device such as a power consumption measuring device or a computer as well as a scan test time minimizing device. In FIG. 15, power consumption may be, for example, average power consumption or peak power consumption.
스캔 섹션의 소모 전력이 기 설정된 임계값 미만 또는 이하인 경우(S1520), 스캔 테스트 시간 최소화 장치는 초기 쉬프트 주파수 이하 또는 초기 쉬프트 주파수를 조정한 쉬프트 주파수를 해당 스캔 섹션의 쉬프트 주파수로 할당한다(S1560). When the power consumption of the scan section is less than or less than the preset threshold (S1520), the scan test time minimizing apparatus allocates a shift frequency that is less than or equal to the initial shift frequency or adjusts the initial shift frequency as the shift frequency of the corresponding scan section (S1560). .
반면 스캔 섹션의 소모 전력이 임계값 이상 또는 초과인 경우(S1520), 스캔 테스트 시간 최소화 장치는 해당 스캔 섹션에 대한 최적의 쉬프트 주파수를 찾는 과정을 수행한다(S1530 ~ S1550). On the other hand, if the power consumption of the scan section is greater than or greater than the threshold (S1520), the scan test time minimization apparatus performs a process of finding an optimal shift frequency for the scan section (S1530 to S1550).
이와 같이 각 스캔 섹션별로 소모 전력이 임계값 이상 또는 초과이면 최적의 쉬프트 주파수를 찾는 과정을 추가 수행하고, 임계값 미만 또는 이하이면 별도의 추가적인 쉬프트 주파수 검색 과정없이 초기 쉬프트 주파수 이하 또는 상기 초기 쉬프트 주파수를 조정한 쉬프트 주파수를 해당 스캔 섹션의 쉬프트 주파수로 결정할 수 있다.As such, if the power consumption of each scan section is above or above a threshold, the process of finding an optimal shift frequency is additionally performed. If the power consumption is below or below a threshold, an additional shift frequency is searched for an additional shift frequency below or below the initial shift frequency. The shift frequency adjusted by may be determined as the shift frequency of the corresponding scan section.
도 15를 참조하여 최적의 쉬프트 주파수를 찾는 방법을 보다 구체적으로 살펴보면, 스캔 테스트 시간 최소화 장치는 쉬프트 주파수를 증감한다(S1530). 여기서 증감되는 쉬프트 주파수의 초기값은 명목 쉬프트 주파수이거나 스캔 패턴 정보 또는 스캔 섹션 정보 데이터에 기 설정된 쉬프트 주파수이거나 스캔 테스트 시간 최소화 장치에 사용자가 기 설정한 값이거나 프로그램에 의해 자동으로 설정된 값일 수 있다. Looking at the method for finding the optimal shift frequency in more detail with reference to Figure 15, the scan test time minimizing device increases and decreases the shift frequency (S1530). The initial value of the shift frequency increased or decreased may be a nominal shift frequency, a shift frequency preset in scan pattern information or scan section information data, a value preset by a user in the scan test time minimization apparatus, or automatically set by a program.
스캔 테스트 시간 최소화 장치는 증감된 쉬프트 주파수로 스캔 섹션을 스캔 체인에 쉬프트 가능한지 출력 패턴과 예측 패턴의 동일 여부로 판단한다(S1540). 출력 패턴과 예측 패턴의 동일성 판단은 도 7에서 설명한 방법으로 수행될 수 있다. 예를 들어 도 7을 참조하면, 현재 k 번째 스캔 섹션에 대한 최적의 쉬프트 주파수를 찾고가 하는 경우에, 스캔 테스트 시간 최소화 장치는 k-1번째 스캔 패턴에 대한 출력 패턴과 k 번째 스캔 섹션을 포함하는 k번째 스캔 패턴에 대한 출력 패턴을 각각의 예측 패턴과 비교하여 정상적인 쉬프트가 가능한지 판단한다. The apparatus for minimizing the scan test time determines whether the scan section is shiftable in the scan chain based on the increased or decreased shift frequency (S1540). The determination of the identity of the output pattern and the prediction pattern may be performed by the method described with reference to FIG. 7. For example, referring to FIG. 7, in the case of finding an optimal shift frequency for the current k th scan section, the scan test time minimization apparatus includes an output pattern and a k th scan section for the k-1 th scan pattern. The output pattern for the k th scan pattern is compared with each prediction pattern to determine whether normal shift is possible.
출력 패턴과 예측 패턴이 동일하면(S1540), 쉬프트 주파수를 증감하는 단계(S1530)로 이동하여 위의 과정(S1530,S1540)을 반복한다. 출력 패턴과 예측 패턴이 상이하면(S1540), 스캔 테스트 시간 최소화 장치는 증감되기 이전의 쉬프트 주파수 이하를 스캔 섹션의 쉬프트 주파수로 결정한다(S1550). 스캔 테스트 시간 최소화 장치는 상기 출력 패턴과 예측 패턴이 상이해지기 이전, 즉 허용 가능한 최대 쉬프트 주파수를 해당 스캔 섹션의 최적의 쉬프트 주파수로 결정하거나 이보다 낮은 쉬프트 주파수를 최적의 쉬프트 주파수로 결정할 수 있다. If the output pattern and the prediction pattern are the same (S1540), the process proceeds to step S1530 of increasing or decreasing the shift frequency and repeats the above steps (S1530, S1540). If the output pattern and the prediction pattern are different (S1540), the scan test time minimization apparatus determines the shift frequency of the scan section below the shift frequency before increasing or decreasing (S1550). The scan test time minimization apparatus may determine the maximum allowable shift frequency as the optimal shift frequency of the corresponding scan section or the lower shift frequency as the optimal shift frequency before the output pattern and the prediction pattern are different.
도 14 및 도 15의 일부 단계는 스캔 테스트 시간 최소화 장치뿐만 아니라 컴퓨터 등의 별도의 장치에서 수행될 수도 있다. Some steps of FIGS. 14 and 15 may be performed in a separate device such as a computer as well as a scan test time minimization device.
도 16은 본 발명에 따른 스캔 테스트 시간 최소화 장치의 다른 일 예를 도시한 도면이다.16 illustrates another example of an apparatus for minimizing scan test time according to the present invention.
도 16을 참조하면, 스캔 테스트 시간 최소화 장치는 패턴 분할부(1600), 전력 검출부(1610), 제1 주파수 파악부(1620) 및 제2 주파수 파악부(1630)를 포함한다. 또한 제2 주파수 파악부(1630)는 주파수 증감부(1632), 패턴 비교부(1634) 및 파악부(1636)를 포함한다. Referring to FIG. 16, an apparatus for minimizing scan test time includes a pattern divider 1600, a power detector 1610, a first frequency determiner 1620, and a second frequency determiner 1630. In addition, the second frequency grasping unit 1630 includes a frequency increasing / decreasing unit 1632, a pattern comparing unit 1634, and a grasping unit 1634.
패턴 분할부(1600)는 하나 이상의 스캔 패턴들을 적어도 둘 이상의 스캔 섹션으로 분할한다. The pattern divider 1600 divides one or more scan patterns into at least two scan sections.
전력 검출부(1610)는 기 설정된 쉬프트 주파수로 스캔 섹션을 스캔 체인에 쉬프팅할 때의 소모 전력을 검출한다. 예를 들어, 전력 검출부(1610)는 초기 쉬프트 주파수로 스캔 섹션을 스캔 체인에 로드 또는 언로드 하기 위해 쉬프트하는 동안의 평균 소모 전력 또는 피크 소모 전력을 검출한다. The power detector 1610 detects power consumption when the scan section is shifted in the scan chain at a preset shift frequency. For example, the power detector 1610 detects average power consumption or peak power consumption during the shift to load or unload the scan section to the scan chain at the initial shift frequency.
제1 주파수 파악부(1620)는 소모 전력이 기 설정된 임계값 미만 또는 이하인 스캔 섹션들에 대해서는 초기 쉬프트 주파수 이하 또는 초기 쉬프트 주파수를 조정한 쉬프트 주파수를 해당 섹션들에 대한 스캔 쉬프트 주파수로 파악하거나 결정한다. 상기 소모 전력은 평균 또는 피크 소모 전력일 수 있다.The first frequency determiner 1620 may determine or determine a shift frequency that is less than or equal to the initial shift frequency or adjusts the initial shift frequency as scan scan frequencies for the sections for the scan sections whose power consumption is less than or less than a preset threshold. do. The power consumption may be average or peak power consumption.
제2 주파수 파악부(1630)는 소모 전력이 임계값 이상 또는 초과인 스캔 섹션들에 대해서 최적의 쉬프트 주파수를 찾는 과정을 수행한다. 여기서, 소모 전력은 평균 또는 피크 소모 전력일 수 있다.The second frequency grading unit 1630 performs a process of finding an optimal shift frequency for scan sections whose power consumption is greater than or greater than a threshold. Here, the power consumption may be average or peak power consumption.
구체적으로, 주파수 증감부(1632)는 소모 전력이 임계값 이상 또는 초과인 스캔 섹션들 중 아직 최적의 쉬프트 주파수가 파악되거나 결정되지 아니한 스캔 섹션을 선택하고, 선택된 스캔 섹션에 대한 최적의 쉬프트 주파수를 찾기 위하여 쉬프트 주파수를 증감한다. 여기서 증감되는 쉬프트 주파수의 초기값은 명목 쉬프트 주파수 등이 될 수 있다.In detail, the frequency increasing / decreasing unit 1632 selects a scan section of which scan power whose power consumption is above or above a threshold is not yet determined or determined, and selects an optimal shift frequency for the selected scan section. Increase or decrease the shift frequency to find. The initial value of the shift frequency increased or decreased may be a nominal shift frequency.
패턴 비교부(1634)는 주파수 증감부(1632)에 의해 증감되는 쉬프트 주파수로 스캔 섹션이 스캔 체인에 정상적으로 쉬프트-인이 되는지를 파악한다. 정상적인 쉬프트-인이 되는지를 파악하기 위하여 전술한 본 발명의 방법을 사용할 수 있으며, 예를 들어, 출력 패턴과 예측 패턴을 비교하는 도 7의 방법을 적용할 수 있다. 즉 패턴 비교부(1634)는 증감된 쉬프트 주파수로 스캔 섹션을 쉬프트할 때 출력 패턴과 예측 패턴이 서로 동일한지 비교하고, 비교 결과 정보를 컴퓨터로 읽을 수 있는 기록매체에 저장할 수 있다. 상기 비교 결과가 동일하면 정상적인 쉬프트가 가능하다고 판단하고, 상이하면 정상적인 쉬프트가 불가능하다고 판단할 수 있다. The pattern comparison unit 1634 determines whether the scan section normally shifts in to the scan chain at the shift frequency increased or decreased by the frequency increase / decrease unit 1632. The above-described method of the present invention may be used to determine whether a normal shift-in is achieved. For example, the method of FIG. 7 may be applied to compare an output pattern and a prediction pattern. That is, the pattern comparison unit 1634 compares whether the output pattern and the prediction pattern are the same when shifting the scan section with the increased or decreased shift frequency, and stores the comparison result information in a computer-readable recording medium. If the comparison result is the same, it is determined that the normal shift is possible, and if it is different, it may be determined that the normal shift is impossible.
파악부(1636)는 패턴 비교부(1634)의 판단결과를 통해 정상적인 쉬프트가 가능한 최대 쉬프트 주파수를 파악하거나, 최적 쉬프트 주파수 결정을 위한 정보를 컴퓨터로 읽을 수 있는 기록매체에 저장할 수 있다. The grasping unit 1636 may grasp the maximum shift frequency that can be normally shifted based on the determination result of the pattern comparator 1634, or may store information for determining an optimum shift frequency in a computer-readable recording medium.
즉, 파악부(1636)는 패턴 비교부(1634)에 의해 출력 패턴과 예측 패턴이 상이해지는 지점의 쉬프트 주파수가 파악되면, 상기 상이해지기 이전의 쉬프트 주파수, 즉 증감되기 이전의 쉬프트 주파수 이하를 선택된 스캔 섹션의 최대 쉬프트 주파수로 파악하거나, 쉬프트 주파수를 결정할 수 있는 정보를 컴퓨터로 읽을 수 있는 기록매체에 저장할 수 있다. 기록매체에 저장된 정보를 이용하여 스캔 섹션의 최적 쉬프트 주파수를 파악하거나 결정할 수도 있다. That is, when the grasp 1636 grasps the shift frequency at the point where the output pattern and the prediction pattern are different by the pattern comparator 1634, the grasp 1636 determines the shift frequency before the difference, that is, the shift frequency before or after the increase or decrease. The maximum shift frequency of the selected scan section may be determined, or information for determining the shift frequency may be stored in a computer-readable recording medium. Information stored on the recording medium may be used to determine or determine the optimum shift frequency of the scan section.
앞서 살핀 스캔 테스트 시간 최소화 장치는 하드웨어 또는 소프트웨어 등을 사용하여 다양한 형태로 구현될 수 있으며, 또한 스캔 테스트 시간 최소화 장치 전체 또는 일부는 도 2,3에서 살핀 스캔 테스트 장치 내에 구현되거나 또는 컴퓨터와 같은 별도의 다른 장치로 구현될 수도 있다.The salping scan test time minimizing device may be implemented in various forms using hardware or software. In addition, all or some of the scan test time minimizing devices may be implemented in the salping scan test device in FIGS. May be implemented in other devices.
도 14 내지 도 16에서 살펴본 각 실시 예는, 소모 전력을 기초로 최적의 쉬프트 주파수를 찾는 방법 및 장치에 대해 개시하고 있다. 그러나 해당 실시예는 반드시 소모 전력을 이용하는 것에 한정되는 것은 아니며 소모 전력과 일정한 비례관계에 있는 다른 측정값을 이용하는 경우를 모두 포함한다.Each embodiment described with reference to FIGS. 14 to 16 discloses a method and apparatus for finding an optimal shift frequency based on power consumption. However, the embodiment is not necessarily limited to the use of power consumption, and includes all cases of using other measurement values having a constant proportionality with power consumption.
예를 들어, 소모 전력은 소모 전류와 비례 관계에 있으므로, 소모 전류를 기초로 최적의 쉬프트 주파수를 찾을 수 있다. For example, since the power consumption is proportional to the current consumption, an optimal shift frequency can be found based on the current consumption.
도 16의 실시 예를 소모 전류의 관점에서 다시 살펴보면, 전력 검출부(1610)는 소모 전류(평균 소모 전류 또는 피크 소모 전류)를 검출하고, 제1 주파수 파악부(1620) 및 제2 주파수 파악부(1630)는 소모 전력 대신에 소모 전류와 소모 전류 임계값을 이용하여 스캔 섹션별 최적의 쉬프트 주파수를 찾거나 및 결정할 수 있다. 이외의 다른 실시 예도 소모 전력 대신에 소모 전류와 소모 전류 임계값을 이용할 수 있다. Referring back to the embodiment of FIG. 16 in terms of power consumption, the power detector 1610 detects power consumption (average current consumption or peak current consumption), and detects the first frequency detector 1620 and the second frequency detector ( 1630 may find and determine an optimal shift frequency for each scan section by using the current consumption and the current consumption threshold instead of power consumption. Other embodiments may use the current consumption and current consumption thresholds instead of power consumption.
도 17은 본 발명에 따른 스캔 테스트 시간 최소화를 위한 스캔 패턴의 재배치 방법의 일 예를 도시한 도면이다.17 is a diagram illustrating an example of a method of repositioning a scan pattern for minimizing scan test time according to the present invention.
도 17을 참조하면, 스캔 테스트를 위한 스캔 패턴 집합상의 스캔 패턴은 일정한 순서를 가진다. 그러나 이러한 스캔 패턴의 순서는 고정적인 것이 아니라 스캔 섹션별로 보다 높은 쉬프트 주파수를 할당하여 전체 스캔 테스트 시간을 줄이기 위하여 재배치될 수 있다. 예를 들어, 도 17에서와 같이 원본 스캔 패턴 집합상의 2번째 스캔 패턴과 3번째 스캔 패턴의 순서를 바꿀 수 있다. 이에 따라 예측 출력 스캔 패턴의 순서도 바뀐다. Referring to FIG. 17, the scan patterns on the scan pattern set for the scan test have a certain order. However, the order of these scan patterns is not fixed but can be rearranged to reduce the overall scan test time by assigning higher shift frequencies for each scan section. For example, as shown in FIG. 17, the order of the second scan pattern and the third scan pattern on the original scan pattern set may be changed. This also changes the order of the predictive output scan pattern.
스캔 체인에 쉬프트되는 스캔 패턴들의 순서를 재배치하는 경우, 스캔 쉬프트에 의해 IC 칩 상에서 회로의 스위칭되는 부분 및 스위칭 동작 횟수가 변경될 수 있으며, 이에 따라 전력 소모가 변경되므로 스캔 패턴(또는 스캔 섹션)에 할당할 수 있는 쉬프트 주파수가 높아질 수 있다. 따라서 이러한 성질을 이용하여 스캔 패턴 재배치 후 앞서 살핀 본 발명의 실시 예를 이용하여 스캔 섹션별 최적의 쉬프트 주파수를 찾거나 결정하여 전체적인 스캔 테스트 시간을 더욱 줄일 수 있다. When rearranging the order of the scan patterns shifted in the scan chain, the scan shift may change the switched portion of the circuit and the number of switching operations on the IC chip, and thus the power consumption is changed so that the scan pattern (or scan section) The shift frequency that can be assigned to the channel can be increased. Therefore, after rearranging the scan pattern using this property, the overall scan test time can be further reduced by finding or determining the optimal shift frequency for each scan section by using the embodiment of the present invention which is previously described.
스캔 패턴들의 재배치 방법으로, 한 번 이상 원본 스캔 패턴 집합상의 스캔 패턴들을 임의 재배치하고, 각각의 재배치된 스캔 패턴 집합에 대해 앞서 살핀 실시 예에 따라 최적의 쉬프트 주파수를 파악하여 스캔 테스트 시간이 가장 적게 소요되는 것을 스캔 패턴의 배치로 결정하거나, 스캔 패턴간 비트 패턴 차이가 가장 적은 스캔 패턴을 서로 이웃하게 배치하는 등 다양한 방법이 있다. As a repositioning method of scan patterns, at least one scan pattern on an original scan pattern set is randomly rearranged, and an optimal shift frequency is identified for each relocated scan pattern set according to a prior embodiment, so that scan test time is minimal. There are a variety of methods such as determining what is required as the arrangement of the scan patterns, or arranging the scan patterns having the smallest bit pattern difference between the scan patterns next to each other.
스캔 패턴 재배치의 또 다른 예로서, K(1 이상 정수) 번째 스캔 패턴 다음에 순서가 결정되지 아니한 스캔 패턴들을 순차적으로 위치시키면서 앞서 살핀 최적의 쉬프트 주파수를 찾는 방법을 통해 가장 높은 쉬프트 주파수를 가질 수 있는 스캔 패턴을 K 번째 스캔 패턴의 다음 패턴으로 결정할 수 있다. As another example of repositioning the scan pattern, it is possible to have the highest shift frequency by sequentially looking for the optimal shift frequency by first placing the unordered scan patterns sequentially after the K (integer one or more) th scan pattern. The scan pattern can be determined as the next pattern of the Kth scan pattern.
스캔 패턴의 순서를 재배치하는 동작의 일부 또는 전체는 IC 칩 테스트 장치에 구비된 프로세서와 같은 하드웨어와 펌웨어 또는 소프트웨어에 의해 수행되거나 또는 컴퓨터와 같은 별도의 다른 장치에서 수행될 수 있다.Some or all of the operations for rearranging the order of the scan patterns may be performed by hardware and firmware or software such as a processor included in the IC chip test apparatus, or may be performed by a separate device such as a computer.
또한 최적의 스캔 패턴 배치를 찾는데 있어서 많은 시간이 소요될 수 있는 경우, 최적의 스캔 패턴 배치를 찾기 위해 최대 시도할 수 있는 스캔 패턴 재배치 횟수 또는 소요 시간 등의 제약사항을 둘 수 있다. In addition, when it may take a long time to find the optimal scan pattern arrangement, there may be constraints such as the maximum number of scan pattern relocation or the time required to find the optimal scan pattern arrangement.
또한, 본 발명에서는 각각의 스캔 패턴 또는 스캔 섹션에 최적의 쉬프트 주파수를 이용하여 IC 칩의 스트레스 테스트 또는 번인(burn-in) 테스트 시간 감소와 테스트 품질을 높일 수 있으며, 상기 각 스캔 패턴 또는 스캔 섹션에 대한 최적의 쉬프트 주파수는 본 발명에 따른 스캔 테스트 시간 최소화 방법으로 찾을 수 있다. In addition, the present invention can reduce the stress test or burn-in test time and increase the test quality of the IC chip by using the optimum shift frequency for each scan pattern or scan section. The optimal shift frequency for can be found by the method of minimizing scan test time according to the present invention.
여기서 스트레스 테스트 또는 번인 테스트란 일반적으로 IC 칩을 오랜 시간 동안 동작시켜 IC 칩에 스트레스를 주거나 높은 전압과 고온을 IC 칩에 가하여 노후화(aging)를 가속시킴으로써 IC 칩의 품질을 테스트하거나 초기 불량 IC 칩을 발견하는 것이다. 일반적으로 100℃가 넘는 고온 환경에서 수십 시간 이상을 번인 테스트한다. 이하 스트레스 테스트 또는 번인 테스트를 통칭하여 번인 테스트라고 한다. The stress test or burn-in test here generally refers to IC chip quality by stressing the IC chip by operating the IC chip for a long time or by accelerating aging by applying high voltage and high temperature to the IC chip, or testing an initial bad IC chip. To discover. Typically tens of hours of burn-in tests are conducted in high temperature environments above 100 ° C. Hereinafter, the stress test or burn-in test is collectively referred to as burn-in test.
예를 들어, 스캔 테스트 시간 최소화 장치는 IC 칩의 번인 테스트 동안 스캔 패턴을 이용하여 스캔 테스트를 수행할 수 있다. IC 칩의 기능 모드보다 스캔 모드에서 보다 많은 회로 스위칭 동작이 발생하며, 스캔 쉬프트 주파수가 높아지면 IC 칩의 전력 소모 또한 이에 비례하여 많아지고, IC 칩의 발열 또한 높아지게 되므로, IC 칩의 노후화가 더욱 가속될 수 있다. 또한 스캔 패턴의 비트 패턴에 따라 활성화되는 회로 부분이 달라질 수 있으며, 일반적으로 IC 칩의 스캔 모드에서는 기능 모드 때보다 회로의 더욱 많은 부분에 스위칭 동작을 일으킬 수 있다. 따라서 본 발명에서 스캔 테스트 시간 최소화 장치는 번인 테스트 시 노후화를 더욱 가속시켜 번인 테스트 시간을 줄일 수 있도록 앞서 살핀 각 스캔 섹션에 할당 가능한 최대 쉬프트 주파수를 사용할 수 있다. For example, the scan test time minimization apparatus may perform a scan test using a scan pattern during the burn-in test of the IC chip. More circuit switching operation occurs in the scan mode than in the IC chip's functional mode.As the scan shift frequency increases, the power consumption of the IC chip increases in proportion, and the heat generation of the IC chip also increases. Can be accelerated. In addition, depending on the bit pattern of the scan pattern, the portion of the circuit to be activated may vary, and in general, in the scan mode of the IC chip, switching operations may be performed on more portions of the circuit than in the functional mode. Therefore, in the present invention, the scan test time minimization apparatus may use the maximum shift frequency that can be assigned to each scan section previously previewed to further reduce the burn-in test time by further accelerating aging during the burn-in test.
또한 각 스캔 섹션에 할당 가능한 최대 쉬프트 주파수를 사용하여 번인 테스트를 수행하면 특정 스캔 패턴에 의해 회로상의 특정 부위만 노후화가 빨라지는 현상을 줄일 수 있다. 즉 IC 칩에 대해 전체적으로 균형있게 스트레스를 최대한 인가하여 번인 테스트의 품질 또한 향상시킬 수 있는 효과가 있으며, 스캔 섹션의 비트 패턴 길이를 작게 할수록 그 효과는 더욱 높아질 수 있다. 이러한 번인 테스트를 수행할 수 있는 테스트 장치를 번인 테스트 장치라고도 부른다. In addition, burn-in tests using the maximum shift frequency that can be assigned to each scan section can reduce the likelihood of aging only certain parts of the circuit due to specific scan patterns. In other words, it is possible to improve the quality of the burn-in test by applying the maximum stress to the IC chip as a whole, and the smaller the bit pattern length of the scan section, the higher the effect. A test device capable of performing such a burn-in test is also called a burn-in test device.
도 18 및 도 19는 본 발명에 따른 번인 테스트 장치의 일 실시 예의 구성을 도시한 도면이다.18 and 19 are diagrams showing the configuration of an embodiment of a burn-in test apparatus according to the present invention.
도 18 및 도 19를 참조하면, 번인 테스트 장치는 호스트 컴퓨터(1800,1900), 테스터 본체(1810,1910), 테스트 헤드(1820,1920), 인터페이스 보드(1830,1930), 온도 제어부(1860,1970), 챔버(1850,1960) 및 프로버(1950)를 포함할 수 있다. 18 and 19, the burn-in test apparatus includes a host computer 1800 and 1900, tester bodies 1810 and 1910, test heads 1820 and 1920, interface boards 1830 and 1930, and a temperature controller 1860. 1970), chambers 1850 and 1960, and prober 1950.
테스트를 위해 인터페이스 보드에 위치하는 테스트 대상 디바이스(DUT, Device Under Test)는 웨이퍼 상의 IC 또는 패키징 된 IC 칩 등이다. DUT가 웨이퍼 상의 IC 칩인 경우 프로버를 더 포함할 수 있다. 이하 웨이퍼 상의 IC 칩 또는 패키징된 IC 칩을 통칭하여 IC 칩이라고 한다.The device under test (DUT) placed on the interface board for testing is an IC on the wafer or a packaged IC chip. If the DUT is an IC chip on a wafer, it may further include a prober. Hereinafter, an IC chip or a packaged IC chip on a wafer is collectively called an IC chip.
테스터 본체(1810,1910)는 스캔 테스트와 번인 테스트를 전체적으로 제어한다. 예를 들어, 테스터 본체는 DUT 테스트를 위한 셋업, DUT 테스트를 위한 전기적 신호의 발생, DUT 테스트 결과 신호의 관측 및 측정, 온도 제어부를 통한 챔버의 온도제어 등의 전반적인 과정을 제어한다. 테스터 본체는 중앙처리장치(CPU), 메모리, 하드 디스크, 사용자 인터페이스 등을 포함하는 컴퓨터로 구현될 수 있으며, 실시 예에 따라 DUT에 전원을 공급하는 디바이스 파워 공급장치(Device Power Supply)를 더 포함할 수도 있다. 또한, 테스터 본체는 각종 디지털 신호를 처리하는 신호처리 프로세서(DSP, Digital Signal Processor)(미도시)와 테스트 헤드를 제어하고, DUT(1840,1940)로 신호를 인가하는 제어기 및 신호 생성기 등의 전용 하드웨어, 소프트웨어 또는 펌웨어 등을 포함할 수 있다. 테스터 본체는 메인 프레임 또는 서버라고 불리기도 한다.The tester bodies 1810 and 1910 control the scan test and burn-in test as a whole. For example, the tester body controls the overall process of setting up for the DUT test, generating an electrical signal for the DUT test, observing and measuring the DUT test result signal, and controlling the temperature of the chamber through the temperature control unit. The tester body may be implemented as a computer including a central processing unit (CPU), a memory, a hard disk, a user interface, and the like, and further include a device power supply for supplying power to the DUT according to an embodiment. You may. In addition, the tester main body controls a signal processing processor (DSP) (not shown) for processing various digital signals, a test head, and a controller and a signal generator for applying signals to the DUTs 1840 and 1940. Hardware, software or firmware. The tester body is also called the mainframe or server.
호스트 컴퓨터(1800,1900)는 워크스테이션 등과 같은 컴퓨터일 수 있으며, 사용자가 테스트 프로그램을 실행시키고 테스트 과정을 제어하며 테스트 결과를 분석할 수 있도록 하는 장치이다. 일반적으로 호스트 컴퓨터는 중앙 처리장치, 메모리 또는 하드 디스크와 같은 저장장치, 사용자 인터페이스 등과 같은 구성을 포함할 수 있으며, 테스터 본체와 유선 또는 무선 통신으로 연결될 수 있다. 호스트 컴퓨터는 테스트를 제어하기 위한 전용 하드웨어, 소프트웨어, 펌웨어 등을 포함할 수 있다. 본 실시 예는 호스트 컴퓨터와 테스터 본체를 구분하여 도시하였으나, 호스트 컴퓨터와 테스터 본체는 하나의 장치로 구현될 수 있다. The host computers 1800 and 1900 may be computers, such as workstations, and are devices that allow a user to execute a test program, control a test process, and analyze test results. In general, the host computer may include a configuration such as a central processing unit, a storage device such as a memory or a hard disk, a user interface, and the like, and may be connected to the tester main body by wire or wireless communication. The host computer may include dedicated hardware, software, firmware, and the like for controlling the test. In the present embodiment, the host computer and the tester main body are illustrated separately, but the host computer and the tester main body may be implemented as a single device.
테스터 본체 또는 호스트 컴퓨터의 메모리의 일 예로 DRAM, SRAM, 플래쉬 메모리 등이 사용될 수 있으며, 메모리에는 DUT 테스트를 수행하기 위한 프로그램과 데이터가 저장될 수 있다.As an example of memory of the tester main body or the host computer, DRAM, SRAM, flash memory, and the like may be used, and the memory and the program and data for performing the DUT test may be stored.
테스터 본체 또는 호스트 컴퓨터의 소프트웨어 또는 펌웨어는 번인 테스트와 스캔 테스트를 위한 디바이스 드라이버 프로그램, 운영체제(OS, Operating System) 프로그램, DUT 테스트를 수행하는 프로그램으로써, DUT 테스트를 위한 셋업, DUT 테스트를 위한 신호의 발생, DUT 테스트 결과 신호의 관측 분석 등의 수행을 위한 명령 코드(instruction code) 형태로 메모리에 저장되어 중앙 처리장치에 의해 수행될 수 있다. 따라서 스캔 테스트 패턴은 이러한 프로그램에 의해 DUT로 인가될 수 있다. 또한 DUT 테스트 및 테스트 결과에 대한 리포팅 및 분석 데이터를 프로그램을 통해 자동 수행하여 얻을 수 있다. 프로그램에 사용되는 언어는 C, C++, 자바(java) 등 다양한 언어가 사용될 수 있다. 프로그램은 하드디스크, 마그네틱 테이프 또는 플래시 메모리 등과 같은 저장장치에 저장될 수 있다.The software or firmware of the tester main unit or host computer is a device driver program for operating burn-in and scan tests, an operating system (OS) program, and a program for performing DUT tests. It may be stored in a memory in the form of an instruction code for the generation, observation analysis of the DUT test result signal, etc. and may be performed by the central processing unit. Thus, the scan test pattern can be applied to the DUT by this program. In addition, reporting and analysis data for DUT tests and test results can be obtained automatically through the program. The language used in the program may be various languages such as C, C ++, and Java. The program may be stored in a storage device such as a hard disk, magnetic tape or flash memory.
테스터 본체 또는 호스트 컴퓨터의 중앙 처리장치는 프로세서로서, 메모리에 저장된 소프트웨어 또는 프로그램의 코드를 실행한다. 예를 들어, 키보드나 마우스 등과 같은 사용자 인터페이스를 통해 사용자 명령을 받으면, 중앙 처리장치는 사용자의 명령을 분석하고 이를 소프트웨어 또는 프로그램을 통해 수행한 후 그 결과를 스피커, 프린터, 모니터 등의 사용자 인터페이스를 통해 사용자에게 제공한다.The central processing unit of the tester main body or host computer is a processor and executes code of software or programs stored in a memory. For example, when a user command is received through a user interface such as a keyboard or a mouse, the central processing unit analyzes the user's command and executes it through software or a program, and then outputs the result to a user interface such as a speaker, a printer, or a monitor. To the user through.
테스터 본체 또는 호스트 컴퓨터의 사용자 인터페이스는 사용자와 장치 간에 정보를 주고받고 명령을 전달할 수 있도록 해준다. 예를 들어, 키보드, 터치 스크린, 마우스, 음성인식 장치 등과 같은 사용자 입력을 위한 인터페이스 장치와, 스피커, 프린터, 모니터 등과 같은 출력 인터페이스 장치 등이 있다.The user interface of the tester body or host computer allows the user and device to exchange information and communicate commands. For example, an interface device for user input such as a keyboard, a touch screen, a mouse, a voice recognition device, and the like, and an output interface device such as a speaker, a printer, a monitor, and the like.
테스트 헤드(1820,1920)는 테스터 본체와 DUT 사이에 전기적 신호 전송을 위한 채널 등을 포함한다. 테스트 헤드 상부에는 인터페이스 보드가 구비된다. 패키징된 IC 칩 테스트에 사용되는 인터페이스 보드를 일반적으로 로드 보드(load board)라고 하며, 웨이퍼 상의 IC 칩 테스트에 사용되는 인터페이스 보드를 프로브 카드(probe card)라고 한다. The test heads 1820 and 1920 include a channel for transmitting an electrical signal between the tester body and the DUT. The interface board is provided on the test head. The interface board used for testing a packaged IC chip is generally called a load board, and the interface board used for testing an IC chip on a wafer is called a probe card.
챔버(1850,1960)는 DUT에 노후화(aging)를 가할 수 있는 공간이며, 챔버는 온도 제어부의 제어에 따라 챔버 내에 위치한 DUT의 온도를 제어한다. 또한 온도 제어부는 테스터 본체 또는 호스트 컴퓨터에 포함될 수 있다. 또한 테스터 본체 또는 호스트 컴퓨터는 번인 테스트 시간 및 전압을 제어할 수 있다. The chambers 1850 and 1960 are spaces capable of applying aging to the DUT, and the chamber controls the temperature of the DUT located in the chamber under the control of the temperature controller. The temperature controller may also be included in the tester body or host computer. The tester body or host computer can also control burn-in test time and voltage.
도 18 및 도 19의 번인 테스트 장치는 본 발명의 이해를 돕기 위한 하나의 예에 지나지 아니하며 각각의 구성을 통합하여 일체형으로 구현하거나, 하나의 구성을 다수의 구성으로 분리하여 구현할 수 있는 등 실시 예에 따라 다양하게 설계 변경가능하다.The burn-in test apparatus of FIGS. 18 and 19 is only one example for better understanding of the present invention, and each component may be integrally implemented by integrating each component, or one configuration may be separately implemented into a plurality of configurations. Various designs can be changed according to the design.
또한, 도 18 및 도 19에 도시된 실시 예는 번인 테스트와 스캔 테스트를 동시에 수행하거나, 이 중 하나만을 수행하도록 구현될 수도 있다. 18 and 19 may be implemented to simultaneously perform a burn-in test and a scan test, or only one of them.
본 발명의 일 실시 예에 따라, 번인 테스트 장치는 앞서 설명한 바와 같이 각 스캔 패턴 또는 스캔 섹션에 대해 최적의 쉬프트 주파수를 사용하여 스캔 테스트와 함께 번인 테스트를 수행할 수 있다. IC 칩은 기능 모드보다 스캔 모드에서 보다 많은 IC 칩 회로 부분에 스위칭 동작이 발생하므로, 스캔 테스트 수행을 통해 노후화를 더욱 가속시켜 번인 테스트 시간을 절약할 수 있다. 또한 분할된 각 스캔 섹션에 할당 가능한 최대 쉬프트 주파수를 사용하여 번인 테스트를 수행하면 번인 테스트 시간을 더욱 줄일 수 있을 뿐만 아니라, 특정 스캔 패턴에 의해 회로상의 특정 부위만 노후화가 빨라지는 현상 또한 줄일 수 있다. 즉 IC 칩에 대해 전체적으로 균형 있게 스트레스를 최대한 인가하여 번인 테스트의 품질 또한 향상시킬 수 있는 효과가 있으며, 최적화된 쉬프트 주파수를 사용하는 스캔 섹션의 길이를 작게 할수록 그 효과는 더욱 높아질 수 있다. According to an embodiment of the present disclosure, the burn-in test apparatus may perform the burn-in test together with the scan test using the optimal shift frequency for each scan pattern or scan section as described above. The IC chip switches more IC chip circuitry in the scan mode than in the functional mode, thus further reducing the burn-in test time by accelerating aging through scan test. In addition, the burn-in test using the maximum shift frequency that can be assigned to each divided scan section further reduces burn-in test time, and also reduces the aging of only a certain part of the circuit due to a specific scan pattern. . In other words, it is possible to improve the quality of the burn-in test by applying the maximum stress to the IC chip as a whole, and the smaller the length of the scan section using the optimized shift frequency, the higher the effect can be.
또한, 본 발명은 번인 테스트와 함께 스캔 테스트를 동시에 수행하는 경우에 한정되는 것은 아니며, 번인 테스트시 스캔 패턴을 쉬프팅하는 과정만 포함하고 스캔 테스트 그 자체는 수행하지 않을 수도 있다. In addition, the present invention is not limited to the case of simultaneously performing the scan test together with the burn-in test, and may include only the process of shifting the scan pattern during the burn-in test and may not perform the scan test itself.
도 20은 본 발명에 따라, 단일 스캔 쉬프트 주파수를 이용하여 번인 테스트를 수행하는 경우에 IC 칩에 미치는 온도 영향의 일 예를 도시한 도면이다.20 is a diagram illustrating an example of a temperature effect on an IC chip when a burn-in test is performed using a single scan shift frequency according to the present invention.
도 20을 참조하면, 복수 개의 스캔 패턴들은 모두 동일한 스캔 쉬프트 주파수(예를 들어, 25MHz)를 이용하여 IC 칩의 스캔 체인에 쉬프트된다. 각 스캔 패턴에 의해 IC 칩이 활성화되는 주요 부분은 상이할 수 있다. 예를 들어, 스캔 패턴1(2030)에 의해 활성화되는 IC 칩의 주요 부분(2010)과 스캔 패턴2(2032)에 의해 활성화되는 IC 칩의 주요 부분(2020)이 서로 상이할 수 있다. Referring to FIG. 20, a plurality of scan patterns are all shifted to a scan chain of an IC chip using the same scan shift frequency (eg, 25 MHz). The main part where the IC chip is activated by each scan pattern may be different. For example, the main portion 2010 of the IC chip activated by the scan pattern 1 2030 and the main portion 2020 of the IC chip activated by the scan pattern 2 2032 may be different from each other.
또한 각 스캔 패턴에 의해 IC 칩 상의 활성화되는 부분은 스캔 쉬프트 주파수나 스캔 패턴에 따른 회로의 스위칭 횟수 등에 따라 발생하는 열이 다를 수 있다. 예를 들어, 스캔 패턴1에 의해 활성화되는 IC 칩의 주요 부분(2010)의 온도는 a℃이고, 스캔 패턴2에 의해 활성화되는 IC 칩의 주요 부분(2020)의 온도는 b℃일 수 있다. In addition, the heat generated on the IC chip by each scan pattern may vary depending on the scan shift frequency or the number of switching circuits according to the scan pattern. For example, the temperature of the main portion 2010 of the IC chip activated by the scan pattern 1 may be a ° C., and the temperature of the main portion 2020 of the IC chip activated by the scan pattern 2 may be b ° C.
IC 칩에 보다 많은 열을 발생시켜 번인 테스트의 노후화를 가속시키기 위하여 쉬프트 주파수를 높일 수 있다. 그러나 쉬프트 주파수를 과도하게 높이는 경우 정상적인 IC 칩을 불량품으로 판정하는 오버 킬(over kill) 문제점 등이 생길 수 있다. 반대로 쉬프트 주파수를 낮추는 경우 IC 칩에 발생하는 열이 미비하여 번인 테스트의 노후화를 효율적으로 가속시키지 못하는 문제점이 있다.The shift frequency can be increased to generate more heat in the IC chip to accelerate the aging of the burn-in test. However, excessively increasing the shift frequency may cause an overkill problem in which a normal IC chip is judged as defective. On the contrary, when the shift frequency is lowered, heat generated in the IC chip is insufficient, which does not effectively accelerate the aging of the burn-in test.
도 21은 본 발명에 따라, 스캔 패턴별 최적의 주파수를 이용하여 번인 테스트를 수행하는 경우에 IC 칩에 미치는 온도 영향의 일 예를 도시한 도면이며, 도 20과 도 21은 같은 IC 칩과 같은 스캔 패턴을 사용한 예이다. 21 is a view showing an example of the temperature effect on the IC chip when performing the burn-in test using the optimum frequency for each scan pattern, according to the present invention, Figures 20 and 21 are the same IC chip This is an example of using a scan pattern.
도 21을 참조하면, 스캔 패턴별로 최적의 쉬프트 주파수를 이용하여 스캔 체인에 쉬프트함으로써 IC 칩의 번인 테스트 시간을 줄일 수 있다. Referring to FIG. 21, the burn-in test time of the IC chip may be reduced by shifting the scan chain using an optimal shift frequency for each scan pattern.
번인 테스트는 일반적으로 100℃가 넘는 고온 환경에서 수십 시간 이상 수행하므로 번인 테스트 시의 전력 소모는 테스트 비용을 증가시키게 된다. 따라서 번인 테스트 시간을 줄이는 것은 테스트 비용 절감에 있어서 매우 중요하다. 또한 제품의 시간 진입 시간에 있어서도 매우 중요하다. Burn-in tests are typically performed for dozens of hours or more in high-temperature environments above 100 ° C, resulting in increased power consumption during burn-in tests. Therefore, reducing burn-in test time is very important in reducing test cost. It is also very important for the time entry time of the product.
예를 들어, 도 20의 스캔 패턴1(2030)의 최대 가능한 스캔 쉬프트 주파수가 25MHz이고 스캔 패턴2(2032)의 쉬프트 주파수는 더 높일 수 있는 경우, 도 21에서와 같이 스캔 패턴2(2132)의 쉬프트 주파수를 최적화하여 높여 도 20의 온도(b℃)보다 높은 온도(c℃)에 의해 IC 칩의 노후화를 더욱 가속화시킬 수 있다. For example, if the maximum possible scan shift frequency of scan pattern 1 2030 of FIG. 20 is 25 MHz and the shift frequency of scan pattern 2 2032 can be further increased, as shown in FIG. By optimizing and increasing the shift frequency, the aging of the IC chip can be further accelerated by the temperature (c ° C.) higher than the temperature (b ° C.) of FIG. 20.
도 20 및 도 21은 설명의 편의를 위하여 스캔 패턴에 대해 쉬프트 주파수를 할당하여 스캔 체인에 쉬프트하는 경우를 설명하였으나, 도 5 및 도 6과 같이 스캔 패턴을 적어도 둘 이상 스캔 섹션으로 나누어 서로 다른 쉬프트 주파수로 스캔 체인에 쉬프트할 수 있다.20 and 21 illustrate a case in which a shift frequency is assigned to a scan pattern and shifted in a scan chain for convenience of description, but as shown in FIGS. 5 and 6, the scan pattern is divided into at least two scan sections and different shifts are performed. You can shift in the scan chain by frequency.
도 22는 본 발명에 따른 번인 테스트의 시간 최소화를 위하여 각 스캔 섹션별 최적의 쉬프트 주파수를 찾는 방법의 일 예를 도시한 도면이다.FIG. 22 is a diagram illustrating an example of a method of finding an optimal shift frequency for each scan section in order to minimize time of a burn-in test according to the present invention.
도 22를 참조하면, 번인 테스트 시간 최소화 장치는 하나 이상의 스캔 패턴을 적어도 둘 이상의 스캔 섹션으로 분할한다(S2200). 스캔 패턴의 분할의 일 예로 도 5에 도시된 방법을 사용할 수 있다. 번인 테스트 시간 최소화 장치는 복수 개의 쉬프트 주파수를 각 스캔 섹션에 할당한다(S2210). 여기서 각 스캔 섹션에 할당된 쉬프트 주파수의 값은 스캔 체인의 출력 패턴이 예측 패턴과 상이해지는 쉬프트 주파수보다 작은 값이다. 그리고, 번인 테스트 시간 최소화 장치는 각 스캔 섹션별 할당된 쉬프트 주파수를 이용하여 해당 스캔 섹션을 쉬프트하면서 번인 테스트를 수행한다(S2220).Referring to FIG. 22, the burn-in test time minimizing apparatus divides one or more scan patterns into at least two scan sections (S2200). As an example of division of the scan pattern, the method illustrated in FIG. 5 may be used. The burn-in test time minimizing apparatus allocates a plurality of shift frequencies to each scan section (S2210). Here, the value of the shift frequency assigned to each scan section is a value smaller than the shift frequency at which the output pattern of the scan chain is different from the prediction pattern. The burn-in test time minimization apparatus performs the burn-in test while shifting the corresponding scan section by using the shift frequency allocated to each scan section (S2220).
상기 스캔 패턴의 스캔 섹션으로서의 분할(S2200)과 상기 쉬프트 주파수의 스캔 섹션 할당(S2210), 번인 테스트의 수행(S2220) 등은 실시 예에 따라 동일한 장치 또는 서로 다른 장치에서 각각 수행될 수 있다.The division of the scan pattern as the scan section (S2200), the allocation of the scan section of the shift frequency (S2210), the performance of the burn-in test (S2220), and the like may be performed in the same device or different devices, respectively.
번인 테스트 시간 최소화 장치는 쉬프트 주파수의 증감에 따라 출력 패턴과 예측 패턴이 달라지기 바로 이전의 쉬프트 주파수를 해당 스캔 섹션에 할당 가능한 최대 쉬프트 주파수로 파악할 수 있다. 실시 예에 따라 각 스캔 섹션은 쉬프트 주파수의 증감을 통해 파악한 최대 쉬프트 주파수보다 작은 쉬프트 주파수를 할당받을 수도 있다.The burn-in test time minimizer can identify the shift frequency just before the output pattern and the prediction pattern as the shift frequency increases or decreases as the maximum shift frequency that can be assigned to the corresponding scan section. According to an embodiment, each scan section may be assigned a shift frequency smaller than the maximum shift frequency found through the increase and decrease of the shift frequency.
본 발명의 번인 테스트를 위하여 각 스캔 섹션별 최적의 쉬프트 주파수를 찾는 방법으로 앞에서 살핀 여러 실시 예를 이용할 수 있다. 예를 들어, 번인 테스트 시간 최소화 장치는 도 7 내지 도 12에 도시된 방법을 수행하여 각 스캔 섹션별 최적의 쉬프트 주파수를 찾을 수 있다. 또는 번인 테스트 시간 최소화 장치는 도 14 및 도 15에 도시된 방법을 수행하여 각 스캔 섹션별 최적의 쉬프트 주파수를 찾을 수 있다. 또한, 도 17에 도시된 스캔 패턴의 배치 순서를 바꾸는 방법 또한 번인 테스트 시간 감소 및 번인 테스트 품질 향상을 위해 적용할 수 있다. In order to find the optimal shift frequency for each scan section for the burn-in test of the present invention, various embodiments described above may be used. For example, the burn-in test time minimization apparatus may perform the method illustrated in FIGS. 7 to 12 to find an optimal shift frequency for each scan section. Alternatively, the burn-in test time minimization apparatus may find an optimal shift frequency for each scan section by performing the method illustrated in FIGS. 14 and 15. In addition, the method of changing the arrangement order of the scan patterns shown in FIG. 17 may also be applied for reducing burn-in test time and improving burn-in test quality.
도 23은 본 발명에 따른 번인 테스트 시간 최소화 장치의 일 예를 도시한 도면이다.23 is a diagram illustrating an example of a burn-in test time minimization apparatus according to the present invention.
도 23을 참조하면, 번인 테스트 시간 최소화 장치는 챔버 제어부(2300), 쉬프팅부(2310), 쉬프트 주파수 파악부(2320)를 포함한다.Referring to FIG. 23, an apparatus for minimizing burn-in test time includes a chamber controller 2300, a shifting unit 2310, and a shift frequency determining unit 2320.
챔버 제어부(2300)는 검사 대상 IC 칩에 공급되는 전압, 온도, 번인 테스트 시간 등을 제어한다. The chamber controller 2300 controls the voltage, temperature, burn-in test time, etc., supplied to the inspection target IC chip.
쉬프트 주파수 파악부(2320)는 번인 테스트 시에 IC 칩의 스캔 체인에 쉬프팅되는 최적의 쉬프트 주파수를 스캔 섹션별로 파악한다. 예를 들어, 쉬프트 주파수 파악부(2320)는 앞서 설명한 다양한 실시 예들 중 적어도 하나 이상을 기초로 스캔 섹션별 최적의 쉬프트 주파수를 결정할 수 있다. 또한 상기 최적의 쉬프트 주파수 파악은 상기 번인 테스트 시간 최소화 장치뿐만 아니라 별도의 장치에서 수행되어 파악 또는 결정될 수 있으며, 상기 파악 또는 결정된 쉬프트 주파수는 쉬프트 주파수 파악부(2320)에 의해 사용될 수도 있다.The shift frequency determiner 2320 determines the optimum shift frequency shifted to the scan chain of the IC chip for each scan section during the burn-in test. For example, the shift frequency determiner 2320 may determine an optimal shift frequency for each scan section based on at least one or more of the various embodiments described above. In addition, the optimum shift frequency may be determined or determined by a separate device as well as the burn-in test time minimization apparatus, and the checked or determined shift frequency may be used by the shift frequency determiner 2320.
쉬프팅부(2310)는 챔버 제어부(2300)에 의해 번인 테스트가 수행되는 동안에 쉬프트 주파수 파악부(2320)에 의해 파악된 최적의 쉬프트 주파수를 이용하여 스캔 체인에 스캔 섹션을 쉬프트함으로써 번인 테스트 시간을 최소화한다. The shifting unit 2310 minimizes the burn-in test time by shifting the scan section in the scan chain by using the optimal shift frequency determined by the shift frequency determining unit 2320 while the burn-in test is performed by the chamber controller 2300. do.
번인 테스트 시간 최소화 장치는 번인 테스트와 함께 앞서 살핀 스캔 테스트를 동시에 수행할 수 있다. 번인 테스트 시간 최소화 장치는 도 18 및 도 19에서 살핀 번인 테스트 장치의 일부로 구현될 수도 있다. 예를 들어, 스캔 섹션별 최적화된 쉬프트 주파수가 할당된 스캔 패턴 집합을 사용하여 번인 테스트만을 수행하거나 번인 테스트와 스캔 테스트를 함께 수행할 수 있다. Minimize burn-in test time The device can perform both a burn-in test and a salping scan test simultaneously. The burn-in test time minimization apparatus may be implemented as part of the salping burn-in test apparatus in FIGS. 18 and 19. For example, a burn-in test may be performed using only a scan pattern set assigned an optimized shift frequency for each scan section, or a burn-in test and a scan test may be performed together.
번인 테스트 시간 최소화 장치는 도 17에 도시된 스캔 패턴의 재배치 방법을 이용하여 스캔 체인에 쉬프트되는 스캔 패턴들의 순서를 재배치할 수 있다. 이 경우, 스캔 패턴의 쉬프트에 의해 IC 칩 상에서 회로의 스위칭되는 부분 및 스위칭 동작 횟수가 변경될 수 있으며, 이에 따라 전력 소모 등 회로의 동작 특성이 변경되므로 스캔 패턴(또는 스캔 섹션)에 할당할 수 있는 쉬프트 주파수가 높아질 수 있다. 따라서 이러한 성질을 이용하여 스캔 패턴 재배치 후 앞서 살핀 본 발명의 실시 예를 이용하여 스캔 섹션별 최적의 쉬프트 주파수를 찾거나 결정하여 전체적인 번인 테스트 시간을 더욱 줄일 수도 있다. 또한 상기 스캔 패턴의 재배치는 번인 테스트 시간 최소화 장치뿐만 아니라 별도의 장치에서 수행되어 번인 테스트 시간 최소화 장치에 의해 사용될 수도 있다. The burn-in test time minimization apparatus may rearrange the order of the scan patterns shifted in the scan chain by using the repositioning method of the scan pattern illustrated in FIG. 17. In this case, the switching part of the circuit and the number of switching operations of the circuit on the IC chip may be changed by the shift of the scan pattern, and thus the operation characteristics of the circuit, such as power consumption, may be changed and thus may be allocated to the scan pattern (or scan section). The shift frequency can be high. Therefore, after rearranging the scan pattern using this property, the entire shift-in test time may be further reduced by finding or determining an optimal shift frequency for each scan section by using the embodiment of the present invention which is previously described. In addition, the rearrangement of the scan pattern may be performed by a separate device as well as a burn-in test time minimization apparatus, and used by the burn-in test time minimization apparatus.
도 27은 각 스캔 패턴에 대해 IC 칩의 임계 소모 전력에 근접할 때의 쉬프트 주파수와 쉬프트 주파수 증감 방법을 통해 최적화 한 쉬프트 주파수에 대한 실험 결과를 도시한 도면이다. 도 27을 참조하면, 쉬프트 주파수 증감을 통한 최적화는 도 7의 방법을 사용하였다. FIG. 27 is a diagram illustrating experimental results of a shift frequency when the scan power is approached to a threshold power consumption of an IC chip and a shift frequency optimized by a shift frequency increase / decrease method for each scan pattern. Referring to FIG. 27, the optimization of the shift frequency increase and decrease uses the method of FIG. 7.
도 27을 참조하면, 각 스캔 패턴에 대해 IC 칩의 임계 소모 전력에 근접할 때의 쉬프트 주파수를 쉬프트 주파수 증감 방법을 통해 더욱 최적화 할 수 있음을 알 수 있다. Referring to FIG. 27, it can be seen that the shift frequency when approaching the threshold power consumption of the IC chip can be further optimized for each scan pattern through a shift frequency increase and decrease method.
도 27은 MCU(Micro Control Unit) 프로세서 IC 칩과 상기 IC 칩의 테스트 패턴을 사용한 실험 결과이며, 쉬프트 주파수 결정 대상 스캔 섹션은 한 개의 스캔 패턴에 일대일 대응되는 경우이다. 도 27은 스캔 패턴에 의해 소모되는 소모 전력이 IC 칩의 허용 가능한 소모 전력을 넘지 않으면서 최대한 가능한 쉬프트 주파수를 찾는 임계 전력 기반 방법(power-limit-based method) 및 전술한 본 발명의 쉬프트 주파수 증감 기반 방법(shift-frequency-scailing-based method)을 통하여 각 스캔 패턴에 대해 찾은 최대 쉬프트 주파수를 보여준다. FIG. 27 illustrates an experiment result using a microcontrol unit (IC) processor IC chip and a test pattern of the IC chip, and the scan frequency target scan section corresponds one to one scan pattern. 27 is a power-limit-based method for finding the maximum possible shift frequency without the power consumption consumed by the scan pattern exceeding the allowable power consumption of the IC chip, and the shift frequency increase and decrease of the present invention described above. The maximum shift frequency found for each scan pattern is shown through a shift-frequency-scailing-based method.
도 27의 소모 전력 한계(power consumption limit)는 상기 IC 칩의 기능적 주파수 한계인 80MHz로 상기 IC 칩을 기능 모드에서 동작했을 경우 기능적 평균 소모 전력이다.The power consumption limit of FIG. 27 is a functional average power consumption when the IC chip is operated in a functional mode at 80 MHz, which is the functional frequency limit of the IC chip.
일반적으로 기능적 주파수 한계와 IC 칩이 손상될 수 있는 주파수 한계는 상이하다. 이는 기능적 주파수 한계는 회로 구조에 의해 임계 타이밍 경로(critical timing path), 신호 간섭(signal crosstalk) 등의 다양한 제약을 받을 수 있기 때문이다. In general, the functional frequency limits and the frequency limits at which the IC chip can be damaged are different. This is because the functional frequency limit may be subject to various constraints such as critical timing path and signal crosstalk by the circuit structure.
도 27의 첫 번째 열은 스캔 패턴 번호이고, 두 번째 열은 IC 칩의 누설 전류에 의한 소모 전력, 세 번째 열은 스캔 쉬프트에 의해 소모되는 동적(dynamic) 소모 전력, 네 번째 열은 두 번째 열과 세 번째 열의 합인 각 스캔 패턴 당 소모 전력으로서 소모 전력 한계치를 넘지 않는다. 다섯 번째 열은 네 번째 열의 소모 전력에 해당하는 쉬프트 주파수로서, 소모 전력 한계치를 넘지 않으면서 각 스캔 패턴의 최대로 가능한 쉬프트 주파수이다. The first column of FIG. 27 is a scan pattern number, the second column is power consumption due to leakage current of the IC chip, the third column is dynamic power consumption consumed by the scan shift, and the fourth column is the second column. The power consumption per scan pattern, which is the sum of the third column, does not exceed the power consumption limit. The fifth column is the shift frequency corresponding to the power consumption of the fourth column, which is the maximum possible shift frequency of each scan pattern without exceeding the power consumption limit.
여섯 번째 열은 각 스캔 패턴에 대해 다섯번째 열의 쉬프트 주파수로 테스트 했을 경우 IC 칩 테스트 결과로서 테스트 패스(pass) 또는 패일(fail)을 나타낸다. The sixth column represents the test pass or fail as a result of the IC chip test when tested at the fifth frequency shift frequency for each scan pattern.
일곱 번째 열은 전술한 본 발명의 방법에 의한 쉬프트 주파수 증감을 통해 찾은 최대 쉬프트 주파수이며, 모두 테스트 결과 패스이다. The seventh column is the maximum shift frequency found through the shift frequency increase and decrease by the above-described method of the present invention, all of which pass the test result.
여덟 번째 열은 임계 전력 기반 방법(power-limit-based method)에 의한 결과인 다섯 번째 열 대비 쉬프트 주파수 스케일링 기반 방법(shift-frequency-scailing-based method)에 의한 결과인 일곱 번째 열의 증감 크기에 대한 증감 비율(%)을 보여준다. The eighth column is for the seventh column's incremental magnitude as a result of the shift-frequency-scailing-based method compared to the fifth column, which is the result of the power-limit-based method. Show percentage change.
도 27의 다섯 번째, 일곱 번째 및 여덟 번째 열에서 보이는 바와 같이 스캔 패턴에 의해 소모되는 소모 전력이 IC 칩의 허용 가능한 소모 전력을 넘지 않도록 하는 최대 쉬프트 주파수를 초기 쉬프트 주파수로 사용하더라도 전술한 본 발명의 쉬프트 주파수 증감 방법을 사용하여 쉬프트 주파수를 더욱 최적화 시킬 수 있음을 알 수 있다. 즉 도 27은 임계 전력 기반 방법(power-limit-based method)에 의한 결과가 쉬프트 주파수 스케일링 기반 방법(shift-freq.-scailing-based method)에 의해 더욱 개선될 수 있음을 보여준다. As described in the fifth, seventh and eighth columns of FIG. 27, the above-described present invention is used even if the maximum shift frequency is used as the initial shift frequency such that the power consumption consumed by the scan pattern does not exceed the allowable power consumption of the IC chip. It can be seen that the shift frequency can be further optimized by using the shift frequency increase / decrease method. That is, FIG. 27 shows that the result by the power-limit-based method can be further improved by the shift-freq.-scailing-based method.
또한 도 27에서 스캔 패턴에 의해 소모되는 소모 전력이 IC 칩의 허용 가능한 소모 전력을 넘지 않도록 쉬프트 주파수를 사용 하더라도 IC 칩을 정상적으로 테스트 할 수 없는 경우도 생길 수 있음을 알 수 있다. 도 27에서와 같이 임계 전력 기반 방법(power-limit-based method)과 쉬프트 주파수 스케일링 기반 방법(shift-frequency-scailing-based method)에 의한 쉬프트 주파수 결과의 차이는 실제 IC 칩과 테스트 환경에서는 IC 칩의 전력소모 외에도 쉬프트 주파수에 영향을 줄 수 있는 회로 구조와 특징, 다양한 물리적 조건과 환경 등이 있기 때문이다. In addition, in FIG. 27, even when the shift frequency is used so that power consumption consumed by the scan pattern does not exceed the allowable power consumption of the IC chip, the IC chip may not be normally tested. As shown in FIG. 27, the difference between the shift frequency result by the power-limit-based method and the shift-frequency-scailing-based method is different from that of the actual IC chip and the test environment. In addition to the power consumption of the circuit, there are circuit structures and features that can affect the shift frequency, and various physical conditions and environments.
또한 쉬프트 주파수 증감을 통해 최적의 쉬프트 주파수를 파악하는 과정에서 스캔 섹션 또는 스캔 패턴의 비트 값이 쉬프트-인 되는 동안에 의도하지 않게 바뀌어 스캔 체인에 로드되더라도, IC 칩 회로의 구조에 따라 스캔 캡쳐의 값이 정상적인 결과 패턴으로 나타나는 경우가 있다. 따라서 쉬프트 주파수 증감을 통해 스캔 체인에 스캔 섹션을 로드하고 스캔 캡쳐를 하기 전에, IC 칩의 주 출력 포트의 출력 결과를 예측 결과와 비교하여 출력 결과가 정상(pass)인지 확인하여 보다 정확한 최적 쉬프트 주파수를 찾을 수 있다. In addition, even if the bit value of a scan section or scan pattern is inadvertently changed while being loaded into the scan chain during shift-in in determining the optimum shift frequency through shift frequency increase and decrease, the value of the scan capture according to the structure of the IC chip circuit This may appear as a normal result pattern. Therefore, before loading the scan section and scanning capture in the scan chain through shift frequency increase and decrease, the output result of the main output port of the IC chip is compared with the predicted result to confirm that the output result is a pass. Can be found.
본 발명의 수행을 위한 기능 및 본 발명을 수행하여 얻은 스캔 쉬프트 주파수 정보 또는 상기 정보가 반영된 스캔 섹션 정보는 컴퓨터로 읽을 수 있는 기록매체에 컴퓨터가 읽을 수 있는 코드 또는 데이터로서 구현하는 것이 가능하다. 상기 코드의 일 예로서 실행 가능한 컴퓨터 프로그램 또는 소프트웨어 등이 있다. 상기 코드 또는 데이터는 스캔 테스트 장치, 번인 테스트 장치 또는 컴퓨터 등의 장치에서 실행되거나 사용될 수 있다. 컴퓨터가 읽을 수 있는 기록매체는 컴퓨터 시스템에 의하여 읽혀질 수 있는 데이터가 저장되는 모든 종류의 기록장치를 포함한다. 컴퓨터가 읽을 수 있는 기록매체의 예로는 다양한 형태의 ROM, RAM, FLASH 메모리, CD-ROM, 자기 테이프, 플로피디스크, 하드디스크, 광데이터 저장장치 등이 있다. 또한 컴퓨터가 읽을 수 있는 기록매체는 네트워크로 연결된 컴퓨터 시스템에 분산되어 분산방식으로 컴퓨터가 읽을 수 있는 코드 또는 데이터가 저장되고 실행될 수도 있다. 일 실시 예로서 컴퓨터 프로그램 코드 또는 데이터는 서버 컴퓨터에 저장되고 클라이언트 컴퓨터에서 상기 서버 컴퓨터에 접속하여 상기 코드 또는 데이터를 사용하거나 클라이언트 컴퓨터로 다운로드하여 저장 또는 사용할 수도 있다. 예를 들어, 서버 컴퓨터 또는 클라이언트 컴퓨터에서 상기 프로그램 코드를 실행할 수 있다. The function for carrying out the present invention and the scan shift frequency information obtained by performing the present invention or the scan section information reflecting the information can be embodied as computer readable codes or data on a computer readable recording medium. An example of the code is an executable computer program or software. The code or data may be executed or used on a device such as a scan test device, burn-in test device or computer. The computer-readable recording medium includes all kinds of recording devices in which data that can be read by a computer system is stored. Examples of computer-readable recording media include various types of ROM, RAM, FLASH memory, CD-ROM, magnetic tape, floppy disk, hard disk, optical data storage device, and the like. The computer readable recording medium can also be distributed over network coupled computer systems so that the computer readable code or data is stored and executed in a distributed fashion. In one embodiment, the computer program code or data may be stored in a server computer and the client computer may access the server computer to use the code or data, or download and store or use the code or data on the client computer. For example, the program code may be executed on a server computer or a client computer.
이제까지 본 발명에 대하여 그 바람직한 실시예들을 중심으로 살펴보았다. 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자는 본 발명이 본 발명의 본질적인 특성에서 벗어나지 않는 범위에서 변형된 형태로 구현될 수 있음을 이해할 수 있을 것이다. 그러므로 개시된 실시예들은 한정적인 관점이 아니라 설명적인 관점에서 고려되어야 한다. 본 발명의 범위는 전술한 설명이 아니라 특허청구범위에 나타나 있으며, 그와 동등한 범위 내에 있는 모든 차이점은 본 발명에 포함된 것으로 해석되어야 할 것이다.So far I looked at the center of the preferred embodiment for the present invention. Those skilled in the art will appreciate that the present invention can be implemented in a modified form without departing from the essential features of the present invention. Therefore, the disclosed embodiments should be considered in descriptive sense only and not for purposes of limitation. The scope of the present invention is shown in the claims rather than the foregoing description, and all differences within the scope will be construed as being included in the present invention.

Claims (65)

  1. 적어도 둘 이상의 스캔 섹션의 각각에 대하여, 쉬프트 주파수 또는 쉬프트 주파수의 주기의 증감을 통해 얻는 스캔 체인의 출력 패턴을 예측 패턴과 비교한 결과를 기초로 제1 쉬프트 주파수를 파악하는 단계를 포함하고,For each of the at least two scan sections, identifying a first shift frequency based on a result of comparing the shift pattern or the output pattern of the scan chain obtained through the increase or decrease of the period of the shift frequency with a prediction pattern,
    상기 쉬프트 주파수 또는 쉬프트 주파수의 주기는 상기 스캔 섹션의 쉬프트-인 또는 쉬프트-아웃의 주파수 또는 주파수의 주기인 것을 특징으로 하는 칩 테스트 시간 최소화 방법.Wherein the shift frequency or period of the shift frequency is a frequency of the shift-in or shift-out frequency or frequency of the scan section.
  2. 제 1항에 있어서, 상기 제1 쉬프트 주파수를 파악하는 단계는,The method of claim 1, wherein the determining of the first shift frequency comprises:
    쉬프트 주파수가 결정되지 않은 스캔 섹션을 선택하는 단계;Selecting a scan section for which a shift frequency is not determined;
    쉬프트 주파수를 증감하는 단계;Increasing or decreasing the shift frequency;
    상기 증감된 쉬프트 주파수를 이용하여 스캔 체인에 상기 스캔 섹션을 입력하는 단계;Inputting the scan section into a scan chain using the increased shift frequency;
    상기 스캔 체인의 출력 패턴이 예측 패턴과 동일하면 상기 쉬프트 주파수를 증감하는 단계로 이동하는 단계; 및Moving to the step of increasing or decreasing the shift frequency if the output pattern of the scan chain is the same as the predictive pattern; And
    상기 출력 패턴이 상기 예측 패턴과 상이할 때의 쉬프트 주파수를 상기 제1 쉬프트 주파수로 파악하는 단계;를 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And identifying a shift frequency when the output pattern is different from the prediction pattern as the first shift frequency.
  3. 제 1항에 있어서, 상기 제1 쉬프트 주파수를 파악하는 단계는,The method of claim 1, wherein the determining of the first shift frequency comprises:
    쉬프트 주파수가 결정되지 않은 스캔 섹션을 선택하는 단계;Selecting a scan section for which a shift frequency is not determined;
    상기 선택된 스캔 섹션의 앞에 위치한 제1 입력 패턴, 상기 선택된 스캔 섹션, 상기 선택된 스캔 섹션의 뒤에 위치한 제2 입력 패턴을 순차적으로 스캔 체인에 입력하고, 상기 스캔 체인의 출력 패턴이 예측 패턴과 상이할 때의 쉬프트 주파수를 제1 쉬프트 주파수로 파악하는 단계;를 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.When a first input pattern located in front of the selected scan section, the selected scan section, and a second input pattern located behind the selected scan section are sequentially input to the scan chain, and the output pattern of the scan chain is different from the prediction pattern. Determining a shift frequency of the first shift frequency of the chip test time;
  4. 제 3항에 있어서,The method of claim 3, wherein
    상기 제1 입력 패턴에 속한 스캔 섹션의 쉬프트 주파수는 명목 쉬프트 주파수 이하 또는 상기 제1 입력 패턴에 속한 스캔 섹션에 대해 기 결정된 쉬프트 주파수 이하이고,The shift frequency of the scan section belonging to the first input pattern is equal to or less than the nominal shift frequency or less than the predetermined shift frequency for the scan section belonging to the first input pattern,
    상기 제2 입력 패턴에 속한 스캔 섹션의 쉬프트 주파수는 명목 쉬프트 주파수 이하 또는 상기 제2 입력 패턴에 속한 스캔 섹션에 대해 기 결정된 쉬프트 주파수 이하이고, The shift frequency of the scan section belonging to the second input pattern is equal to or less than the nominal shift frequency or less than the predetermined shift frequency for the scan section belonging to the second input pattern.
    상기 선택된 스캔 섹션의 쉬프트 주파수는 상기 출력 패턴과 상기 예측 패턴이 상이할 때까지 증감하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And shifting the shift frequency of the selected scan section until the output pattern differs from the prediction pattern.
  5. 제 3항에 있어서,The method of claim 3, wherein
    상기 제1 입력 패턴은 실제 테스트에서 상기 선택된 스캔 섹션의 앞에 위치하는 실제 제1 입력 패턴 또는 상기 제1 입력 패턴에 의한 스캔 캡쳐의 예측 패턴이고,The first input pattern is an actual first input pattern located in front of the selected scan section in an actual test or a prediction pattern of scan capture by the first input pattern,
    상기 제2 입력 패턴은 실제 테스트에서 상기 선택된 스캔 섹션의 뒤에 위치하는 실제 제2 입력 패턴 또는 더미 패턴인 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And wherein the second input pattern is an actual second input pattern or dummy pattern located behind the selected scan section in an actual test.
  6. 제 3항에 있어서, 상기 제1 쉬프트 주파수를 파악하는 단계는,The method of claim 3, wherein the determining of the first shift frequency comprises:
    상기 제1 입력 패턴에 대한 스캔 체인의 출력 패턴이 제1 예측 패턴과 상이하거나, 상기 선택된 스캔 섹션에 대한 스캔 체인의 출력 패턴이 제2 예측 패턴과 상이한 시점의 쉬프트 주파수를 제1 쉬프트 주파수로 파악하는 단계;를 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.The shift frequency at a point in time at which the output pattern of the scan chain for the first input pattern is different from the first prediction pattern or the output pattern of the scan chain for the selected scan section is different from the second prediction pattern is identified as the first shift frequency. And minimizing chip test time.
  7. 제 6항에 있어서, The method of claim 6,
    상기 제2 예측 패턴은 상기 선택된 스캔 섹션이 상기 스캔 체인에 로드된 상태에서 수행한 스캔 캡쳐에 대한 예측 패턴인 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And wherein the second prediction pattern is a prediction pattern for scan capture performed while the selected scan section is loaded in the scan chain.
  8. 제 1항에 있어서, 상기 제1 쉬프트 주파수를 파악하는 단계는,The method of claim 1, wherein the determining of the first shift frequency comprises:
    쉬프트 주파수의 증감과 함께 공급 전압 또는 주변 온도의 증감을 조합하여, 각 스캔 섹션에 대해 출력 패턴과 예측 패턴이 상이한 시점의 제1 쉬프트 주파수를 파악하는 단계;를 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.Combining the increase or decrease of the supply frequency with the increase or decrease of the shift frequency to identify a first shift frequency at a point in time at which the output pattern and the prediction pattern are different for each scan section; Minimization method.
  9. 제 1항에 있어서, The method of claim 1,
    상기 제1 쉬프트 주파수보다 작은 제2 쉬프트 주파수를 각 스캔 섹션의 쉬프트 주파수로 결정하는 단계;를 더 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.Determining a second shift frequency smaller than the first shift frequency as the shift frequency of each scan section.
  10. 제 9항에 있어서, 상기 결정하는 단계는,The method of claim 9, wherein the determining step,
    복수의 칩에 대해 각 스캔 섹션별 제2 쉬프트 주파수를 결정하는 단계;Determining a second shift frequency for each scan section for a plurality of chips;
    복수의 칩에 대해 각 스캔 섹션별 결정된 복수의 제2 쉬프트 주파수들 중 가장 작은 값 이하를 해당 스캔 섹션의 쉬프트 주파수로 결정하는 단계;를 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And determining a shift frequency of a corresponding scan section, which is equal to or smaller than the smallest value among the plurality of second shift frequencies determined for each scan section, for the plurality of chips.
  11. 제 1항에 있어서,The method of claim 1,
    스캔 쉬프트 주파수 최대 변경 횟수, 스캔 쉬프트 주파수 최대 개수, 스캔 쉬프트 주파수 변경에 따른 지연시간을 포함하는 적어도 하나 이상의 제약 조건을 기초로 상기 적어도 둘 이상의 스캔 섹션을 적어도 하나 이상의 섹션 그룹으로 묶는 단계; 및Grouping the at least two or more scan sections into at least one section group based on at least one or more constraints including a maximum number of scan shift frequency changes, a maximum number of scan shift frequencies, and a delay time resulting from a scan shift frequency change; And
    상기 섹션 그룹에 속한 각 스캔 섹션의 결정된 쉬프트 주파수들 중 가장 작은 값 이하를 상기 섹션 그룹의 쉬프트 주파수로 결정하는 단계;를 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And determining a shift frequency of the section group, which is less than or equal to the smallest value among the determined shift frequencies of each scan section belonging to the section group.
  12. 제 1항에 있어서, The method of claim 1,
    상기 스캔 섹션에 대해 결정된 쉬프트 주파수를 사용하여 테스트 칩의 온도를 높이면서 번인 테스트 및 스캔 테스트를 수행하는 단계;를 더 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And performing a burn-in test and a scan test while increasing the temperature of the test chip using the shift frequency determined for the scan section.
  13. 제 2항에 있어서, 상기 스캔 체인에 입력하는 단계는,The method of claim 2, wherein the inputting to the scan chain comprises:
    반복 수행시마다 상기 선택된 스캔 섹션을 쉬프트 주파수, 공급 전압, 외부 온도 중 어느 하나 이상의 증감을 반영한 상태에서 상기 스캔 체인에 입력하는 단계;를 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And inputting the selected scan section to the scan chain in a state in which at least one of a shift frequency, a supply voltage, and an external temperature is reflected every time the repetition is performed.
  14. 제 1항에 있어서,The method of claim 1,
    상기 스캔 섹션이 포함된 스캔 패턴 집합상의 패턴들의 순서를 바꾸어 재배치하는 단계;를 더 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And rearranging and rearranging patterns on the scan pattern set including the scan section.
  15. 적어도 둘 이상의 스캔 섹션 각각에 대하여 서로 다른 쉬프트 주파수를 결정하는 단계;를 포함하고, Determining a different shift frequency for each of the at least two scan sections;
    각 스캔 섹션에 대해 결정된 쉬프트 주파수는 스캔 체인의 출력 패턴이 예측 패턴과 상이해지는 쉬프트 주파수보다 작은 값인 것을 특징으로 하는 칩 테스트 시간 최소화 방법.Wherein the shift frequency determined for each scan section is a value smaller than the shift frequency at which the output pattern of the scan chain differs from the prediction pattern.
  16. 제 15항에 있어서, 상기 결정하는 단계는,The method of claim 15, wherein the determining step,
    쉬프트 주파수가 결정되지 않은 스캔 섹션을 선택하는 단계;Selecting a scan section for which a shift frequency is not determined;
    상기 선택된 스캔 섹션의 앞에 위치한 제1 입력 패턴, 상기 선택된 스캔 섹션, 상기 선택된 스캔 섹션의 뒤에 위치한 제2 입력 패턴을 스캔 체인에 순차적으로 입력하는 과정을 반복 수행하되, 상기 제1 입력 패턴 및 상기 제2 입력 패턴은 기 설정된 쉬프트 주파수로 상기 스캔 체인에 입력하고, 상기 선택된 스캔 섹션은 반복 수행시마다 증감된 쉬프트 주파수로 상기 스캔 체인에 입력하는 단계; 및The process of sequentially inputting a first input pattern located in front of the selected scan section, the selected scan section, and a second input pattern located behind the selected scan section into a scan chain, wherein the first input pattern and the first input pattern are repeatedly performed. Inputting a second input pattern into the scan chain at a preset shift frequency and inputting the selected scan section to the scan chain at an increased or decreased shift frequency at each iteration; And
    상기 스캔 체인의 출력 패턴이 예측 패턴과 상이한 시점의 쉬프트 주파수보다 작은 쉬프트 주파수를 상기 선택된 스캔 섹션의 쉬프트 주파수로 결정하는 단계;를 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And determining a shift frequency of the selected scan section as a shift frequency of which the output pattern of the scan chain is smaller than the shift frequency of a time point different from the predicted pattern.
  17. 제 16항에 있어서,The method of claim 16,
    상기 출력 패턴은 상기 제1 입력 패턴 및 상기 선택된 스캔 섹션 중 적어도 하나가 로드된 상태에서의 스캔 캡쳐의 결과 패턴이 쉬프트-아웃되어 언로드된 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And the output pattern is unloaded by shifting out a result pattern of scan capture when at least one of the first input pattern and the selected scan section is loaded.
  18. 제 16항에 있어서, 상기 스캔 체인에 입력하는 단계는,The method of claim 16, wherein the inputting to the scan chain comprises:
    반복 수행시마다 상기 선택된 스캔 섹션을 쉬프트 주파수, 공급 전압, 외부 온도 중 어느 하나 이상의 증감을 반영한 상태에서 상기 스캔 체인에 입력하는 단계;를 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And inputting the selected scan section to the scan chain in a state in which at least one of a shift frequency, a supply voltage, and an external temperature is reflected every time the repetition is performed.
  19. 제 15항에 있어서, The method of claim 15,
    상기 스캔 섹션에 대해 결정된 쉬프트 주파수를 사용하여 테스트 칩의 온도를 높이면서 번인 테스트 및 스캔 테스트를 수행하는 단계;를 더 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And performing a burn-in test and a scan test while increasing the temperature of the test chip using the shift frequency determined for the scan section.
  20. 제 15항에 있어서,The method of claim 15,
    상기 스캔 섹션이 포함된 스캔 패턴 집합상의 패턴들의 순서를 바꾸어 재배치하는 단계;를 더 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And rearranging and rearranging patterns on the scan pattern set including the scan section.
  21. 제 15항에 있어서,The method of claim 15,
    상기 쉬프트 주파수를 결정하는 단계는, 스캔 테스트 장비에 의해 증감될 수 있는 일정 크기의 쉬프트 주파수로 나누어지는 쉬프트 주파수를 각 스캔 섹션의 쉬프트 주파수로 결정하는 단계;를 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.The determining of the shift frequency may include determining a shift frequency divided by a shift frequency of a predetermined magnitude that may be increased or decreased by scan test equipment as a shift frequency of each scan section. Minimization method.
  22. 제 15항에 있어서, 상기 결정하는 단계는,The method of claim 15, wherein the determining step,
    복수의 칩에 대해 각 스캔 섹션별 쉬프트 주파수를 결정하는 단계; 및Determining a shift frequency of each scan section for a plurality of chips; And
    복수의 칩에 대해 각 스캔 섹션별 결정된 복수의 쉬프트 주파수들 중 가장 작은 값 이하를 해당 스캔 섹션의 쉬프트 주파수로 결정하는 단계;를 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And determining a shift frequency of the corresponding scan section, which is less than the smallest value among the plurality of shift frequencies determined for each scan section, for the plurality of chips.
  23. 제 15항에 있어서,The method of claim 15,
    스캔 쉬프트 주파수 최대 변경 횟수, 스캔 쉬프트 주파수 최대 개수, 스캔 쉬프트 주파수 변경에 따른 지연시간을 포함하는 적어도 하나 이상의 제약 조건을 기초로 상기 적어도 둘 이상의 스캔 섹션을 적어도 하나 이상의 섹션 그룹으로 묶는 단계; 및Grouping the at least two or more scan sections into at least one section group based on at least one or more constraints including a maximum number of scan shift frequency changes, a maximum number of scan shift frequencies, and a delay time resulting from a scan shift frequency change; And
    상기 섹션 그룹에 속한 각 스캔 섹션의 결정된 쉬프트 주파수들 중 가장 작은 값 이하를 상기 섹션 그룹의 쉬프트 주파수로 결정하는 단계;를 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And determining a shift frequency of the section group, which is less than or equal to the smallest value among the determined shift frequencies of each scan section belonging to the section group.
  24. 제 16항에 있어서, 상기 스캔 체인에 입력하는 단계는,The method of claim 16, wherein the inputting to the scan chain comprises:
    반복 수행시마다 상기 선택된 스캔 섹션을 쉬프트 주파수, 공급 전압, 외부 온도 중 어느 하나 이상의 증감을 반영한 상태에서 상기 스캔 체인에 입력하는 단계;를 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And inputting the selected scan section to the scan chain in a state in which at least one of a shift frequency, a supply voltage, and an external temperature is reflected every time the repetition is performed.
  25. 스캔 쉬프트 주파수를 증감하는 주파수 증감부;A frequency increase / decrease unit for increasing or decreasing a scan shift frequency;
    적어도 하나 이상의 스캔 섹션을 스캔 체인에 입력하는 패턴 입력부;A pattern input unit configured to input at least one scan section into a scan chain;
    상기 스캔 체인의 출력 패턴이 예측 패턴과 동일한지 파악하는 패턴 비교부; 및A pattern comparison unit to determine whether an output pattern of the scan chain is identical to a prediction pattern; And
    상기 출력 패턴과 상기 예측 패턴이 상이한 시점의 쉬프트 주파수보다 작은 쉬프트 주파수를 상기 스캔 섹션의 가능한 쉬프트 주파수로 파악하는 주파수 파악부;를 포함하며,And a frequency grasp unit for identifying a shift frequency smaller than a shift frequency at a time point at which the output pattern and the prediction pattern are different as possible shift frequencies of the scan section.
    적어도 둘 이상의 스캔 섹션에 대해 각각 파악된 쉬프트 주파수들의 일부 또는 전부는 서로 상이한 것을 특징으로 하는 칩 테스트 시간 최소화 장치.Chip test time minimizing device, characterized in that some or all of the shift frequencies respectively identified for at least two scan sections are different from each other.
  26. 제 25항에 있어서,The method of claim 25,
    하나 이상의 스캔 패턴을 적어도 둘 이상의 스캔 섹션으로 분할하는 패턴 분할부;를 더 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 장치.And a pattern divider for dividing one or more scan patterns into at least two scan sections.
  27. 제 25항에 있어서, 상기 주파수 파악부는,The method of claim 25, wherein the frequency grasping unit,
    스캔 섹션과 상기 스캔 섹션의 앞에 위치한 스캔 패턴을 스캔 체인에 입력하는 과정을 반복 수행하되, 상기 스캔 섹션의 앞에 위치한 스캔 패턴은 기 설정된 쉬프트 주파수로 상기 스캔 체인에 입력하고, 상기 스캔 섹션은 반복 수행시마다 증감된 쉬프트 주파수로 상기 스캔 체인에 입력하고, 상기 스캔 체인의 출력 패턴이 예측 패턴과 상이한 시점의 쉬프트 주파수보다 작은 쉬프트 주파수를 상기 스캔 섹션의 가능한 쉬프트 주파수로 파악하는 것을 특징으로 하는 칩 테스트 시간 최소화 장치.Repeating the step of inputting a scan section and a scan pattern located in front of the scan section into the scan chain, the scan pattern located in front of the scan section is input to the scan chain at a preset shift frequency, the scan section is repeated The chip test time is characterized by inputting the scan chain with the shift frequency increased or decreased every time, and identifying the shift frequency in which the output pattern of the scan chain is smaller than the shift frequency at a time point different from the prediction pattern. Minimize device.
  28. 적어도 둘 이상의 스캔 섹션 중 기 설정된 초기 쉬프트 주파수로 스캔 체인에 스캔 섹션을 쉬프팅할 때의 소모 전력 또는 소모 전류가 기 설정된 임계값 이상 또는 초과인 적어도 하나 이상의 스캔 섹션에 대해, 상기 스캔 체인의 출력 패턴이 예측 패턴과 동일한 쉬프트 주파수를 결정하는 단계;를 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.An output pattern of the scan chain for at least one scan section in which power consumption or current consumption when shifting the scan section in the scan chain at a preset initial shift frequency of at least two scan sections is above or above a preset threshold; Determining a shift frequency equal to the prediction pattern.
  29. 제 28항에 있어서,The method of claim 28,
    소모 전력 또는 소모 전류가 상기 임계값 미만 또는 이하인 적어도 하나 이상의 스캔 섹션에 대해 상기 초기 쉬프트 주파수 이하를 할당하는 단계;를 더 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.Allocating below the initial shift frequency for at least one or more scan sections where power consumption or current consumption is below or below the threshold.
  30. 제 28항에 있어서, The method of claim 28,
    소모 전력 또는 소모 전류가 상기 임계값 이상 또는 초과인 제1 스캔 섹션을 위한 제1 쉬프트 주파수를 결정하는 단계; 및Determining a first shift frequency for a first scan section in which power consumption or current consumption is above or above the threshold; And
    소모 전력 또는 소모 전류가 상기 임계값 미만 또는 이하인 제2 스캔 섹션을 위한 제2 쉬프트 주파수를 결정하는 단계;를 포함하고,Determining a second shift frequency for a second scan section where power consumption or current consumption is below or below the threshold;
    상기 제1 쉬프트 주파수와 상기 제2 쉬프트 주파수는 서로 상이한 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And the first shift frequency and the second shift frequency are different from each other.
  31. 제 28항에 있어서,The method of claim 28,
    소모 전력 또는 소모 전류가 상기 임계값 미만 또는 이하인 스캔 섹션들의 일부 또는 전부의 쉬프트 주파수는 서로 동일하고,Shift frequencies of some or all of the scan sections whose power consumption or current is below or below the threshold are equal to each other,
    소모 전력 또는 소모 전류가 상기 임계값 이상 또는 초과인 스캔 섹션들의 일부 또는 전부는 쉬프트 주파수가 서로 상이한 것을 특징으로 하는 칩 테스트 시간 최소화 방법.At least some of the scan sections whose power consumption or current is above or above the threshold are different in shift frequency from each other.
  32. 제 28항에 있어서, 상기 쉬프트 주파수를 결정하는 단계는,The method of claim 28, wherein determining the shift frequency,
    소모 전력 또는 소모 전류가 기 설정된 임계값 이상 또는 초과인 스캔 섹션 중 쉬프트 주파수가 결정되지 아니한 스캔 섹션을 선택하는 단계;Selecting a scan section in which a shift frequency is not determined among scan sections in which power consumption or current consumption is above or above a preset threshold;
    쉬프트 주파수를 증감하는 단계;Increasing or decreasing the shift frequency;
    상기 선택된 스캔 섹션을 상기 증감된 쉬프트 주파수로 스캔 체인에 쉬프팅하는 단계;Shifting the selected scan section into a scan chain at the increased or decreased shift frequency;
    상기 스캔 체인의 출력 패턴과 예측 패턴이 동일한지 비교하는 단계;Comparing whether the output pattern and the prediction pattern of the scan chain are the same;
    상기 출력패턴과 예측 패턴이 동일하면 상기 쉬프트 주파수를 증감하는 단계로 이동하는 단계; 및Moving to the step of increasing or decreasing the shift frequency if the output pattern and the prediction pattern are the same; And
    상기 출력패턴과 예측 패턴이 상이하면, 상이해지기 이전의 쉬프트 주파수 이하를 상기 선택된 스캔 섹션의 쉬프트 주파수로 결정하는 단계;를 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And determining the shift frequency of the selected scan section to be equal to or less than the shift frequency before the output pattern and the prediction pattern are different from each other. 2.
  33. 제 28항에 있어서,The method of claim 28,
    상기 스캔 섹션에 대해 파악 또는 결정된 쉬프트 주파수와 해당 스캔 섹션을 사용하여 테스트 대상 칩의 온도를 높이면서 번인 테스트와 스캔 테스트를 수행하는 단계;를 더 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And performing a burn-in test and a scan test by increasing the temperature of the chip to be tested using the shift frequency determined or determined for the scan section and the corresponding scan section.
  34. 제 28항에 있어서,The method of claim 28,
    상기 스캔 패턴들의 순서를 바꾸어 재배치하는 단계;를 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And rearranging and reordering the scan patterns.
  35. 제1 스캔 섹션 및 제2 스캔 섹션을 스캔 체인에 쉬프팅할 때의 소모 전력 또는 소모 전류가 기 설정된 임계값 이상 또는 초과인 제1 쉬프트 주파수 및 제2 쉬프트 주파수를 결정하는 단계; 및Determining a first shift frequency and a second shift frequency at which power consumption or current consumption when shifting the first scan section and the second scan section into the scan chain is above or above a preset threshold; And
    제3 스캔 섹션 및 제4 스캔 섹션을 상기 스캔 체인에 쉬프팅할 때의 소모 전력 또는 소모 전류가 상기 임계값 미만 또는 이하인 제3 쉬프트 주파수 및 제4 쉬프트 주파수를 결정하는 단계;를 포함하고,Determining a third shift frequency and a fourth shift frequency when power consumption or current consumption when shifting a third scan section and a fourth scan section to the scan chain is less than or less than the threshold;
    상기 제1 쉬프트 주파수와 상기 제2 쉬프트 주파수는 서로 상이하고,The first shift frequency and the second shift frequency are different from each other,
    상기 제3 쉬프트 주파수와 상기 제4 쉬프트 주파수는 서로 동일한 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And the third shift frequency and the fourth shift frequency are the same.
  36. 제 35항에 있어서, 상기 제1 쉬프트 주파수 및 제2 쉬프트 주파수를 결정하는 단계는,36. The method of claim 35, wherein determining the first shift frequency and the second shift frequency comprises:
    기 설정된 초기 쉬프트 주파수로 스캔 섹션을 스캔 체인에 쉬프팅할 때의 소모 전력 또는 소모 전류가 상기 임계값 이상 또는 초과인 제1 스캔 섹션 및 제2 스캔 섹션을 파악하는 단계;Identifying a first scan section and a second scan section whose power consumption or current consumption when shifting the scan section to the scan chain at a preset initial shift frequency is above or above the threshold;
    상기 제1 스캔 섹션 및 제2 스캔 섹션 각각에 대해, 쉬프트 주파수의 증감을 통해 상기 스캔 체인의 출력 패턴이 예측 패턴과 동일한 쉬프트 주파수를 상기 제1 쉬프트 주파수 및 제2 쉬프트 주파수로 각각 결정하는 단계;를 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.For each of the first scan section and the second scan section, determining a shift frequency in which the output pattern of the scan chain is equal to a prediction pattern as the first shift frequency and the second shift frequency, respectively, by increasing or decreasing the shift frequency; Chip test time minimizing method comprising a.
  37. 제 35항에 있어서, 상기 제3 쉬프트 주파수 및 제4 쉬프트 주파수를 결정하는 단계는,36. The method of claim 35, wherein determining the third shift frequency and the fourth shift frequency comprises:
    기 설정된 초기 쉬프트 주파수로 스캔 섹션을 스캔 체인에 쉬프팅할 때의 소모 전력 또는 소모 전류가 상기 임계값 미만 또는 이하인 제3 스캔 섹션 및 제4 스캔 섹션을 파악하는 단계;Identifying a third scan section and a fourth scan section whose power consumption or current consumption when shifting the scan section to the scan chain at a preset initial shift frequency is less than or equal to or less than the threshold;
    상기 제3 스캔 섹션 및 제4 스캔 섹션에 대해, 상기 초기 쉬프트 주파수 이하를 상기 제3 쉬프트 주파수 및 제4 쉬프트 주파수로 결정하는 단계;를 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And determining, for the third scan section and the fourth scan section, the initial shift frequency or less as the third shift frequency and the fourth shift frequency.
  38. 제 35항에 있어서,The method of claim 35, wherein
    상기 스캔 섹션에 대해 파악 또는 결정된 쉬프트 주파수와 해당 스캔 섹션을 사용하여 테스트 대상 칩의 온도를 높이면서 번인 테스트와 스캔 테스트를 수행하는 단계;를 더 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And performing a burn-in test and a scan test by increasing the temperature of the chip to be tested using the shift frequency determined or determined for the scan section and the corresponding scan section.
  39. 제 35항에 있어서,The method of claim 35, wherein
    상기 스캔 패턴들의 순서를 바꾸어 재배치하는 단계;를 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And rearranging and reordering the scan patterns.
  40. 스캔 섹션을 제1 쉬프트 주파수로 스캔 체인에 쉬프팅할 때의 소모 전력 또는 소모 전류를 검출하는 전력 검출부;A power detector for detecting power consumption or current consumption when the scan section is shifted in the scan chain at a first shift frequency;
    상기 제1 쉬프트 주파수에 의한 소모 전력 또는 소모 전류가 기 설정된 임계값 미만 또는 이하인 적어도 하나 이상의 스캔 섹션에 대해 상기 제1 쉬프트 주파수 이하를 상기 스캔 섹션의 가능한 쉬프트 주파수로 파악하거나 결정하는 제1주파수 파악부; 및A first frequency grasp for identifying or determining the first shift frequency or less as a possible shift frequency of the scan section for at least one or more scan sections whose power consumption or current consumption by the first shift frequency is below or below a predetermined threshold; part; And
    소모 전력 또는 소모 전류가 상기 임계값 이상 또는 초과인 적어도 하나 이상의 스캔 섹션에 대해, 상기 스캔 체인의 출력 패턴을 예측 패턴과 동일하게 유지할 수 있는 적어도 하나 이상의 제2 쉬프트 주파수를 파악하거나 결정하는 제2 주파수 파악부;를 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 장치.A second for identifying or determining at least one second shift frequency for at least one scan section where power consumption or current draw is above or above the threshold, the output pattern of the scan chain being equal to a prediction pattern Chip test time minimizing apparatus comprising a; frequency grasping unit.
  41. 제 40항에 있어서,The method of claim 40,
    스캔 패턴을 적어도 둘 이상의 스캔 섹션으로 분할하는 패턴 분할부;를 더 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 장치.And a pattern dividing unit dividing the scan pattern into at least two scan sections.
  42. 제 40항에 있어서, The method of claim 40,
    소모 전력 또는 소모 전류가 상기 임계값 미만 또는 이하인 스캔 섹션들의 일부 또는 전부의 쉬프트 주파수는 서로 동일하고,Shift frequencies of some or all of the scan sections whose power consumption or current is below or below the threshold are equal to each other,
    소모 전력이 상기 임계값 이상 또는 초과인 스캔 섹션들의 일부 또는 전부는 쉬프트 주파수가 서로 상이한 것을 특징으로 하는 칩 테스트 시간 최소화 장치.And some or all of the scan sections whose power consumption is above or above the threshold are different in shift frequency from each other.
  43. 제 1항에 기재된 방법을 수행하기 위한 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.A computer-readable recording medium having recorded thereon a program for performing the method of claim 1.
  44. 제 1항에 기재된 방법을 수행하여 스캔 섹션에 대해 파악 또는 결정된 쉬프트 주파수 정보 또는 상기 쉬프트 주파수 정보가 반영된 스캔 섹션 정보를 기록한 컴퓨터로 읽을 수 있는 기록매체.A computer-readable recording medium storing shift frequency information determined or determined for a scan section by performing the method of claim 1, or scanning section information reflecting the shift frequency information.
  45. 제 15항에 기재된 방법을 수행하기 위한 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.A computer-readable recording medium having recorded thereon a program for performing the method of claim 15.
  46. 제 15항에 기재된 방법을 수행하여 스캔 섹션에 대해 파악 또는 결정된 쉬프트 주파수 정보 또는 상기 쉬프트 주파수 정보가 반영된 스캔 섹션 정보를 기록한 컴퓨터로 읽을 수 있는 기록매체.A computer-readable recording medium storing shift frequency information determined or determined for a scan section by performing the method described in claim 15 or scan section information reflecting the shift frequency information.
  47. 제 28항에 기재된 방법을 수행하기 위한 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.A computer-readable recording medium having recorded thereon a program for performing the method of claim 28.
  48. 제 28항에 기재된 방법을 수행하여 스캔 섹션에 대해 파악 또는 결정된 쉬프트 주파수 정보 또는 상기 쉬프트 주파수 정보가 반영된 스캔 섹션 정보를 기록한 컴퓨터로 읽을 수 있는 기록매체.29. A computer-readable recording medium having recorded thereon the shift frequency information or the scan section information reflecting the shift frequency information, which is determined or determined for the scan section by performing the method of claim 28.
  49. 제 35항에 기재된 방법을 수행하기 위한 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.A computer-readable recording medium having recorded thereon a program for performing the method of claim 35.
  50. 제 35항에 기재된 방법을 수행하여 스캔 섹션에 대해 파악 또는 결정된 쉬프트 주파수 정보 또는 상기 쉬프트 주파수 정보가 반영된 스캔 섹션 정보를 기록한 컴퓨터로 읽을 수 있는 기록매체.A computer-readable recording medium storing shift frequency information determined or determined for a scan section or scan section information reflecting the shift frequency information by performing the method of claim 35.
  51. 적어도 둘 이상의 스캔 섹션의 각각에 대하여 할당된 서로 다른 쉬프트 주파수를 이용하여 상기 스캔 섹션을 스캔 체인에 쉬프팅하는 단계; 및Shifting the scan section into a scan chain using different shift frequencies assigned for each of at least two scan sections; And
    상기 쉬프팅과 함께 번인 테스트를 수행하는 단계;를 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And performing a burn-in test together with the shifting.
  52. 제 51항에 있어서, 상기 스캔 섹션별로 할당된 쉬프트 주파수는,The method of claim 51, wherein the shift frequency allocated to each scan section,
    각 스캔 섹션에 대하여, 쉬프트 주파수의 증감을 통해 스캔 체인의 출력 패턴이 예측 패턴과 상이해지는 제1 쉬프트 주파수를 파악하는 단계; 및For each scan section, identifying a first shift frequency at which the output pattern of the scan chain differs from the prediction pattern by increasing or decreasing the shift frequency; And
    상기 제1 쉬프트 주파수보다 작은 제2 쉬프트 주파수를 각 스캔 섹션의 쉬프트 주파수로 결정하는 단계;를 통해 결정되는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.Determining a second shift frequency smaller than the first shift frequency as a shift frequency of each scan section.
  53. 제 52항에 있어서, 상기 제1 쉬프트 주파수를 파악하는 단계는,53. The method of claim 52, wherein identifying the first shift frequency comprises:
    쉬프트 주파수가 결정되지 않은 스캔 섹션을 선택하는 단계; 및Selecting a scan section for which a shift frequency is not determined; And
    상기 선택된 스캔 섹션의 앞에 위치한 제1 입력 패턴, 상기 선택된 스캔 섹션, 상기 선택된 스캔 섹션의 뒤에 위치한 제2 입력 패턴을 순차적으로 스캔 체인에 입력하고, 상기 스캔 체인의 출력 패턴이 예측 패턴과 상이할 때의 쉬프트 주파수를 제1 쉬프트 주파수로 파악하는 단계;를 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.When a first input pattern located in front of the selected scan section, the selected scan section, and a second input pattern located behind the selected scan section are sequentially input to the scan chain, and the output pattern of the scan chain is different from the prediction pattern. Determining a shift frequency of the first shift frequency of the chip test time;
  54. 제 53항에 있어서,The method of claim 53,
    상기 제1 입력 패턴에 속한 스캔 섹션의 쉬프트 주파수는 명목 쉬프트 주파수 이하 또는 상기 제1 입력 패턴에 속한 스캔 섹션에 대해 기 결정된 쉬프트 주파수 이하이고,The shift frequency of the scan section belonging to the first input pattern is equal to or less than the nominal shift frequency or less than the predetermined shift frequency for the scan section belonging to the first input pattern,
    상기 제2 입력 패턴에 속한 스캔 섹션의 쉬프트 주파수는 명목 쉬프트 주파수 이하 또는 상기 제2 입력 패턴에 속한 스캔 섹션에 대해 기 결정된 쉬프트 주파수 이하이고,The shift frequency of the scan section belonging to the second input pattern is equal to or less than the nominal shift frequency or less than the predetermined shift frequency for the scan section belonging to the second input pattern.
    상기 선택된 스캔 섹션의 쉬프트 주파수는 상기 출력 패턴과 상기 예측 패턴이 상이할 때까지 증감하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And shifting the shift frequency of the selected scan section until the output pattern differs from the prediction pattern.
  55. 제 53항에 있어서,The method of claim 53,
    상기 제1 입력 패턴은 실제 테스트에서 상기 선택된 스캔 섹션의 앞에 위치하는 실제 제1 입력 패턴 또는 상기 제1 입력 패턴에 의한 스캔 캡쳐의 예측 패턴이고,The first input pattern is an actual first input pattern located in front of the selected scan section in an actual test or a prediction pattern of scan capture by the first input pattern,
    상기 제2 입력 패턴은 실제 테스트에서 상기 선택된 스캔 섹션의 뒤에 위치하는 실제 제2 입력 패턴 또는 더미 패턴인 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And wherein the second input pattern is an actual second input pattern or dummy pattern located behind the selected scan section in an actual test.
  56. 제 53항에 있어서, 상기 제1 쉬프트 주파수를 파악하는 단계는,54. The method of claim 53, wherein identifying the first shift frequency comprises:
    상기 제1 입력 패턴에 대한 스캔 체인의 출력 패턴이 제1 예측 패턴과 상이하거나, 상기 선택된 스캔 섹션에 대한 스캔 체인의 출력 패턴이 제2 예측 패턴과 상이한 시점의 쉬프트 주파수를 제1 쉬프트 주파수로 파악하는 단계;를 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.The shift frequency at a point in time at which the output pattern of the scan chain for the first input pattern is different from the first prediction pattern or the output pattern of the scan chain for the selected scan section is different from the second prediction pattern is identified as the first shift frequency. And minimizing chip test time.
  57. 제 51항에 있어서,The method of claim 51,
    상기 스캔 섹션별로 할당된 쉬프트 주파수는 스캔 섹션별 전력 소모 또는 전류 소모를 기초로 각각 결정되는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And a shift frequency allocated to each scan section is determined based on power consumption or current consumption of each scan section.
  58. 제 51항에 있어서, The method of claim 51,
    기 설정된 초기 쉬프트 주파수로 스캔 체인에 스캔 섹션을 쉬프팅할 때의 소모 전력 또는 소모 전류가 기 설정된 임계값 미만 또는 이하인 스캔 섹션들에 할당된 쉬프트 주파수들의 일부 또는 전부는 서로 동일하고,Some or all of the shift frequencies assigned to the scan sections in which the power consumption or the current consumption when shifting the scan section in the scan chain at the preset initial shift frequency are equal to or less than each other are equal to each other,
    상기 소모 전력 또는 소모 전류가 상기 임계값 초과 또는 이상인 스캔 섹션들에 할당된 쉬프트 주파수들의 일부 또는 전부는 서로 상이한 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And some or all of the shift frequencies assigned to the scan sections whose power consumption or current is above or above the threshold are different from each other.
  59. 제 51항에 있어서,The method of claim 51,
    스캔 쉬프트 주파수 최대 변경 횟수, 스캔 쉬프트 주파수 최대 개수, 스캔 쉬프트 주파수 변경에 따른 지연시간을 포함하는 적어도 하나 이상의 제약 조건을 기초로 상기 적어도 둘 이상의 스캔 섹션을 적어도 하나 이상의 섹션 그룹으로 묶는 단계; 및Grouping the at least two or more scan sections into at least one section group based on at least one or more constraints including a maximum number of scan shift frequency changes, a maximum number of scan shift frequencies, and a delay time resulting from a scan shift frequency change; And
    상기 섹션 그룹에 속한 각 스캔 섹션의 결정된 쉬프트 주파수들 중 가장 작은 값 이하를 상기 섹션 그룹의 쉬프트 주파수로 결정하는 단계;를 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And determining a shift frequency of the section group, which is less than or equal to the smallest value among the determined shift frequencies of each scan section belonging to the section group.
  60. 제 61항에 있어서,62. The method of claim 61,
    상기 스캔 섹션이 포함된 스캔 패턴 집합상의 패턴들의 순서를 바꾸어 재배치하는 단계;를 더 포함하는 것을 특징으로 하는 칩 테스트 시간 최소화 방법.And rearranging and rearranging patterns on the scan pattern set including the scan section.
  61. 공급되는 전압과 온도를 제어하는 챔버제어부; 및A chamber controller for controlling the supplied voltage and temperature; And
    상기 전압과 온도가 공급되는 상태에서, 스캔 체인에 스캔 섹션을 쉬프팅하는 쉬프팅부;를 포함하며,And a shifting unit configured to shift the scan section in the scan chain while the voltage and the temperature are supplied.
    적어도 둘 이상의 스캔 섹션의 각각에 대하여 서로 다른 쉬프트 주파수를 사용하여 스캔 섹션을 스캔 체인에 쉬프팅하는 것을 특징으로 하는 칩 테스트 시간 최소화 장치.A chip test time minimization apparatus, wherein the scan sections are shifted in the scan chain using different shift frequencies for each of the at least two scan sections.
  62. 제 61항에 있어서, 상기 쉬프팅부는,The method of claim 61, wherein the shifting unit,
    하나 이상의 스캔 패턴을 적어도 둘 이상의 스캔 섹션으로 분할하는 것을 특징으로 하는 칩 테스트 시간 최소화 장치.Chip test time minimization device, comprising dividing one or more scan patterns into at least two scan sections.
  63. 제 61항에 있어서, 상기 쉬프팅부는,The method of claim 61, wherein the shifting unit,
    스캔 섹션별로 쉬프팅시의 전력 소모 또는 전류 소모를 기초로 파악된 쉬프트 주파수를 이용하여 상기 스캔 체인에 스캔 섹션을 쉬프팅하는 것을 특징으로 하는 칩 테스트 시간 최소화 장치.The chip test time minimizing device, characterized in that for shifting the scan section in the scan chain by using the shift frequency determined based on the power consumption or current consumption during the shifting for each scan section.
  64. 제 51항에 기재된 방법을 수행하기 위한 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.A computer-readable recording medium having recorded thereon a program for performing the method of claim 51.
  65. 제 51항에 기재된 방법을 수행하여 스캔 섹션에 대해 파악 또는 결정된 쉬프트 주파수 정보 또는 상기 쉬프트 주파수가 반영된 스캔 섹션 정보를 기록한 컴퓨터로 읽을 수 있는 기록매체.A computer readable recording medium having recorded thereon shift frequency information or scan section information reflecting the shift frequency, by performing the method of claim 51.
PCT/KR2015/011374 2014-10-29 2015-10-27 Chip test time minimizing method and device therefor WO2016068573A1 (en)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
KR10-2014-0148443 2014-10-29
KR20140148443 2014-10-29
KR10-2014-0159606 2014-11-17
KR1020140159605A KR101618821B1 (en) 2014-11-17 2014-11-17 Method for minimizing scan test time and apparatus therefor
KR10-2014-0159605 2014-11-17
KR1020140159606A KR101618822B1 (en) 2014-10-29 2014-11-17 Method for minimizing scan test time and apparatus therefor
KR1020140167821A KR101649708B1 (en) 2014-10-29 2014-11-27 Method for minimizing burn-in test time and apparatus therefor
KR10-2014-0167821 2014-11-27

Publications (1)

Publication Number Publication Date
WO2016068573A1 true WO2016068573A1 (en) 2016-05-06

Family

ID=55857822

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/KR2015/011374 WO2016068573A1 (en) 2014-10-29 2015-10-27 Chip test time minimizing method and device therefor

Country Status (2)

Country Link
TW (1) TW201625973A (en)
WO (1) WO2016068573A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022098734A1 (en) * 2020-11-03 2022-05-12 Synopsys, Inc. Reformatting scan patterns in presence of hold type pipelines

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI798943B (en) * 2021-11-18 2023-04-11 瑞昱半導體股份有限公司 Detection result recording and outputting device

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5498995A (en) * 1993-03-17 1996-03-12 National Semiconductor Corporation Short circuit frequency shift circuit for switching regulators
JP2005140770A (en) * 2003-10-16 2005-06-02 Matsushita Electric Ind Co Ltd Semiconductor testing circuit
JP2006064395A (en) * 2004-08-24 2006-03-09 Seiko Epson Corp Critical path test method, integrated circuit device, critical path test system, and method for manufacturing integrated circuit device
US20090254787A1 (en) * 2008-04-07 2009-10-08 Open-Silicon, Inc. Shift-frequency scaling
JP2013029439A (en) * 2011-07-29 2013-02-07 Fujitsu Semiconductor Ltd Semiconductor integrated circuit device and method of testing the same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5498995A (en) * 1993-03-17 1996-03-12 National Semiconductor Corporation Short circuit frequency shift circuit for switching regulators
JP2005140770A (en) * 2003-10-16 2005-06-02 Matsushita Electric Ind Co Ltd Semiconductor testing circuit
JP2006064395A (en) * 2004-08-24 2006-03-09 Seiko Epson Corp Critical path test method, integrated circuit device, critical path test system, and method for manufacturing integrated circuit device
US20090254787A1 (en) * 2008-04-07 2009-10-08 Open-Silicon, Inc. Shift-frequency scaling
JP2013029439A (en) * 2011-07-29 2013-02-07 Fujitsu Semiconductor Ltd Semiconductor integrated circuit device and method of testing the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022098734A1 (en) * 2020-11-03 2022-05-12 Synopsys, Inc. Reformatting scan patterns in presence of hold type pipelines
US11694010B2 (en) 2020-11-03 2023-07-04 Synopsys, Inc. Reformatting scan patterns in presence of hold type pipelines

Also Published As

Publication number Publication date
TW201625973A (en) 2016-07-16

Similar Documents

Publication Publication Date Title
WO2017188749A9 (en) Ic chip test apparatus, ic chip test method, and ic chip test system
WO2012005483A2 (en) Method and device for sensing capacitance change and recording medium in which program for executing method is recorded thereon, and method and device for sensing touch using method and recording medium in which program for executing method is recorded thereon
WO2020101108A1 (en) Artificial-intelligence model platform and method for operating artificial-intelligence model platform
US10228419B2 (en) Apparatus, method, and system for testing IC chip
KR101618822B1 (en) Method for minimizing scan test time and apparatus therefor
EP0386804B1 (en) Arrangement for measuring a quiescent current of an integrated monolithic digital circuit, integrated monolithic digital circuit provided with such an arrangement and testing apparatus provided with such an arrangement
JP2023532942A (en) Integrated circuit margin measurements for structural testing
WO2016068573A1 (en) Chip test time minimizing method and device therefor
WO2022071727A1 (en) Method for sharing spectrum resources, apparatus, electronic device and storage medium
WO2021101069A1 (en) Apparatus and method for testing semiconductor device by using machine learning model
US6292415B1 (en) Enhancements in testing devices on burn-in boards
WO2023234742A1 (en) Space monitoring system and space monitoring method using acoustic signal
WO2020204245A1 (en) Lidless bga socket apparatus for testing semiconductor device
WO2020060297A1 (en) Electronic device and method for controlling thereof
WO2021054723A1 (en) Battery management device and method, and battery system including same
WO2023022279A1 (en) Method for generating search data for finding usable shift frequencies of test data for ic chip scan test, and device therefor
WO2016068385A1 (en) Method and device for minimizing scan test time
JP2018010005A (en) Ic chip test device, ic test chip method and ic chip test system
US20050066242A1 (en) Hybrid scan-based delay testing technique for compact and high fault coverage test set
KR101848480B1 (en) Apparatus, method, and system for testing integrated circuit chip
Jiang et al. A novel scan segmentation design for power controllability and reduction in at-speed test
WO2018174360A1 (en) Method for acquiring variable slab magnetic resonance imaging data
WO2020022740A1 (en) Electronic device including led transmission device, and control method therefor
WO2014003225A1 (en) Touch panel, position sensing method of touch panel, and integrated circuit
WO2024117774A1 (en) Measurement and inspection device for measuring vibration

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 15854058

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 15854058

Country of ref document: EP

Kind code of ref document: A1