KR101491992B1 - Continuous treatment method of semiconductor wafer - Google Patents

Continuous treatment method of semiconductor wafer Download PDF

Info

Publication number
KR101491992B1
KR101491992B1 KR1020130002208A KR20130002208A KR101491992B1 KR 101491992 B1 KR101491992 B1 KR 101491992B1 KR 1020130002208 A KR1020130002208 A KR 1020130002208A KR 20130002208 A KR20130002208 A KR 20130002208A KR 101491992 B1 KR101491992 B1 KR 101491992B1
Authority
KR
South Korea
Prior art keywords
wafer
chamber
formic acid
heated
nitrogen
Prior art date
Application number
KR1020130002208A
Other languages
Korean (ko)
Other versions
KR20140090011A (en
Inventor
이원구
서현모
안현환
류수렬
최우진
Original Assignee
(주)에스티아이
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by (주)에스티아이 filed Critical (주)에스티아이
Priority to KR1020130002208A priority Critical patent/KR101491992B1/en
Priority to CN201480004275.0A priority patent/CN104903992A/en
Priority to PCT/KR2014/000156 priority patent/WO2014109528A1/en
Priority to TW103100661A priority patent/TWI531017B/en
Publication of KR20140090011A publication Critical patent/KR20140090011A/en
Application granted granted Critical
Publication of KR101491992B1 publication Critical patent/KR101491992B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K3/00Tools, devices, or special appurtenances for soldering, e.g. brazing, or unsoldering, not specially adapted for particular methods
    • B23K3/06Solder feeding devices; Solder melting pans
    • B23K3/0607Solder feeding devices
    • B23K3/0623Solder feeding devices for shaped solder piece feeding, e.g. preforms, bumps, balls, pellets, droplets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies
    • H01L24/741Apparatus for manufacturing means for bonding, e.g. connectors
    • H01L24/742Apparatus for manufacturing bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/11848Thermal treatments, e.g. annealing, controlled cooling
    • H01L2224/11849Reflowing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies and for methods related thereto
    • H01L2224/741Apparatus for manufacturing means for bonding, e.g. connectors
    • H01L2224/742Apparatus for manufacturing bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 반도체 웨이퍼의 리플로우 방법에 관한 것으로, 제5스테이션에 로딩된 웨이퍼를 제1스테이션에 이송하고, 상기 웨이퍼를 세정하는 제1단계와, 상기 제1단계가 완료된 상기 웨이퍼를 제2스테이션에 이송하고, 웨이퍼를 가열하는 제2단계와, 상기 제2단계가 완료된 상기 웨이퍼를 제3스테이션에 이송하고, 대기압에서에서 상기 웨이퍼를 가열하여 상기 솔더 내의 공극을 제거하는 제3단계와, 상기 제3단계가 완료된 상기 웨이퍼를 제4스테이션에 이송하고, 가열하는 제4단계와, 상기 제4단계가 완료된 상기 웨이퍼를 제5스테이션에 이송하고 상기 웨이퍼를 냉각시켜 외부로 언로딩하는 제5단계를 포함한다. 본 발명은 리플로우 장치의 스테이션 수를 줄일 수 있도록 공정단계를 단순화함으로써, 공정시간을 줄여 생산성을 향상시키며, 리플로우 장치의 크기를 줄이고 비용을 절감할 수 있는 효과가 있다.The present invention relates to a method of reflowing a semiconductor wafer, comprising a first step of transferring a wafer loaded in a fifth station to a first station and cleaning the wafer, and a second step of transferring the wafer, A third step of transferring the wafer to the third station after completion of the second step and heating the wafer at atmospheric pressure to remove voids in the solder, A fourth step of transferring and heating the wafer to which the third step has been completed to a fourth station, and a fifth step of transferring the wafer completed in the fourth step to a fifth station, cooling the wafer and unloading the wafer to the outside . The present invention simplifies the process steps to reduce the number of stations of the reflow apparatus, thereby improving the productivity by reducing the process time, reducing the size of the reflow apparatus and reducing the cost.

Description

반도체 웨이퍼의 연속 처리방법{Continuous treatment method of semiconductor wafer}TECHNICAL FIELD The present invention relates to a continuous processing method of a semiconductor wafer,

본 발명은 반도체 웨이퍼의 연속 처리방법에 관한 것으로, 보다 상세하게는 공정단계를 줄이며, 납땜 볼의 파열을 방지할 수 있는 반도체 웨이퍼의 연속 처리방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention [0001] The present invention relates to a method for continuously processing a semiconductor wafer, and more particularly, to a method for continuously processing a semiconductor wafer capable of reducing the number of process steps and preventing breakage of solder balls.

일반적으로 반도체 웨이퍼에는 와이어, 컨덕터 등의 연결을 위하여 솔더 돌출부가 형성된다. 이러한 솔더부(범프)의 제조과정 중 하나인 리플로우(reflow) 공정은 솔더 볼, 솔더 크림 등을 용융시켜 웨이퍼에 밀착시키며, 적당한 프로파일을 가지도록 하는 공정이다.Generally, a solder projection is formed on a semiconductor wafer for connection of wires, conductors, and the like. A reflow process, which is one of the manufacturing processes of the solder part (bump), is a process of melting a solder ball, a solder cream, etc., and bringing the solder ball and the solder cream into close contact with the wafer and having an appropriate profile.

리플로우 과정에서는 특정한 온도 분위기와 대기 조건 및 공정시간에 의해 원하는 프로파일의 솔더부를 제작할 수 있게 된다. 이러한 온도 분위기나 기타의 조건을 유지하기 위하여, 처리중인 웨이퍼를 대기중으로 인출하지 않고 연속된 챔버를 가지는 장치를 사용하여 연속공정으로 처리된다.In the reflow process, a solder portion of a desired profile can be manufactured by a specific temperature atmosphere, an atmospheric condition, and a process time. In order to maintain such a temperature atmosphere or other conditions, the processed wafers are processed in a continuous process using a device having a continuous chamber without withdrawing the wafers into the atmosphere.

이와 같은 리플로우 방법의 예로서, 미국특허 07358175호(이하 종래기술 1)를 들 수 있고, 이를 구현하기 위한 장치로서 미국특허 US6,827,789호(이하 종래기술 2)를 들 수 있다.As an example of such a reflow method, US Pat. No. 07358175 (hereinafter referred to as "Prior Art 1") can be exemplified, and US Pat. No. 6,827,789 (hereinafter referred to as "Conventional Technique 2") is given as an apparatus for implementing this reflow method.

도 1은 상기 종래기술 1에 기재된 리플로우 장치의 구성도이다.Fig. 1 is a configuration diagram of the reflow apparatus described in the above-mentioned prior art 1. Fig.

도 1에 도시한 바와 같이 제1 내지 제6스테이션(#1~#6)과, 상기 각 스테이션에 웨이퍼(W)를 회전시켜 이송시키는 턴테이블(12)을 포함하는 처리장치(10)를 이용하여 공정이 진행된다.As shown in Fig. 1, by using the processing apparatus 10 including the first to sixth stations # 1 to # 6 and the turntable 12 for rotating and transferring the wafers W to the respective stations The process proceeds.

종래 기술의 상세한 설명 등에는 제1 내지 제6스테이션(#1~#6) 각각에서 진행되는 공정에 대하여 기재되어 있다. 이를 각 단계별로 정리하면 다음과 같다.Detailed descriptions of the related arts and the like describe processes performed by each of the first to sixth stations # 1 to # 6. These are summarized as follows.

먼저 웨이퍼(W)가 제6스테이션(#6)에 로딩 된 후 질소가스에 의해 제6스테이션(#6) 내부를 퍼지하고, 상기 턴테이블(12)이 회전하여 웨이퍼(W)를 제1스테이션(#1)으로 이동시킨다. 이때 제1스테이션(#1)에서는 대기압에서 질소 또는 포름산 증기와 질소가 공급되어, 가열에 의해 웨이퍼 상의 수분, 유기 오염물, 표면 산화물이 제거된다.First, the wafer W is loaded into the sixth station # 6, and then the inside of the sixth station # 6 is purged by the nitrogen gas. Then, the turntable 12 rotates to transfer the wafer W to the first station # # 1). At this time, in the first station # 1, nitrogen or formic acid vapor and nitrogen are supplied at atmospheric pressure, and moisture, organic contaminants, and surface oxides on the wafer are removed by heating.

그 다음, 턴테이블(12)에 의해 제1스테이션(#1)의 웨이퍼(W)는 제2스테이션(#2)으로 이동되어, 대기압에서 질소 또는 포름산 증기와 질소가 공급되어, 가열함으로써 웨이퍼(W)상의 솔더가 융해된다.Next, the wafers W of the first station # 1 are moved to the second station # 2 by the turntable 12, and nitrogen or formic acid vapor and nitrogen are supplied at atmospheric pressure to heat the wafers W ) Is melted.

그 다음, 턴테이블(12)에 의해 제2스테이션(#2)에서 제3스테이션(#3)으로 웨이퍼(W)가 이송된 후, 1torr 이하의 압력분위기에서 200 내지 400℃의 온도로 가열하여 웨이퍼 상의 솔더에 포함된 공극(void)을 제거하게 된다. Next, after the wafer W is transferred from the second station # 2 to the third station # 3 by the turntable 12, the wafer W is heated at a temperature of 200 to 400 ° C. in a pressure atmosphere of 1 torr or less, The voids contained in the solder on the substrate are removed.

그 다음, 제4스테이션(#4)에서는 웨이퍼(W)를 대기압 분위기에서 포름산 증기와 질소의 혼합가스 또는 질소를 공급한 상태에서 가열하여, 솔더 범프를 형성하고, 상기 솔더 표면의 거칠기를 완화한다.Next, in the fourth station (# 4), the wafer W is heated in a state in which a mixed gas of formic acid vapor and nitrogen or nitrogen is supplied in an atmospheric pressure atmosphere to form solder bumps, thereby alleviating the roughness of the solder surface .

그 다음, 제5스테이션(#5)으로 이송된 웨이퍼(W)는 대기압 분위기에서 질소를 공급하고, 가열하여 솔더 범프의 그레인(grain) 형성을 제어한다.Next, the wafer W transferred to the fifth station # 5 supplies nitrogen in an atmospheric pressure atmosphere and heats it to control the grain formation of the solder bumps.

그 다음, 제6스테이션(#6)으로 웨이퍼(W)를 이송시키고, 그 웨이퍼(W)는 대기압 분위기에서 솔더 범프를 냉각시킨 후, 웨이퍼(W)는 외부로 언로딩 된다. Next, the wafer W is transferred to the sixth station # 6. After the wafer W is cooled in the atmospheric pressure atmosphere, the wafer W is unloaded to the outside.

이처럼 종래 웨이퍼(W)의 리플로우 방법은 모두 6개의 단계로 순차 진행되며, 각 공정단계의 진행시간 이외에 웨이퍼(W)를 이송하는 시간을 더 고려할 때 상대적으로 생산성이 저하되는 문제점이 있었다.As described above, the conventional reflow method of the wafer W is performed in six stages in a sequential manner. When the time for transferring the wafers W is considered in addition to the processing time of each processing step, the productivity is relatively decreased.

또한 앞서 설명한 바와 같이 진공분위기에서 솔더 내의 공극을 제거하는 과정에서 솔더가 파열되며, 이는 제4스테이션(#4)에서의 후처리에 의해서도 표면이 균일하게 회복되지 않는 문제점이 있었다.Also, as described above, the solder is ruptured in the process of removing the voids in the solder in a vacuum atmosphere, which has a problem that the surface is not uniformly recovered even after the post-treatment in the fourth station # 4.

한편, 종래기술 2의 도면 1에는 로딩챔버와 언로딩챔버를 포함하는 총 6개의 챔버가 도시되어 있으며, 턴테이블을 사용하여 로딩된 웨이퍼를 다음의 공정챔버로 순차 이동시키며, 최종적으로 웨이퍼를 언로딩챔버로 이송하여, 처리가 완료된 웨이퍼를 로봇에 의해 언로딩 시키도록 구성되어 있다.In FIG. 1 of the prior art 2, a total of six chambers including a loading chamber and an unloading chamber are shown. The loaded wafer is sequentially moved to the next process chamber using a turntable, The wafer is transferred to the chamber, and the processed wafer is unloaded by the robot.

종래기술 1의 스테이션과 종래기술 2의 챔버는 동일한 의미로서 사용되고, 이하 설명에서도 동일하다.The chamber of the prior art 1 and the chamber of the prior art 2 are used in the same sense, and the same applies in the following description.

종래기술 2에는 처리플레이트와 하부격리챔버를 상하로 이동 가능하게 구성하여, 턴테이블에 의해 이송되어진 웨이퍼를 격리시켜 공정을 진행하게 된다. In the prior art 2, the process plate and the lower isolation chamber are configured to be movable up and down, and the wafer transferred by the turntable is isolated to proceed the process.

상기 처리플레이트는 통상 서셉터로 통칭되며, 내부에 히터를 포함하고, 웨이퍼를 진공 흡착시키는 구조가 형성되어 있어 상대적으로 중량물이며, 이를 상하로 이동시키기 위하여 에너지 소모량이 많고, 장치의 부피가 커지는 문제점이 있었다.The processing plate is generally referred to as a susceptor and includes a heater inside and a structure for vacuum-adsorbing the wafer is formed, which is a relatively heavy material. In order to move the wafer vertically, energy consumption is large, .

아울러 처리플레이트와 하부격리챔버를 상하 이동시키기 위한 구동부와 동력전달구조가 복잡하여 제조원가가 증가하게 되는 문제점이 있었다. There is a problem that the manufacturing cost is increased due to the complexity of the drive unit and the power transmission structure for vertically moving the processing plate and the lower isolation chamber.

또한 종래기술 2는 다수의 챔버 각각이 밀폐된 상태에서는 항상 웨이퍼가 처리플레이트에 안착 되는 구조이기 때문에 다른 챔버에서 공정이 진행되고 있는 상태에서 특정 챔버에서 공정이 완료된 경우에도 웨이퍼가 처리플레이트에 안착 되어 있어 지속적으로 가열되어 공정 불량이 발생할 수 있는 문제점이 있다.Also, in the prior art 2, since the wafer is always placed on the process plate in a state where each of the plurality of chambers is in a closed state, the wafer is seated on the process plate even when the process is completed in a specific chamber while the process is proceeding in another chamber So that there is a problem that process failure may occur due to continuous heating.

종래기술 2의 경우 처리플레이트와 하부격리챔버를 함께 하향이동하면 웨이퍼와 함께 웨이퍼 링이 하강하여 턴테이블에 안착됨으로써 웨이퍼는 처리플레이트로부터 이격되어, 다른 챔버에서 공정이 진행되고 있는 동안 공정이 완료된 웨이퍼를 처리플레이트에 접촉하지 않도록 할 수 있어 처리플레이트로부터의 지속적인 가열에 의해 공정 불량이 발생하는 문제점은 방지할 수 있다. In the case of the prior art 2, when the processing plate and the lower isolation chamber are moved downward together, the wafer ring is lowered together with the wafer and is seated on the turntable so that the wafer is separated from the processing plate, It is possible to prevent the processing plate from contacting with the processing plate, thereby avoiding the problem that process failure occurs due to continuous heating from the processing plate.

그러나 이 경우 웨이퍼는 더 이상 격리된 상태를 유지할 수 없고, 웨이퍼는 격리된 챔버 외부 공간에 노출된다. 따라서 웨이퍼가 가열 공정에 의해 처리가 된 후 다음 챔버에서 공정이 진행되기까지 외부 공간에 노출되면 웨이퍼 온도가 떨어져 공정불량이 발생할 수 있는 문제점이 있다.In this case, however, the wafer can no longer remain isolated and the wafer is exposed to the isolated chamber exterior space. Therefore, when the wafer is exposed to the external space until the process is performed in the next chamber after the wafer is processed by the heating process, there is a problem that the wafer temperature may be lowered and a process failure may occur.

또한 종래기술 2의 경우 처리플레이트의 상면에 웨이퍼 지지핀을 수용하기 위한 홈이 형성되어 있어, 처리플레이트 상에 웨이퍼가 지지된 상태에서 웨이퍼에 열을 가하게 되면, 홈으로 인해 웨이퍼의 저면에 전달되는 열이 불균일하여 공정불량이 발생할 수 있다.In the case of the prior art 2, a groove for receiving the wafer support pin is formed on the upper surface of the processing plate. When heat is applied to the wafer in a state where the wafer is supported on the processing plate, Heat may be uneven and a process failure may occur.

또한 종래기술 2의 경우 웨이퍼가 하나의 챔버에서 다음 챔버로 이송되는 도중에는 원하는 온도를 유지할 수 없어 웨이퍼에 열충격이 가해져 품질이 저하되는 문제점이 있다.Also, in the case of the prior art 2, a desired temperature can not be maintained while the wafer is being transferred from one chamber to the next chamber, and thus thermal shock is applied to the wafer, thereby deteriorating the quality.

상기와 같은 문제점을 해결하기 위한 본 발명의 과제는, 공정단계를 줄일 수 있는 반도체 웨이퍼의 연속 처리방법을 제공함에 있다.SUMMARY OF THE INVENTION The present invention has been made in view of the above problems, and it is an object of the present invention to provide a method for continuously processing semiconductor wafers.

또한 본 발명의 다른 과제는 공극 제거 과정에서 솔더가 파열되는 것을 방지하여, 공정의 안정성을 향상시킬 수 있는 반도체 웨이퍼의 연속 처리방법을 제공함에 있다.Another object of the present invention is to provide a method for continuously treating a semiconductor wafer, which can prevent the solder from rupturing during the pore removal process and improve the process stability.

또한 본 발명의 다른 과제는, 공정이 완료된 특정 챔버내의 웨이퍼를 다른 챔버의 공정이 완료될 때까지 서셉터로부터 이격시킴과 동시에 격리된 상태를 유지할 수 있는 반도체 웨이퍼의 연속 처리방법을 제공함에 있다.Another object of the present invention is to provide a method for continuously processing a semiconductor wafer in which a wafer in a specific chamber in which a process has been completed can be kept isolated from the susceptor until the process of another chamber is completed.

또한 본 발명의 다른 과제는, 웨이퍼에 분사되는 공정가스를 웨이퍼에 분사하기 직전에 가열함으로써 공정 처리의 균일성을 확보할 수 있는 반도체 웨이퍼의 연속 처리방법을 제공함에 있다.Another object of the present invention is to provide a method for continuously processing a semiconductor wafer, which can ensure uniformity of the processing process by heating the process gas jetted onto the wafer immediately before the wafer is jetted.

또한 본 발명의 다른 과제는, 솔더 볼의 형성단계에서 웨이퍼의 상면과 하면을 동시에 가열함으로써 솔더 볼의 형상을 안정적으로 형성할 수 있는 반도체 웨이퍼의 연속 처리방법을 제공함에 있다.Another object of the present invention is to provide a method of continuously processing a semiconductor wafer, which can stably form a shape of a solder ball by simultaneously heating an upper surface and a lower surface of the wafer in a solder ball forming step.

상기와 같은 과제를 달성하기 위한 본 발명 반도체 웨이퍼의 연속 처리방법은, 다수의 챔버를 구비하고, 상기 챔버의 외부를 둘러싸는 외부몸체가 구비된 장치에서 웨이퍼를 처리하는 반도체 웨이퍼의 연속 처리방법에 있어서, 상기 다수의 챔버는 제1 내지 제5챔버로 이루어지고, 상기 제5챔버에 웨이퍼를 로딩한 후 불활성 가스를 주입하여 퍼지하는 제1단계; 상기 제1단계가 완료된 상기 웨이퍼를 제1챔버에 이송하고, 상기 제1챔버 내부에 공정가스를 주입한 후 웨이퍼를 가열하는 제2단계; 상기 제2단계가 완료된 상기 웨이퍼를 제2챔버로 이송하고, 상기 제2챔버 내부에 공정가스를 주입한 후 웨이퍼를 가열하는 제3단계; 상기 제3단계가 완료된 상기 웨이퍼를 제3챔버에 이송하고, 상기 제3챔버의 내부가 대기압인 상태에서 상기 웨이퍼를 가열하는 제4단계; 상기 제4단계가 완료된 상기 웨이퍼를 제4챔버에 이송하고, 상기 제4챔버의 내부에 공정가스를 주입한 후 웨이퍼를 가열하는 제5단계; 상기 제5단계가 완료된 상기 웨이퍼를 제5챔버에 이송하고 상기 웨이퍼를 냉각시킨 후 외부로 언로딩하고, 다른 웨이퍼를 상기 제5챔버에 로딩시키는 제5단계를 포함한다.According to another aspect of the present invention, there is provided a method for continuously processing a semiconductor wafer, including a plurality of chambers and an outer body surrounding the chamber, Wherein the plurality of chambers comprises first to fifth chambers, and wherein the wafer is loaded into the fifth chamber, and then an inert gas is injected and purged; A second step of transferring the wafer having completed the first step to the first chamber, injecting a process gas into the first chamber, and then heating the wafer; A third step of transferring the wafer having completed the second step to a second chamber, injecting a process gas into the second chamber, and then heating the wafer; A fourth step of transferring the wafer having completed the third step to a third chamber and heating the wafer with the interior of the third chamber being at atmospheric pressure; A fifth step of transferring the wafer completed in the fourth step to a fourth chamber, injecting a process gas into the fourth chamber, and then heating the wafer; And a fifth step of transferring the wafer having completed the fifth step to the fifth chamber, cooling the wafer, then unloading the wafer, and loading another wafer into the fifth chamber.

상기 제2단계 내지 제5단계에 주입되는 공정가스는, 포름산 증기와 질소일 수 있다.The process gas injected into the second to fifth steps may be formic acid vapor and nitrogen.

상기 챔버 내부의 격리된 공정공간과, 상기 외부몸체 내부의 연결공간부에는 상기 웨이퍼가 이송되는 과정에서 가열된 질소가 공급되어 웨이퍼의 온도 변화를 최소화하는 것으로 구성될 수 있다.In the isolated process space inside the chamber and the connection space inside the outer body, heated nitrogen is supplied during the transfer of the wafer, thereby minimizing the temperature change of the wafer.

상기 가열된 질소는, 상기 챔버가 격리된 상태에서 공정이 진행되는 경우의 상기 연결공간부의 분위기 온도보다 더 높은 온도로 공급될 수 있다.The heated nitrogen may be supplied at a temperature higher than the atmospheric temperature of the connection space portion when the process proceeds in an isolated state of the chamber.

상기 가열된 질소는, 상기 제2 단계 내지 제5 단계에서 웨이퍼를 가열하는 온도로 공급될 수 있다.The heated nitrogen may be supplied at a temperature at which the wafer is heated in the second to fifth steps.

상기 제4단계는, 100 내지 500℃의 온도에서, 질소를 전달 가스로 사용하여 포름산 증기를 공급하여, 1 내지 300초의 시간 동안 상기 웨이퍼를 처리할 수 있다.In the fourth step, the wafer may be treated at a temperature of 100 to 500 DEG C for 1 to 300 seconds by supplying formic acid vapor using nitrogen as a transfer gas.

상기 제5단계는, 상기 웨이퍼를 대기압과 20 내지 400℃의 온도 분위기에서, 질소를 전달 가스로 사용하여 포름산 증기를 공급하여, 1 내지 300초의 시간 동안 처리할 수 있다.In the fifth step, the wafer may be treated for 1 to 300 seconds by supplying formic acid vapor using nitrogen as a transfer gas at atmospheric pressure and a temperature atmosphere of 20 to 400 ° C.

상기 제4단계 및 제5단계는, 상기 웨이퍼의 하면을 지지하는 서셉터에 구비된 히터에 의해 가열됨과 동시에 상기 웨이퍼의 상부에 설치된 상부히터에 의해 가열됨으로써, 웨이퍼의 상면과 하면이 균일하게 가열될 수 있다.The fourth step and the fifth step are performed by heating the upper surface and the lower surface of the wafer uniformly by heating by a heater provided on a susceptor for supporting a lower surface of the wafer and simultaneously heating the upper surface heater provided on the upper surface of the wafer, .

상기 웨이퍼에 분사되는 포름산은 상기 상부히터에 의해 가열될 수 있다.The formic acid sprayed onto the wafer can be heated by the upper heater.

상기 상부히터의 하부에는, 내부에 상기 포름산이 유입되는 버퍼공간이 형성되고, 상기 버퍼공간의 하부에 상기 포름산을 상기 웨이퍼의 상면에 균일하게 분사하기 위한 분사구가 다수개 형성된 샤워헤드가 구비되어, 상기 버퍼공간에서 상기 포름산이 가열될 수 있다.A buffer space into which the formic acid flows is formed in a lower portion of the upper heater and a showerhead having a plurality of jetting ports for uniformly jetting the formic acid onto the upper surface of the wafer is provided in a lower portion of the buffer space, The formic acid can be heated in the buffer space.

상기 제1 내지 제5챔버는, 웨이퍼를 지지하기 위해 고정 설치되어 상기 웨이퍼에 열을 인가하는 서셉터, 상기 서셉터의 외측에 고정 설치되어 상기 웨이퍼의 하부에 격리된 공정 공간을 형성하는 하부하우징, 상기 웨이퍼의 상부에 격리된 공정 공간을 형성하기 위해 상하 이동하는 상부하우징, 상기 상부하우징과 하부하우징 사이에 구비되어 상기 다수의 챔버 사이에서 웨이퍼를 이송하는 턴테이블을 포함하되; 상기 상부하우징이 하향 이동하여 격리된 공정공간을 형성한 상태에서 상기 웨이퍼의 처리가 이루어질 수 있다.The first through fifth chambers include a susceptor fixedly installed to support a wafer and applying heat to the wafer, a lower housing fixedly installed outside the susceptor and forming a process space isolated from the lower portion of the wafer, An upper housing moving up and down to form an isolated process space on the wafer, and a turntable disposed between the upper housing and the lower housing for transferring wafers between the chambers; The wafer can be processed in a state where the upper housing moves downward to form an isolated process space.

상기 제1 내지 제5챔버 중 공정이 완료된 챔버의 상기 웨이퍼는, 상기 상부하우징에 의해 격리된 공정공간 내에서, 상기 웨이퍼를 상기 서셉터의 상면으로부터 이격시킨 상태로 공정이 진행중인 챔버의 공정이 완료될 때까지 대기하는 것일 수 있다.The wafer of the chamber in which the process has been completed among the first to fifth chambers is completed in the process space isolated by the upper housing in a state where the wafer is separated from the upper surface of the susceptor, It may be to wait until it is.

상기 웨이퍼가 다음 챔버로 이송되는 경우 상기 턴테이블이 상승 및 하강하는 것일 수 있다.The turntable may be raised and lowered when the wafer is transferred to the next chamber.

상기 제1단계에서 제1챔버에 주입되는 불활성 가스는 내부 공간의 수분을 증발시키기 위해 가열된 상태로 주입되는 것일 수 있다.The inert gas injected into the first chamber in the first step may be injected in a heated state to evaporate moisture in the internal space.

본 발명은, 반도체 연속 처리장치의 챔버 수를 줄일 수 있도록 공정단계를 단순화함으로써, 공정시간을 줄여 생산성을 향상시키며, 장치의 크기를 줄이고 비용을 절감할 수 있는 효과가 있다.The present invention has the effect of simplifying the process steps so as to reduce the number of chambers of the semiconductor continuous processing apparatus, thereby improving the productivity by reducing the process time, reducing the size of the apparatus and reducing the cost.

또한 본 발명은 솔더 볼의 파열을 방지하면서, 효과적으로 유기오염물을 제거할 수 있게 되어, 공정의 안정성을 향상시킬 수 있는 효과가 있다.In addition, the present invention can effectively remove organic contaminants while preventing the solder balls from rupturing, thereby improving the stability of the process.

또한 공정이 완료된 특정 챔버내의 웨이퍼를 다른 챔버의 공정이 완료될 때까지 서셉터로부터 이격시킴과 동시에 격리된 상태를 유지할 수 있어, 웨이퍼가 대기상태에서 추가로 가열되는 것을 방지하여 공정의 신뢰성을 보다 향상시킬 수 있고, 다른 챔버의 공정이 완료될 때까지 대기하는 경우 웨이퍼가 격리된 상태를 유지할 수 있어 공정의 신뢰성을 더욱 향상시킬 수 있다.Further, the wafer in the specific chamber in which the process has been completed can be kept isolated from the susceptor until the process of the other chamber is completed, so that the wafer can be prevented from being further heated in the standby state, And the wafer can be kept in an isolated state when waiting for the completion of the process of the other chamber, so that the reliability of the process can be further improved.

또한 웨이퍼에 분사되는 공정가스를 웨이퍼에 분사하기 직전에 가열함으로써 공정 처리의 균일성을 확보할 수 있고, 솔더 볼의 형성단계에서 웨이퍼의 상면과 하면을 동시에 가열함으로써 솔더 볼의 형상을 안정적으로 형성할 수 있다.In addition, the uniformity of the process can be ensured by heating the process gas injected to the wafer just before the wafer is jetted, and the top and bottom surfaces of the wafer are simultaneously heated in the process of forming the solder balls, can do.

도 1은 종래 리플로우 장치의 구성도이다.
도 2는 본 발명의 바람직한 실시예에 따른 반도체 웨이퍼 연속처리 방법이 적용되는 장치의 구성도이다.
도 3은 도 2에서 A-A 방향의 개략적인 단면도이다.
도 4는 본 발명에 적용되는 안착링의 상세 단면 구성도이다.
도 5 내지 도 14은 웨이퍼의 이동과 처리 과정에 따라 도시한 본 발명의 개략적인 단면 구성도이다.
도 15는 본 발명의 다른 실시예에 따른 제1공정챔버의 단면 구성도이다.
1 is a configuration diagram of a conventional reflow apparatus.
2 is a configuration diagram of an apparatus to which a semiconductor wafer continuous processing method according to a preferred embodiment of the present invention is applied.
3 is a schematic cross-sectional view in the AA direction in Fig.
4 is a detailed cross-sectional view of a seat ring according to the present invention.
FIGS. 5 to 14 are schematic cross-sectional views of the present invention shown in accordance with the movement and processing of the wafer.
15 is a cross-sectional view of a first process chamber according to another embodiment of the present invention.

이하, 본 발명의 바람직한 실시예에 따른 반도체 웨이퍼의 연속처리 방법에 대하여 첨부한 도면을 참조하여 상세히 설명한다.Hereinafter, a method of continuously processing a semiconductor wafer according to a preferred embodiment of the present invention will be described in detail with reference to the accompanying drawings.

도 2는 본 발명의 바람직한 실시예에 따른 반도체 웨이퍼 연속처리 방법이 적용되는 리플로우 장치의 구성도이다.2 is a configuration diagram of a reflow apparatus to which a semiconductor wafer continuous processing method according to a preferred embodiment of the present invention is applied.

도 2를 참조하면 본 발명이 적용되는 반도체 웨이퍼 연속처리 장치는 제1 내지 제5챔버(100, 200, 300, 400, 500)를 포함하고, 외부몸체(600)의 중앙을 기준으로 제1 내지 제5챔버(100, 200, 300, 400, 500)가 원형으로 배치되며, 제1 내지 제5챔버(100, 200, 300, 400, 500)의 사이에서 웨이퍼(W)를 이송하는 턴테이블(700)을 포함하여 구성된다.Referring to FIG. 2, the semiconductor wafer continuous processing apparatus to which the present invention is applied includes first through fifth chambers 100, 200, 300, 400, and 500, The turntable 700 for transferring the wafer W between the first to fifth chambers 100, 200, 300, 400, 500 and the fifth chambers 100, 200, 300, 400, ).

이와 같은 구성은 도 1의 종래 기술에 비하여 웨이퍼(W)의 이송단계의 수를 줄이고, 그 공정단계의 간소화를 통해 생산성을 향상시킬 수 있다. 또한 장치의 크기를 줄일 수 있기 때문에 장치의 제조원가를 절감할 수 있는 효과를 기대할 수 있다.This configuration can reduce the number of transferring steps of the wafer W compared with the prior art shown in FIG. 1, and can improve the productivity by simplifying the process steps. In addition, since the size of the apparatus can be reduced, the manufacturing cost of the apparatus can be reduced.

이하, 상기 제1 내지 제5챔버(100~500)에서 각각 진행되는 본 발명 반도체 웨이퍼 연속처리 방법의 일례로서, 웨이퍼 리플로우 방법의 구성과 작용을 보다 상세히 설명한다.Hereinafter, the structure and operation of the wafer reflow method will be described in detail as an example of the method for continuously processing semiconductor wafers according to the present invention, which proceeds in the first to fifth chambers 100 to 500, respectively.

먼저, 웨이퍼(W)가 제1챔버(100)에 로딩되면, 대기압 분위기의 제1챔버(100) 내부에 불활성 가스인 질소를 투입하여 퍼지(Purge)함으로써 내부에 잔존하는 산소 함량을 줄이게 된다. First, when the wafer W is loaded into the first chamber 100, nitrogen, which is an inert gas, is purged into the first chamber 100 of the atmospheric pressure atmosphere to reduce the oxygen content remaining therein.

상기 제1챔버(100) 내부에는 이전 공정에서 포름산 증기를 가열하여 생성된 수분 입자가 챔버 내외부의 온도 차로 인해 챔버 내부 벽면에 부착되어 있고, 이러한 수분 입자에 다른 공정 입자 또는 이물질이 달라붙어 챔버 내부 벽면에 부착되면 파티클이 발생할 수 있다.In the first chamber 100, moisture particles generated by heating the formic acid vapor in the previous process are attached to the inner wall surface of the chamber due to the temperature difference between the inside and the outside of the chamber, and other process particles or foreign substances stick to the inside of the chamber, If attached to the wall, particles may occur.

이러한 문제점을 방지하기 위해 상기 질소는 상기 수분 입자를 기화시킬 수 있는 온도로 가열된 질소를 사용하여 챔버 내부 벽면에 수분 입자가 증발시켜 파티클의 발생을 방지할 수 있다.In order to prevent such a problem, the nitrogen may be heated to a temperature at which the moisture particles can be vaporized, so that moisture particles are evaporated on the inner wall surface of the chamber to prevent particles from being generated.

웨이퍼(W)의 퍼지가 완료되면, 턴테이블(700)에 의해 웨이퍼(W)가 제2챔버(200)로 이동하고, 제2챔버(200)의 내부는 대기압인 760torr의 압력과 100 내지 400℃의 온도를 유지하게 되며, 포름산과 질소가 공급되어, 1 내지 300초의 시간 동안 처리되어, 웨이퍼(W)에 존재하는 수분, 유기 오염물, 표면 산화물을 제거하게 된다. When the purging of the wafer W is completed, the turntable 700 moves the wafer W to the second chamber 200, and the inside of the second chamber 200 is pressurized at a pressure of 760 torr, And formic acid and nitrogen are supplied and treated for 1 to 300 seconds to remove moisture, organic contaminants, and surface oxides present in the wafer W.

그 다음, 턴테이블(700)에 의해 제3챔버(300)로 웨이퍼(W)가 이송된 후, 760torr의 압력과 100 내지 500℃의 온도에서, 포름산 증기와 질소가 공급되어, 1 내지 300초의 시간 동안 처리되어, 웨이퍼 상의 솔더가 융해된다.Then, after the wafer W is transferred to the third chamber 300 by the turntable 700, formic acid vapor and nitrogen are supplied at a pressure of 760 torr and a temperature of 100 to 500 占 폚, and a time of 1 to 300 seconds To melt the solder on the wafer.

이 경우 상기 턴테이블(700)이 회전하여 웨이퍼(W)를 이송하는 동안에도 상기 가열된 질소를 공급하여, 웨이퍼(W)의 솔더볼의 온도가 낮아지는 것을 방지하여 솔더볼의 형상을 안정적으로 유지할 수 있다. In this case, even when the turntable 700 rotates and transfers the wafer W, the heated nitrogen is supplied to prevent the temperature of the solder ball of the wafer W from being lowered, thereby stably maintaining the shape of the solder ball .

그 다음, 턴테이블(700)에 의해 제3챔버(300)에서 제4챔버(400)로 웨이퍼(W)가 이송된 후, 760torr의 압력과 100 내지 500℃의 온도에서, 질소와 포름산 증기를 공급하여, 1 내지 300초의 시간 동안 처리한다.Next, after the wafer W is transferred from the third chamber 300 to the fourth chamber 400 by the turntable 700, nitrogen and formic acid vapor are supplied at a pressure of 760 torr and a temperature of 100 to 500 ° C For a time of 1 to 300 seconds.

이러한 처리에 의해 솔더볼 내의 공극을 제거할 수 있다. 이때의 공극 제거가 종래와는 다르게 대기압인 760torr의 압력에서 이루어져, 종래의 1torr 이하의 압력에서 진행되는 공극 제거공정에 비하여 공극 제거율은 감소할 수 있다. 그러나 공극의 제거를 위하여 진공 공정을 진행하는 경우, 솔더볼이 파열되는 문제점이 발생할 수 있으며, 본 발명에서는 공극의 제거율은 상대적으로 낮더라도 안정적인 공정의 진행을 위하여 760torr의 압력하에서 공정하여, 공정 안정성을 향상시킬 수 있게 된다. 또한 공극 제거율의 측면에서도 종래의 1torr 이하의 압력에서 진행되는 경우와 비교하여 제품 품질에 영향을 미치지 않는 정도의 차이만 발생한다.By this treatment, voids in the solder ball can be removed. At this time, the void removal is performed at a pressure of 760 torr at atmospheric pressure, unlike the prior art, so that the void removal rate can be reduced compared to the conventional void removal process under a pressure of 1 torr or less. However, when the vacuum process is performed to remove the pores, there may occur a problem that the solder balls are ruptured. In the present invention, since the pore removal rate is relatively low, the process is performed under the pressure of 760 torr for the stable process, . Also, in terms of the pore removal rate, there is only a difference in degree that does not affect the product quality as compared with the case where the pressure is less than 1 Torr.

상기 제4챔버(400)에서의 처리 과정이 완료된 상태에서, 제5챔버(500)에서 이전에 공급된 웨이퍼가 처리 중인 경우, 제4챔버(400)에 구비되어 웨이퍼(W)를 지지하는 서셉터의 표면으로부터 웨이퍼(W)를 들어올려 대기하는 상태가 되도록 한다. 상기 대기상태는 공정이 완료된 후에도 계속 내부에 히터가 구비된 서셉터에 접촉시켜 둘 경우 공정 이상이 발생할 수 있기 때문이다. 이와 같은 대기상태에서 상기 제4챔버(400)는 격리된 상태를 유지하며, 상기 대기상태는 모든 챔버에 적용될 수 있다.When the wafer W previously processed in the fifth chamber 500 is being processed in the state where the processing in the fourth chamber 400 is completed, the wafer W provided in the fourth chamber 400 and supporting the wafer W The wafer W is lifted from the surface of the susceptor so as to be in a standby state. This is because, even after the completion of the process, if the substrate is brought into contact with the susceptor provided with the heater, it may cause a process abnormality. In this standby state, the fourth chamber 400 is maintained in an isolated state, and the standby state can be applied to all the chambers.

그 다음, 제5챔버(500)에서는 웨이퍼(W)를 760torr의 압력과 20 내지 400℃의 온도에서, 포름산 증기와 질소의 혼합가스의 공급분위기에서, 1 내지 300초의 시간 동안 처리하여, 솔더 범프를 형성하고 솔더의 표면 거칠기를 완화한다.Next, in the fifth chamber 500, the wafer W is treated at a pressure of 760 torr and a temperature of 20 to 400 占 폚 in a supply atmosphere of a mixed gas of formic acid vapor and nitrogen for 1 to 300 seconds, And the surface roughness of the solder is reduced.

상기 제4챔버(400)와 제5챔버(500)에는 웨이퍼(W)를 지지하는 서셉터에 마련된 히터 이외에도, 상부측에 후술하는 상부히터(370)를 더 포함하여 온도의 조절이 용이하도록 할 수 있으며, 웨이퍼의 상부와 하부를 균일하게 가열하여 솔더볼의 형상의 안정적으로 형성하는 것이 가능하다. In the fourth chamber 400 and the fifth chamber 500, an upper heater 370, which will be described later, is further provided in addition to the heater provided in the susceptor for supporting the wafer W, And it is possible to form the shape of the solder ball stably by uniformly heating the upper and lower portions of the wafer.

그 다음, 제1챔버(100)로 이송된 웨이퍼(W)는 760torr의 압력과 20 내지 30℃의 온도에서, 공기 또는 질소의 공급분위기에서, 1 내지 300초의 시간 동안 처리되어, 솔더 범프의 그레인(grain)을 형성하고 냉각시킨다. 상기 냉각된 웨이퍼(W)는 제1챔버(100)에서 외부로 언로딩 된다. The wafer W transferred to the first chamber 100 is then processed for 1 to 300 seconds in a feed atmosphere of air or nitrogen at a pressure of 760 torr and a temperature of 20 to 30 DEG C to form a grain of solder bump thereby forming a grain and cooling it. The cooled wafer W is unloaded from the first chamber 100 to the outside.

즉, 상기 제1챔버(100)에서는 웨이퍼(W)의 로딩과 언로딩이 함께 수행될 수 있는 공간을 제공한다.That is, the first chamber 100 provides a space in which the loading and unloading of the wafer W can be performed together.

상기한 바와 같이 본 발명은 솔더 내의 공극의 제거를 위하여 진공 분위기를 사용하지 않아 공정의 안정성을 향상시킬 수 있는 효과가 있으며, 사용되는 스테이션의 수를 줄여 장치의 구조를 단순화 할 수 있다.As described above, the present invention has the effect of improving the process stability by not using a vacuum atmosphere for removing the voids in the solder, and can reduce the number of used stations and simplify the structure of the apparatus.

이하 상기한 방법을 구현하기 위한 반도체 웨이퍼 연속 처리 장치의 일례를 도 3 내지 도 15를 참조하여 설명한다.Hereinafter, an example of a semiconductor wafer continuous processing apparatus for implementing the above-described method will be described with reference to FIGS. 3 to 15. FIG.

도 3은 도 2에서 A-A 방향의 개략적인 단면도, 도 4는 안착링의 상세 단면 구성도이다. Fig. 3 is a schematic sectional view taken along the line A-A in Fig. 2, and Fig. 4 is a detailed sectional configuration view of the seat ring.

도 2와 도 3을 각각 참조하면, 상기 외부몸체(600)는 원판형의 하부플레이트(610)와, 상기 하부플레이트(610)의 상측에 구비된 원판형의 상부플레이트(620)와, 상기 하부플레이트(610)의 가장자리와 상부플레이트(620)의 가장자리에 상단과 하단이 연결된 측면하우징(630)으로 구성된다. 2 and 3, the outer body 600 includes a disk-shaped lower plate 610, a disk-shaped upper plate 620 provided on the upper side of the lower plate 610, And a side housing 630 having an edge of the plate 610 and an upper end and a lower end connected to an edge of the upper plate 620.

도면에는 도시되어 있지 않지만 상기 상부플레이트(620)에는 공정가스를 공급하기 위한 배관 등의 부품들이 각 챔버(100,200,300,400,500)의 상부 위치에 구비되고, 상기 제1챔버(100)가 위치한 측면하우징(630)에는 웨이퍼를 로딩 또는 언로딩을 위해 로봇 아암(Arm)의 진입/후퇴가 가능하도록 개구부가 형성될 수 있다.Although not shown in the drawing, the upper plate 620 is provided with parts such as piping for supplying process gas to the upper portions of the chambers 100, 200, 300, 400 and 500, and the side housing 630, in which the first chamber 100 is located, An opening may be formed to allow the robot arm to enter or retract for loading or unloading the wafer.

상기 하부플레이트(610)와 상부플레이트(620) 및 측면하우징(630)으로 둘러싸인 내부공간인 연결공간부(800)에는 상기 제1 내지 제5챔버(100, 200, 300, 400, 500)와, 중앙에 회전축을 가지는 턴테이블(700)이 구비되어 있다. The first to fifth chambers 100, 200, 300, 400 and 500 and the connecting space 800, which is an inner space surrounded by the lower plate 610, the upper plate 620 and the side housing 630, And a turntable 700 having a rotation shaft at its center is provided.

상기 턴테이블(700)에는 개구된 형상의 홀(710)이 챔버(100,200,300,400,500)의 수와 동수로 형성되어 있다. In the turntable 700, holes 710 having an open shape are formed in the same number as the chambers 100, 200, 300, 400 and 500.

상기 홀(710)에는 웨이퍼가 안착되는 안착링(720)이 구비된다. 상기 안착링(720)은 후술하는 리프트핀(240)의 상하 운동에 의해 웨이퍼가 안착된 상태에서 웨이퍼와 함께 턴테이블(700)로부터 분리 가능하다.The hole 710 is provided with a seating ring 720 on which the wafer is seated. The seating ring 720 can be detached from the turntable 700 together with the wafer in a state where the wafer is seated by up-and-down movement of a lift pin 240 described later.

또한 상기 안착링(720)은 도 4에 도시한 바와 같이 단차진 형상으로서, 웨이퍼(W)가 안착되도록 내경부 주변에 형성된 내부 안착단(722)과, 안착링(720)이 턴테이블(700)의 홀(710)에 안착될 수 있도록 외경부 주변에 형성된 외부 안착단(723)으로 이루어지고, 상기 내부 안착단(722)과 외부 안착단(723) 사이에는 가스가 통과할 수 있도록 상하로 관통된 가스통공(721)이 형성되어 있다. 4, the seating ring 720 is formed in a stepped shape and includes an inner seating end 722 formed around the inner diameter portion so that the wafer W is seated, And an outer seating end 723 formed around the outer periphery of the inner seating end 722 and the outer seating end 723 so as to be able to be seated in the hole 710 of the inner seating end 722. [ A gas passage hole 721 is formed.

따라서 후술하는 샤워헤드(160,260)에서 웨이퍼(W)의 상부 전면으로 고르게 분사된 가스는 상기 가스통공(721)을 통해서 배기구(150,250) 측으로 배기된다. 이와 같이 공정 가스의 배기 흐름이 웨이퍼(W)를 기준으로 상부에서 하부로 흐름이 형성되므로, 챔버 내부에 공정 가스의 잔유물이 적게 발생한다.Therefore, the gas evenly injected from the showerheads 160 and 260, which will be described later, to the upper surface of the wafer W is exhausted to the exhaust ports 150 and 250 through the gas passage 721. Since the exhaust flow of the process gas is formed from the upper side to the lower side with respect to the wafer W, less residue of the process gas is generated in the chamber.

상기 안착링(720)은 웨이퍼(W)가 접촉하게 되고, 상기 안착링(720)은 턴테이블(700)과 접촉하게 된다. 상기 턴테이블(700)은 챔버 외부의 연결공간부(800)에 노출되어 있어 연결공간부(800)의 온도가 턴테이블(700)과 안착링(720)을 통해 웨이퍼(W)에 전달되어 공정온도에 영향을 미치게 된다. 따라서 웨이퍼(W)에 열이 전달되는 것을 차단하기 위해 상기 안착링(720)은 비금속재질을 사용하는 것이 바람직하다. The seating ring 720 comes into contact with the wafer W and the seating ring 720 comes into contact with the turntable 700. [ The turntable 700 is exposed to the connection space 800 outside the chamber so that the temperature of the connection space 800 is transferred to the wafer W through the turntable 700 and the seating ring 720, . Therefore, it is preferable that the seating ring 720 is made of a non-metallic material in order to block heat from being transferred to the wafer W. [

또한 안착링(720)은 고온의 공정온도에 노출되므로 내열성을 갖춘 세라믹(Ceramic)이 될 수 있고, 그 이외에도 내열성과 열의 전도성이 낮은 비금속재질이면 무엇이든 적용 가능하다.In addition, the seat ring 720 may be a ceramic having heat resistance because it is exposed to a high temperature process temperature, and may be any non-metallic material having heat resistance and low thermal conductivity.

상기 제1 내지 제5챔버(100, 200, 300, 400, 500)는 웨이퍼가 처리되는 격리된 공간을 규정하는 것으로서, 웨이퍼를 처리하기 위한 온도, 압력을 설정하기 위한 구성들이 각각의 챔버마다 구비되며, 각 챔버마다 서로 다른 조건으로 웨이퍼를 처리할 수 있도록 각 챔버는 공정 진행 중 연결공간부(800)에 대하여 격리된 상태를 유지할 수 있다.The first to fifth chambers 100, 200, 300, 400, and 500 define an isolated space in which the wafers are processed, and the configurations for setting the temperature and the pressure for processing the wafers are provided for each chamber And each chamber can be kept isolated from the connection space portion 800 during the process so that the chambers can process wafers under different conditions.

상기 제1 챔버(100)는 외부의 로봇에 의해 웨이퍼가 로딩(Loading)되는 한편, 제5챔버(500)에서 처리가 완료된 웨이퍼를 외부의 로봇에 웨이퍼를 언로딩(Unloading)하기 위한 것으로서, 도 3을 참조하여 상세한 구성을 설명한다.The first chamber 100 is for loading a wafer by an external robot while unloading a wafer that has been processed in the fifth chamber 500 to an external robot, 3 will be described in detail.

도 3에 도시한 바와 같이 제1챔버(100)는, 웨이퍼의 저면을 지지하는 서셉터(110)와, 상기 서셉터(110)의 외측에 설치되어 하부플레이트(610) 상에 고정 설치된 하부하우징(120)과, 상기 하부하우징(120)의 상측에 구비되어 상부플레이트(620)에 고정설치된 상부하우징(130)과, 상하 이동되어 웨이퍼의 저면을 지지하는 리프트핀(140)과, 상기 하부플레이트(610)에 형성되어 상기 하부하우징(120)의 내측 공간과 연통 되는 배기구(150)와, 웨이퍼에 가스를 분사하여 처리하기 위하여 상기 상부하우징(130)의 내측에 구비된 샤워헤드(160)를 포함하여 구성된다.3, the first chamber 100 includes a susceptor 110 for supporting a bottom surface of a wafer, a lower housing 101 fixed to the lower plate 610 and provided on the outer side of the susceptor 110, An upper housing 130 provided at an upper side of the lower housing 120 and fixed to the upper plate 620, a lift pin 140 supporting the lower face of the wafer in a vertically moved manner, An exhaust port 150 formed in the lower housing 120 to communicate with the inner space of the lower housing 120 and a showerhead 160 provided inside the upper housing 130 for spraying gas to the wafer, .

상기 서셉터(110)는 웨이퍼를 그 상면에 고정시키기 위해 진공 흡착을 위한 구성이 구비되고, 제5챔버(500)에서 공정이 완료된 웨이퍼를 외부로 언로딩하기 전에 웨이퍼를 냉각하기 위한 냉각수단(미도시)이 구비될 수 있다. 또한 서셉터(110)는 상하 이동되는 것이 아니라 하부플레이트(610) 상에 고정된 상태로 있으므로, 상기 진공 흡착을 위한 연결라인 및 웨이퍼 냉각수단을 위한 연결라인 등이 고정된 있으면 되므로 구조가 간단해진다.The susceptor 110 is provided with a structure for vacuum adsorption to fix the wafer on its upper surface and cooling means for cooling the wafer before unloading the processed wafer in the fifth chamber 500 Not shown). Since the susceptor 110 is not moved up and down but is fixed on the lower plate 610, a connection line for vacuum suction and a connection line for the wafer cooling means are fixed, which simplifies the structure .

상기 하부하우징(120)은 원통 형상으로 이루어져 내부공간(120a)이 공정 진행 중 연결공간부(800)에 대하여 격리된 상태가 되어 격리된 공정공간의 하측을 형성하고, 상기 내측공간(120a)은 배기구(150)를 통해 배기통로(미도시)로 연결되어 있다.The lower housing 120 is formed in a cylindrical shape so that the inner space 120a is isolated from the connection space 800 during the process and forms a lower side of the isolated process space, And is connected to an exhaust passage (not shown) through an exhaust port 150.

상기 상부하우징(130)은 그 내부공간(130a)이 공정 진행 중 연결공간부(800)에 대하여 격리된 상태가 되어 격리된 공정공간의 상측을 형성하고, 상기 안착링(720)의 가스통공(721)을 통해 하부하우징(130)의 내측공간(120a)과 연통하도록 되어 있다.The inner space 130a of the upper housing 130 is isolated from the connection space 800 during the process and forms the upper side of the isolated process space and the gas hole 721 to communicate with the inner space 120a of the lower housing 130.

상기 상부하우징(130)은 공정 진행 중 웨이퍼의 격리된 상태를 유지하고 다음 챔버로 이동하는 경우에는 연결공간부(800)와 연통하는 상태를 구현하기 위해, 원통 형상으로 이루어져 상기 상부플레이트(620)에 고정된 고정부(131)와, 상기 고정부(131)의 하측에 구비되어 상하로 이동할 수 있는 이동부(132)로 구성된다. The upper housing 130 is cylindrical in order to maintain the isolated state of the wafer during the process and communicate with the connection space 800 when moving to the next chamber. And a moving part 132 provided below the fixing part 131 and capable of moving up and down.

상기 이동부(132)는 구동부(133)에 의해 상기 이동부(132)가 하향으로 이동되어 상기 이동부(132)의 하단이 상기 턴테이블(700)의 상부에 접하게 된다. 상기 이동부(132)와 턴테이블(700)이 접하는 면의 기밀을 유지하기 위해 상기 이동부(132)의 하단에는 고무, 실리콘 등의 재질로 이루어진 기밀부재(미도시)가 구비될 수 있다. 또한 상기 고정부(131)와 이동부(132)가 접하는 면에도 기밀을 유지하기 위한 기밀부재(미도시)가 구비될 수 있다.The moving part 132 is moved downward by the driving part 133 so that the lower end of the moving part 132 is brought into contact with the upper part of the turntable 700. A sealing member (not shown) made of rubber, silicone, or the like may be provided at the lower end of the moving unit 132 to maintain the airtightness of the contact surface between the moving unit 132 and the turntable 700. Also, a hermetic member (not shown) may be provided on the side where the fixing portion 131 and the moving portion 132 are in contact with each other to maintain airtightness.

상기 리프트핀(140)은, 서셉터(710)를 상하로 관통하도록 구비되어, 로봇에 의해 로딩된 웨이퍼의 저면을 지지하여 그 웨이퍼를 서셉터(110)의 상면에 안착시키기 위해 구동부(미도시)에 의해 상하 이동이 가능하도록 되어 있다. The lift pins 140 are provided so as to pass through the susceptor 710 so as to support the bottom surface of the wafer loaded by the robot and to mount the wafer on the upper surface of the susceptor 110 So as to be movable up and down.

또한 웨이퍼를 언로딩하는 경우에는 안착링(720)에 안착된 웨이퍼의 저면을 지지하여 안착링(720)으로부터 분리시킨 후 로봇에 인계하기 위해 상하 이동된다.When the wafer is unloaded, the bottom surface of the wafer placed on the seating ring 720 is supported and separated from the seating ring 720, and then moved up and down to take over the robot.

상기 샤워헤드(160)는 냉각을 위한 가스 또는 가열된 질소가스를 웨이퍼의 상면에 균일하게 분사하기 위한 것으로, 유입된 가스가 모이는 버퍼공간(161)과, 그 버퍼공간(161)에서 상기 웨이퍼(W)의 방향인 하향으로 가스가 분사되도록 샤워헤드(160)의 저면에 다수의 분사구가 일정 간격으로 형성된다.The shower head 160 uniformly injects a gas for cooling or a heated nitrogen gas onto the upper surface of the wafer. The shower head 160 includes a buffer space 161 in which the introduced gas is collected, A plurality of injection openings are formed at regular intervals on the bottom surface of the shower head 160 so that gas is injected downward in the direction of the shower head 160.

상기 연결공간부(800)는 각 챔버(100,200,300,400,500)의 외측을 둘러싸는 공간이고, 상기 연결공간부(800) 내부에 잔존하는 가스의 배기를 위한 배기구(810)가 구비된다. The connection space unit 800 surrounds the chambers 100, 200, 300, 400, and 500 and has an exhaust port 810 for exhausting gas remaining in the connection space unit 800.

이와 같은 구성에 의하면 격리된 공정공간을 형성하기 위해 서셉터(110)와 하부하우징(120)을 상하 이동시키기 위해 벨로우즈와 같은 구성을 구비할 필요가 없어 장치의 내구성을 향상시키고, 보수비용을 절감할 수 있다.According to such a configuration, it is not necessary to provide a structure such as a bellows for moving the susceptor 110 and the lower housing 120 up and down to form an isolated process space, thereby improving the durability of the apparatus and reducing the maintenance cost can do.

제2챔버(200)는 상기 제1챔버(100)와 동일한 구성으로, 서셉터(210), 하부하우징(220), 상부하우징(230), 리프트핀(240), 배기구(250) 및 샤워헤드(260)을 포함하여 구성된다. The second chamber 200 has the same structure as the first chamber 100 and includes a susceptor 210, a lower housing 220, an upper housing 230, a lift pin 240, an exhaust port 250, (260).

상기 서셉터(210)에는 웨이퍼에 열을 가하기 위한 히터(미도시)가 구비되고, 웨이퍼는 서셉터(210)의 상면에 진공 흡착되어 고정된 상태에서 공정이 진행된다.The susceptor 210 is provided with a heater (not shown) for applying heat to the wafer, and the wafer is vacuum-adhered to the upper surface of the susceptor 210 and fixed.

단 제1챔버(100)의 리프트핀(140)은 웨이퍼의 저면을 직접 지지하는 것이나, 제2챔버(200)의 리프트핀(240)은 안착링(720)의 저면을 지지하여 안착링(720)과 안착링(720)에 안착된 웨이퍼를 함께 상하로 이동시킬 수 있는 것에 차이가 있다. 이를 위해 상기 리프트핀(140)은 서셉트(210)의 외측에서 상하 이동할 수 있도록 위치시킨다.The lift pins 140 of the first chamber 100 directly support the bottom surface of the wafer but the lift pins 240 of the second chamber 200 support the bottom surface of the seating ring 720, And the wafer placed on the seating ring 720 can be moved up and down together. For this purpose, the lift pins 140 are positioned so as to be movable up and down on the outside of the susceptor 210.

나머지 하부하우징(220)과 상부하우징(230) 및 샤워헤드(260)의 상세 구성은 제1챔버(100)의 구성과 동일하므로 자세한 설명은 생략한다. The detailed structure of the remaining lower housing 220, the upper housing 230, and the shower head 260 is the same as that of the first chamber 100, and thus a detailed description thereof will be omitted.

또한 이와 같은 구성은 다른 챔버인 제3 내지 제5챔버(300,400,500) 역시 동일한 구성이다.In addition, the third to fifth chambers 300, 400 and 500, which are the other chambers, have the same configuration.

이하에서는 상기와 같이 구성되는 본 발명의 바람직한 실시예에 따른 반도체 웨이퍼 연속 처리장치의 구성과 작용을 웨이퍼의 이동과 처리 과정에 맞춰 상세히 설명한다.Hereinafter, the structure and operation of the semiconductor wafer continuous processing apparatus according to the preferred embodiment of the present invention will be described in detail with reference to the movement and processing of the wafer.

도 5 내지 도 14는 웨이퍼의 이동과 처리 과정에 따라 도시한 본 발명의 개략적인 단면 구성도이다. FIGS. 5 to 14 are schematic cross-sectional views of the present invention shown in accordance with the movement and processing of the wafer.

먼저, 도 5를 참조하면 로봇(2)에 의하여 웨이퍼(W)가 제1챔버(100) 내로 로딩되는 과정을 도시한 것으로, 턴테이블(700)이 하향으로 이동하여, 턴테이블(700)의 저면이 상기 하부하우징(120)의 상부에 접하며, 서셉터(110)의 상면이 상기 턴테이블(700)의 홀(710)을 통해 상부에 노출되어 있다.5, the wafer W is loaded into the first chamber 100 by the robot 2. The turntable 700 moves downward, and the bottom surface of the turntable 700 moves downward The upper surface of the susceptor 110 is exposed through the holes 710 of the turntable 700 so as to be exposed to the upper portion of the lower housing 120.

로봇(2)의 아암(Arm) 상면에 웨이퍼(W)가 올려진 상태에서 리프트핀(140)이 상향으로 이동하여 웨이퍼(W)의 저면을 지지하게 된다.The lift pins 140 move upward to support the bottom surface of the wafer W in a state where the wafer W is placed on the upper surface of the arm of the robot 2. [

위에서는 로봇(2)이 웨이퍼(W)를 정위치에 위치시킨 상태에서 리프트핀(140)이 상향으로 이동하는 것으로 설명하였으나, 리프트핀(140)이 상향으로 이동하여 대기하는 상태에서 로봇(2)이 웨이퍼(W)를 이송하여 리프트핀(140) 상에 웨이퍼(W)를 로딩하는 것도 가능하다.The lift pins 140 are moved upward in a state where the robot 2 is positioned at the right position of the robot W. In the state where the lift pins 140 are moved upward, It is also possible to transfer the wafer W to load the wafer W onto the lift pin 140. [

이처럼 상기 제1챔버(100)는 웨이퍼(W)가 외부로부터 로딩되는 챔버이며, 이후에 설명되는 바와 같이 제1챔버(100)는 제5챔버(500)로부터 이동된 웨이퍼(W)를 외부로 언로딩하는 챔버로 사용된다. 즉, 제1챔버(100)는 웨이퍼(W)가 로딩 및 언로딩되는 로딩 및 언로딩 챔버가 된다.The first chamber 100 is a chamber in which the wafer W is loaded from the outside and the first chamber 100 moves the wafer W moved from the fifth chamber 500 to the outside And is used as a chamber for unloading. That is, the first chamber 100 becomes a loading and unloading chamber in which the wafer W is loaded and unloaded.

그 다음, 도 6에 도시한 바와 같이 리프트핀(140)에 웨이퍼(W)가 올려진 상태로 로봇(2)이 후퇴하여 로딩 및 언로딩챔버(100)의 밖으로 이동한다. 이때 로봇(2)은 하향 이동하여 웨이퍼(W)가 리프트핀(140) 상에 완전히 올려진 상태에서 후퇴한다. 반대로 로봇(2)은 하향 이동하지 않고 리프트핀(140)이 웨이퍼(W)가 안착된 상태에서 상향으로 이동한 상태에서 로봇(2)이 후퇴할 수 있다.6, the robot 2 is retracted and moved out of the loading and unloading chamber 100 with the wafer W placed on the lift pin 140. As shown in Fig. At this time, the robot 2 moves downward, and the wafer W is retracted with the wafer W fully raised on the lift pin 140. The robot 2 can be retracted in a state in which the lift pin 140 is moved upward while the wafer W is seated without moving downward.

이는 로봇(2)과 리프트핀(140)의 상대운동으로 로봇(2)이 후퇴할 때 웨이퍼(W)에 마찰 되어 웨이퍼(W)가 변위되는 것을 방지할 수 있는 방법이면 그 방법에 무관하게 적용될 수 있음을 보여준다.This is applicable irrespective of the method as long as it can prevent the wafer W from being displaced by rubbing against the wafer W when the robot 2 moves backward by the relative movement of the robot 2 and the lift pin 140 .

그 다음, 도 7에 도시한 바와 같이 로봇(2)이 완전히 이동된 상태에서 상기 턴테이블(700)이 상향으로 이동하여 웨이퍼(W)의 저면 가장자리를 안착링(720)의 내부 안착단(722)에 안착시킨다. 7, the turntable 700 moves upward to move the bottom edge of the wafer W to the inner seating end 722 of the seating ring 720 in a state in which the robot 2 is completely moved, .

이와 같은 상태에서 리프트핀(140)이 하향 이동하여 웨이퍼(W)의 저면과 리프트핀(140)의 상단이 이격되면, 턴테이블(700)이 회전하여 도 8과 같이 안착링(720)에 안착된 상태에서 웨이퍼(W)를 제2챔버(200)로 이동시킨다.In this state, when the lift pin 140 moves downward and the bottom surface of the wafer W is separated from the upper end of the lift pin 140, the turntable 700 rotates to be seated on the seating ring 720 as shown in FIG. And moves the wafer W to the second chamber 200 in the state of FIG.

즉, 상기 턴테이블(700)의 회전은 그 턴테이블(700)이 상향으로 이동한 상태에서 이루어지며, 그 회전 각도는 챔버의 수에 따라 결정된다.That is, the rotation of the turntable 700 is performed in a state in which the turntable 700 is moved upward, and the rotation angle thereof is determined according to the number of chambers.

그 다음, 도 9에 도시한 바와 같이 턴테이블(700)이 하향으로 이동하여 웨이퍼(W)를 제2챔버(200)의 서셉터(210) 상에 안착시키고, 턴테이블(700)은 더 하향으로 이동하여 그 저면이 하부하우징(220)의 상단에 접하게 된다.9, the turntable 700 moves downward to seat the wafer W on the susceptor 210 of the second chamber 200, and the turntable 700 moves further downward So that the bottom surface thereof contacts the upper end of the lower housing 220.

그 다음, 도 10에 도시한 바와 같이 구동부(233)를 구동시켜 이동부(232)가 하향으로 이동되어 이동부(232)의 하단이 상기 턴테이블(700)의 상면에 접하게 된다. 10, the driving unit 233 is driven to move the moving unit 232 downward so that the lower end of the moving unit 232 comes into contact with the upper surface of the turntable 700.

따라서 상기 상부하우징(230)과 턴테이블(700)에 의해 둘러싸인 내부공간(230a)이 격리된 공정공간의 상측을 형성하고, 하부하우징(220)과 턴테이블(700)에 의해 둘러싸인 내부공간(220a)이 격리된 공정공간의 하측을 형성하게 되며, 상기 격리된 공정공간에서 웨이퍼(W)의 필요한 처리가 이루어진다.The inner space 220a surrounded by the lower housing 220 and the turntable 700 forms an upper side of the process space in which the inner space 230a surrounded by the upper housing 230 and the turntable 700 is isolated, Thereby forming the underside of the isolated process space and the necessary processing of the wafer W in the isolated process space.

이러한 웨이퍼(W)의 처리를 위해 샤워헤드(260)를 통해 공정 가스가 상부하우징(230)의 내부공간(230a)으로 공급되고, 서셉터(210)는 웨이퍼(W)를 진공흡착한 상태에서 특정한 온도로 가열하게 된다. 상기 공정 가스는 웨이퍼(W)를 처리한 후, 상기 턴테이블(700)의 홀(710)에 삽입된 안착링(720)의 가스통공(721)을 통해 하부하우징(220)의 내부공간(220a)으로 이동한 후 배기구(250)를 통해 배기된다.The process gas is supplied to the inner space 230a of the upper housing 230 through the showerhead 260 for the treatment of the wafer W. The susceptor 210 is placed in a state where the wafer W is vacuum- It is heated to a specific temperature. The process gas is supplied to the inner space 220a of the lower housing 220 through the gas hole 721 of the seating ring 720 inserted into the hole 710 of the turntable 700 after processing the wafer W. [ And then exhausted through the exhaust port 250.

또한 본 발명의 경우 리프트핀(240)은 서셉터(210)를 관통하지 않는 구조이므로 서셉터(210)에 리프트핀(240)의 상하 이동을 위한 별도의 홈이나 구멍을 형성시킬 필요가 없어, 웨이퍼(W)와 접촉하는 서셉터(210)의 면적이 넓게 형성되므로, 웨이퍼(W)를 균일하게 가열할 수 있다. In addition, since the lift pin 240 does not penetrate the susceptor 210, it is not necessary to form a separate groove or hole for moving the lift pin 240 in the susceptor 210 up and down, The area of the susceptor 210 contacting the wafer W is formed to be large, so that the wafer W can be uniformly heated.

도 11은 제2챔버(200) 내에서 웨이퍼(W)가 공정이 완료된 상태에서 다른 챔버(300,400,500)에서 공정이 완료되지 않았을 때 대기하는 상태의 단면 구성도이다. 예를 들어 제2챔버(200)의 공정시간이 200초이고, 제3챔버(300)의 공정시간이 300초인 경우 제2챔버(200)에서의 공정이 완료된 후 100초 동안 대기한 후 웨이퍼(W)를 제3챔버(300)로 이송해야 한다.11 is a cross-sectional view of a state in which the wafer W is waiting in the second chamber 200 when the process is not completed in the other chambers 300, 400, and 500 while the process is completed. For example, if the process time of the second chamber 200 is 200 seconds and the process time of the third chamber 300 is 300 seconds, the process is completed for 100 seconds after the completion of the process of the second chamber 200, W to the third chamber 300.

즉, 제2챔버(200)에서 이루어지는 공정시간에 비하여 제3챔버(300)에서 이루어지는 공정시간이 더 긴 경우, 웨이퍼(W)를 즉시 제3챔버(300)로 이동시킬 수 없기 때문에 제3챔버(300)에서의 공정이 완료되어 턴테이블(700)이 회전할 수 있는 상태가 될 때까지 대기하는 상태로 이해될 수 있다.That is, when the process time in the third chamber 300 is longer than the process time in the second chamber 200, since the wafer W can not be moved to the third chamber 300 immediately, It can be understood as a state of waiting until the process of the turntable 700 is completed and the turntable 700 can be rotated.

상기 웨이퍼(W)가 서셉터(210)에 안착된 상태로 대기하는 경우 필요 이상으로 웨이퍼(W)를 가열하게 되기 때문에, 리프트핀(240)을 상향으로 이동시켜, 상기 안착링(720)과 안착링(720)에 안착된 웨이퍼(W)를 동시에 들어올려 상향으로 서셉터(210)로부터 웨이퍼(W)를 이탈시킨 후 필요한 시간만큼 대기하게 된다.The wafer W is heated more than necessary when the wafer W is waiting on the susceptor 210. The lift pin 240 is moved upward to move the wafer W to the seating ring 720 The wafer W placed on the seating ring 720 is lifted at the same time, and the wafer W is lifted upward from the susceptor 210, and waits for a necessary time.

또한 웨이퍼(W)에 대한 공정 처리가 이루어지는 공정챔버(200,300,400,500)에서는 고온으로 공정이 이루어지기 때문에 격리된 공정공간 내부의 온도는 각 챔버의 외부의 연결공간부(800)의 온도보다 높은 상태가 되고, 제2챔버(200)에서 높은 온도로 공정이 완료된 웨이퍼(W)가 대기할 때 상부하우징(230)의 내부공간(230a)이 연결공간부(800)와 연통하게 되면 낮은 온도의 연결공간부(800)에 노출되어 웨이퍼(W)에 열충격이 가해질 수 있다.In addition, since the process chambers 200, 300, 400, and 500 in which the wafer W is processed are processed at a high temperature, the temperature inside the isolated process space is higher than the temperature of the connection space portion 800 outside the chambers When the inner space 230a of the upper housing 230 communicates with the connection space 800 when the wafer W having been processed at the high temperature in the second chamber 200 is waiting, The wafer W may be subjected to thermal shock by being exposed to the wafer 800.

따라서 본 발명에서는 이와 같은 웨이퍼(W)의 대기 상태에서도 상기 상부하우징(230), 턴테이블(700) 및 하부하우징(220)에 의해 둘러싸인 공정 공간은 연결공간부(800)에 대하여 격리된 상태를 유지하기 때문에, 웨이퍼(W)의 가열된 상태를 유지할 수 있어 웨이퍼(W)의 공정 품질을 향상시킬 수 있다.Accordingly, in the present invention, the process space surrounded by the upper housing 230, the turntable 700, and the lower housing 220 is kept isolated from the connection space 800 even in the standby state of the wafer W The heated state of the wafer W can be maintained, and the process quality of the wafer W can be improved.

그 다음, 도 12에 도시한 바와 같이 제2챔버(200)에서 웨이퍼(W)를 제3챔버(300)로 이송하기 위해서 상기 상부하우징(230)의 이동부(232)가 상향으로 이동한다.Then, as shown in FIG. 12, the moving part 232 of the upper housing 230 moves upward to transfer the wafer W to the third chamber 300 in the second chamber 200.

그 다음, 상기 턴테이블(700)이 상향으로 이동하여 웨이퍼(W)와 함께 안착링(720)이 턴테이블(700)의 홀(710)에 삽입되어 안착링(720)의 외부 안착단(723)이 턴테이블(700)의 상면에 안착되도록 한다.The turntable 700 moves upward and the seating ring 720 is inserted into the hole 710 of the turntable 700 together with the wafer W so that the external seating end 723 of the seating ring 720 So as to be seated on the upper surface of the turntable 700.

그 다음, 상기 리프트핀(240)이 하향 이동하여 리프트핀(240)의 상단으로부터 안착링(720)의 저면을 이격시킨 후, 턴테이블(700)이 회전하여 웨이퍼를 제3챔버(300)로 이송하게 된다.The lift pin 240 is then moved downward to separate the bottom surface of the seating ring 720 from the top of the lift pin 240 and then the turntable 700 is rotated to transfer the wafer to the third chamber 300 .

그 다음의 기계적인 과정은 상기 제1챔버(100)로부터 제2챔버(200)로 웨이퍼(W)가 이송된 이후의 동작인 도 8 이후의 동작과 동일하게 반복된다. 앞서 설명한 바와 같이 제2챔버(200), 제3챔버(300), 제4챔버(400) 및 제5챔버(500) 각각은 모두 동일하게 구성되어 웨이퍼(W)를 처리할 때는 턴테이블(700)이 하향으로 이동된 상태에서 상부하우징(230)의 이동부(232)가 고정부(231)를 따라 하향으로 이동되어 격리된 공정공간을 형성하고, 웨이퍼(W)를 이동시킬 때는 상부하우징(230)이 원위치로 상향 이동하고, 턴테이블(700)이 상향 이동 및 회전하는 구조를 가지고 있으며, 반복 설명을 피하기 위하여 상기 제3 내지 제5챔버(300~500)의 동작을 생략하고, 상기 도 12의 상태에서 제1챔버(100)로 웨이퍼(W)가 이동하는 것으로 설명한다.The subsequent mechanical process is repeated in the same manner as the operation after FIG. 8, which is the operation after the wafer W is transferred from the first chamber 100 to the second chamber 200. As described above, the second chamber 200, the third chamber 300, the fourth chamber 400, and the fifth chamber 500 are all configured in the same manner, and when the wafer W is processed, the turntable 700, The moving part 232 of the upper housing 230 is moved downward along the fixing part 231 to form an isolated process space and when the wafer W is moved, the upper housing 230 And the turntable 700 moves up and rotate. In order to avoid repetitive explanation, the operations of the third to fifth chambers 300 to 500 are omitted, The wafer W moves to the first chamber 100 in the state of FIG.

상기 제2 내지 제5챔버(200~500)에서는 각각 다른 공정이 진행될 수 있으며, 웨이퍼(W)가 이동하는 연결공간부(800)에도 웨이퍼의 온도 유지를 위한 가열된 질소 등의 불활성 가스가 공급될 수 있으며, 그 불활성 가스를 포함하여 유입된 공정가스는 배기부(810)를 통해 배기 될 수 있다.Different processes may be performed in the second to fifth chambers 200 to 500. An inert gas such as nitrogen may be supplied to the connection space 800 through which the wafer W moves, And the process gas including the inert gas may be exhausted through the exhaust portion 810. [

도 12는 상기 도 11에 도시한 상태에서 턴테이블(700)이 회전하여 웨이퍼(W)가 제1챔버(100)로 이송된 후, 턴테이블(700)이 하향으로 이동하여 웨이퍼(W)를 서셉터(110)에 안착한 상태를 나타낸다. 실제 동작과정은 상기 제5챔버(500)에서 공정이 완료된 웨이퍼(W)를 연속 처리장치의 외부로 언로딩하기 위하여, 상기 제1챔버(100)로 이동된다.12 shows a state in which the turntable 700 is rotated and the wafer W is transferred to the first chamber 100 after the turntable 700 is moved downward, (110). The actual operation is transferred to the first chamber 100 in order to unload the processed wafer W in the fifth chamber 500 to the outside of the continuous processing apparatus.

상기 웨이퍼(W)는 제1챔버(100)로 이동된 상태에서 별다른 처리 없이 자연냉각되도록 한 후 이후에 설명될 로봇(2)에 의해 외부로 언로딩 될 수도 있고, 냉각가스를 사용하여 웨이퍼(W)를 강제 냉각시킬 수도 있다. The wafer W may be naturally cooled without being treated in the state of being moved to the first chamber 100 and then unloaded outwardly by the robot 2 to be described later, W may be forcibly cooled.

이와 같은 냉각과정도 공정공간(120a,130a)의 격리 상태에서 이루어지는데, 이를 위해 먼저 상기 턴테이블(700)이 하향으로 이동하여 그 저면이 하부하우징(120)의 상단에 접하게 된다. The cooling process is also performed in an isolated state of the process spaces 120a and 130a. To do this, the turntable 700 moves downward so that its bottom faces the upper end of the lower housing 120.

그 다음, 상기 상부하우징(130)의 이동부(132)가 하향으로 이동하여 격리된 공정 공간을 형성한 후, 샤워헤드(160)에서는 냉각가스를 웨이퍼(W)에 분사하여 웨이퍼(W)를 냉각시키거나, 아니면 웨이퍼(W)를 냉각수가 순환되는 서셉터(110) 상에 안착시킨 상태로 다른 챔버들에서 공정이 완료될 때까지 두어 냉각시킨다.The moving part 132 of the upper housing 130 moves downward to form an isolated process space and then the cooling gas is sprayed onto the wafer W by the shower head 160 to move the wafer W Or cooling the wafer W until the wafer W is placed on the susceptor 110 on which the cooling water is circulated and the process is completed in the other chambers.

그 다음, 도 14에 도시한 바와 같이 리프트핀(140)이 상향으로 이동하여 웨이퍼(W)를 서셉터(110)로부터 이탈시킨 후, 로봇(2)이 진입하여 웨이퍼(W)의 저면을 지지한 상태로 웨이퍼(W)를 언로딩하게 되고, 그 후 앞서 설명한 바와 같이 제1챔버(100)에는 새로운 웨이퍼가 로딩되어 동일한 공정이 이루어지게 된다.14, the lift pin 140 is moved upward to detach the wafer W from the susceptor 110, and then the robot 2 enters and supports the bottom surface of the wafer W The wafer W is unloaded in the first chamber 100, and then a new wafer is loaded into the first chamber 100 as described above, and the same process is performed.

앞서 설명한 웨이퍼(W)의 로딩 과정과 동일하게 로봇(2)과 리프트핀(140) 사이에는 간섭이 발생하지 않도록 상대 운동을 하게 된다. 즉, 로봇(2)이 이탈하기 전에 리프트핀(140)이 하향으로 이동하거나, 로봇(2)이 상향으로 이동하여 웨이퍼(W)의 저면을 지지한 상태에서 외부로 언로딩하게 된다.The relative movement is performed between the robot 2 and the lift pin 140 so that interference does not occur as in the loading process of the wafer W described above. That is, the lift pin 140 moves downward before the robot 2 is released, or the robot 2 moves upward and unloads the wafer W while supporting the bottom surface of the wafer W. [

이처럼 본 발명은 각 챔버마다 마련된 중량물인 다수의 서셉터와 격리된 공정공간의 하측을 형성하기 위한 하부하우징(120)을 상하로 이동시킬 필요 없이 고정시키고, 턴테이블(700)을 회전 및 상하로 이동할 수 있도록 구성함으로써, 기구적인 구성을 단순화하고 구동부의 부하를 줄여 소비전력을 낮출 수 있는 효과가 있다.As described above, according to the present invention, a plurality of susceptors, each of which is a heavy material provided for each chamber, and a lower housing 120 for forming a lower side of the isolated process space are fixed without moving up and down, and the turntable 700 is rotated and moved up and down It is possible to simplify the mechanical structure and reduce the load on the driving unit, thereby reducing power consumption.

도 15는 본 발명의 다른 실시예에 따른 제3챔버(300)의 단면 구성도이다.15 is a cross-sectional view of a third chamber 300 according to another embodiment of the present invention.

도 15를 참조하면 공정온도의 효과적인 조절을 위하여 상부플레이트(620)의 상부측에 상부히터(370)를 더 구비한 것이다.Referring to FIG. 15, an upper heater 370 is further provided on the upper side of the upper plate 620 to effectively control the process temperature.

이와 같이 웨이퍼(W)의 상부측에 상부히터(370)를 구비하게 되면, 웨이퍼(W)는 서셉터(310)로부터 전달된 열에 의해 하면이 가열되고, 상부히터(370)를 통해 전달된 열에 의해 웨이퍼(W)의 상면도 동시에 가열되므로, 웨이퍼(W)의 상하면이 균일한 온도로 가열될 수 있다.When the upper heater 370 is provided on the upper side of the wafer W as described above, the lower surface of the wafer W is heated by the heat transferred from the susceptor 310, and the heat transferred to the upper heater 370 The upper surface of the wafer W is simultaneously heated, so that the upper and lower surfaces of the wafer W can be heated to a uniform temperature.

특히 리플로우 공정에서는 솔더볼의 형상이 매우 중요하며, 서셉터(310)에 마련된 히터와 상기 상부측의 상부히터(370)에 의해 솔더볼의 상부와 하부를 균일하게 가열할 수 있어, 솔더볼의 형상 유지에 유리하게 된다.Particularly, in the reflow process, the shape of the solder ball is very important, and the upper and lower portions of the solder ball can be uniformly heated by the heater provided on the susceptor 310 and the upper heater 370 on the upper side, Lt; / RTI >

상기 상부히터(370)는 제2챔버 내지 제5챔버(200~500)에 선택적으로 부가될 수 있는 것으로, 본 발명이 적용되는 웨이퍼 처리 공정의 종류에 따라 가변적으로 설치될 수 있다.The upper heater 370 can be selectively added to the second to fifth chambers 200 to 500. The upper heater 370 can be variably installed depending on the kind of the wafer processing process to which the present invention is applied.

또한 상부하우징(230)의 내부공간(230a)에는 공정가스의 잔유물이 상부하우징(230) 내측 벽면에 들러붙게 되는데, 상기 상부히터(370)를 이용하여 가열하게 되면 공정가스의 잔유물이 상부하우징(230) 내측 벽면에 들러붙는 것을 방지할 수 있어 파티클의 발생을 줄일 수 있다.Residues of the process gas are adhered to the inner wall surface of the upper housing 230 in the inner space 230a of the upper housing 230. When the upper heater 230 is heated using the upper heater 370, 230 can be prevented from adhering to the inner wall surface, thereby reducing the generation of particles.

또한 버퍼공간(361)이 형성된 샤워헤드(360)를 상부히터(370)의 하부에 구비하게 되면, 상부히터(370)의 열에 의해 버퍼공간(361)에 유입된 공정가스를 가열하게 되므로, 샤워헤드(360)를 통해 공급되는 공정 가스의 온도를 신속하게 상승시킬 수 있으며, 공정의 안정성을 보다 향상시킬 수 있게 된다.Since the showerhead 360 having the buffer space 361 is provided below the upper heater 370 to heat the process gas introduced into the buffer space 361 by the heat of the upper heater 370, The temperature of the process gas supplied through the head 360 can be rapidly increased, and the stability of the process can be further improved.

리플로우 공정에 사용되는 포름산 증기는 고온으로 가열된 후 챔버 내부로 공급된다. 이 경우 포름산 증기를 미리 가열시킨 후 챔버로 유입시키면 포름산이 웨이퍼에 도달할 때 기화되어 손실이 발생하게 되어 공정 처리의 균일성이 저하된다. 또한 포름산 증기를 고온으로 하기 위해 리플로우 장비의 외부에 구비된 배관 외면에 히팅 자켓을 감싸는 것으로 하여 미리 가열하게 되면 배관 내면에 포름산 증기가 들러붙게 되는 문제점도 있다. The formic acid vapor used in the reflow process is heated to a high temperature and then fed into the chamber. In this case, if formaldehyde vapor is preheated and then introduced into the chamber, the formic acid vaporizes when it reaches the wafer, which causes loss, thereby lowering the uniformity of the process. In addition, in order to raise the temperature of formic acid vapor, a heating jacket is wrapped around the outer surface of the pipe provided on the outside of the reflow equipment, and when heated in advance, formic acid vapor adheres to the inner surface of the pipe.

따라서 본 실시예와 같이 포름산 증기가 버퍼공간(361)에 유입되는 과정에서 상부히터(370)로 가열하게 되면, 웨이퍼(W)에 분사되기 직전에 가열되므로 포름산의 기화로 인한 손실을 방지하게 되고, 포름산 증기가 배관 내면에 들러붙게 되는 문제점도 방지할 수 있다.Therefore, when the formic acid vapor is introduced into the buffer space 361 and heated by the upper heater 370 as in the present embodiment, it is heated immediately before being sprayed onto the wafer W, thereby preventing loss due to vaporization of formic acid , And the problem that the formic acid vapor adheres to the inner surface of the pipe can be prevented.

전술한 바와 같이 본 발명에 대하여 바람직한 실시예를 들어 상세히 설명하였지만, 본 발명은 전술한 실시예들에 한정되는 것이 아니고, 특허청구범위와 발명의 상세한 설명 및 첨부한 도면의 범위 안에서 여러 가지로 변형하여 실시하는 것이 가능하고 이 또한 본 발명에 속한다.While the present invention has been particularly shown and described with reference to exemplary embodiments thereof, it is to be understood that the invention is not limited to the disclosed exemplary embodiments, but, on the contrary, And this also belongs to the present invention.

100:제1챔버 110,210,310:서셉터
120,220,330:하부하우징 130,230,330:상부하우징
140,240,340:리프트핀 150,250,350:배기구
160,260,360:샤워헤드 200:제2챔버
370:상부히터 300:제3챔버
400:제4챔버 500:제5챔버
600:외부몸체 610:하부플레이트
620:상부플레이트 700:턴테이블
710:홀 720:안착링
721:가스통공 722:상부안착단
723:하부안착단
100: first chamber 110, 210, 310: susceptor
120, 220, 330: Lower housing 130, 230, 330:
140, 240, 340: lift pins 150, 250, 350:
160, 260, 360: showerhead 200: second chamber
370: upper heater 300: third chamber
400: fourth chamber 500: fifth chamber
600: outer body 610: lower plate
620: upper plate 700: turntable
710: hole 720: seat ring
721: Gas hole 722: Upper seat
723: Lower seat

Claims (14)

다수의 챔버를 구비하고, 상기 챔버의 외부를 둘러싸는 외부몸체가 구비된 장치에서 웨이퍼를 처리하는 반도체 웨이퍼의 연속 처리방법에 있어서,
상기 다수의 챔버는 제1 내지 제5챔버로 이루어지고, 상기 제1챔버에 웨이퍼를 로딩한 후 불활성 가스를 주입하여 퍼지하는 제1단계;
상기 제1단계가 완료된 상기 웨이퍼를 제2챔버에 이송하고, 상기 제2챔버 내부에 공정가스로서 포름산 증기와 질소를 주입한 후 웨이퍼를 가열하는 제2단계;
상기 제2단계가 완료된 상기 웨이퍼를 제3챔버로 이송하고, 상기 제3챔버 내부에 공정가스로서 포름산 증기와 질소를 주입한 후 웨이퍼를 가열하는 제3단계;
상기 제3단계가 완료된 상기 웨이퍼를 제4챔버에 이송하고, 상기 제4챔버의 내부에 공정가스로서 포름산 증기와 질소를 주입한 후 웨이퍼를 가열하는 제4단계;
상기 제4단계가 완료된 상기 웨이퍼를 제5챔버에 이송하고, 상기 제5챔버의 내부에 공정가스로서 포름산 증기와 질소를 주입한 후 웨이퍼를 가열하는 제5단계;
상기 제5단계가 완료된 상기 웨이퍼를 제1챔버에 이송하고 상기 웨이퍼를 냉각시킨 후 외부로 언로딩하고, 다른 웨이퍼를 상기 제1챔버에 로딩시키는 제6단계를 포함하되,
상기 제1 내지 제5챔버는, 웨이퍼를 지지하기 위해 고정 설치되어 상기 웨이퍼에 열을 인가하는 서셉터, 상기 서셉터의 외측에 고정 설치되어 상기 웨이퍼의 하부에 격리된 공정 공간을 형성하는 하부하우징, 상기 웨이퍼의 상부에 격리된 공정 공간을 형성하기 위해 상하 이동하는 상부하우징, 상기 상부하우징과 하부하우징 사이에 구비되어 상기 다수의 챔버 사이에서 웨이퍼를 이송하는 턴테이블을 포함하고, 상기 상부하우징이 하향 이동하여 격리된 공정공간을 형성한 상태에서 상기 웨이퍼의 처리가 이루어지는 반도체 웨이퍼의 연속 처리방법.
1. A method for continuous processing of a semiconductor wafer having a plurality of chambers and processing the wafers in an apparatus having an outer body surrounding the chamber,
Wherein the plurality of chambers comprises first to fifth chambers, the first step of loading a wafer into the first chamber and then injecting and purifying the inert gas;
A second step of transferring the wafer having completed the first step to the second chamber, heating the wafer after injecting formic acid vapor and nitrogen as process gases into the second chamber, and then heating the wafer;
A third step of transferring the wafer having completed the second step to a third chamber, and injecting formic acid vapor and nitrogen as process gases into the third chamber and then heating the wafer;
A fourth step of transferring the wafer having completed the third step to a fourth chamber, heating the wafer after injecting formic acid vapor and nitrogen as process gases into the fourth chamber, and then heating the wafer;
A fifth step of transferring the wafer having completed the fourth step to a fifth chamber, heating the wafer after injecting formic acid vapor and nitrogen as process gases into the fifth chamber, and then heating the wafer;
And a sixth step of transferring the wafer having completed the fifth step to the first chamber, cooling the wafer, then unloading the wafer, and loading another wafer into the first chamber,
The first through fifth chambers include a susceptor fixedly installed to support a wafer and applying heat to the wafer, a lower housing fixedly installed outside the susceptor and forming a process space isolated from the lower portion of the wafer, An upper housing moving upward and downward to form an isolated process space on the upper portion of the wafer, and a turntable disposed between the upper housing and the lower housing for transferring wafers between the chambers, And the wafer is processed in a state in which an isolated process space is formed by moving.
제1항에 있어서,
상기 제4단계는 내부가 대기압인 상태에서 상기 웨이퍼를 가열하는 것을 특징으로 하는 반도체 웨이퍼의 연속 처리방법.
The method according to claim 1,
And the fourth step heats the wafer in a state where the inside is at atmospheric pressure.
제1항에 있어서,
상기 챔버 내부의 격리된 공정공간과, 상기 외부몸체 내부의 연결공간부에는 상기 웨이퍼가 이송되는 과정에서 가열된 질소가 공급되어 웨이퍼의 온도 변화를 최소화하는 것을 특징으로 하는 반도체 웨이퍼의 연속 처리방법.
The method according to claim 1,
Wherein heated nitrogen is supplied to an isolated process space inside the chamber and a connection space inside the outer body to transfer the wafer, thereby minimizing the temperature change of the wafer.
제3항에 있어서,
상기 가열된 질소는, 상기 챔버가 격리된 상태에서 공정이 진행되는 경우의 상기 연결공간부의 분위기 온도보다 더 높은 온도로 공급되는 것을 특징으로 하는 반도체 웨이퍼의 연속 처리방법.
The method of claim 3,
Wherein the heated nitrogen is supplied at a temperature higher than the atmospheric temperature of the connection space portion when the process is conducted while the chamber is in an isolated state.
제3항에 있어서,
상기 가열된 질소는, 상기 제2 단계 내지 제5 단계에서 웨이퍼를 가열하는 온도로 공급되는 것을 특징으로 하는 반도체 웨이퍼의 연속 처리방법.
The method of claim 3,
Wherein the heated nitrogen is supplied at a temperature for heating the wafer in the second to fifth steps.
제1항에 있어서,
상기 제4단계는,
100 내지 500℃의 온도에서, 질소를 전달 가스로 사용하여 포름산 증기를 공급하여, 1 내지 300초의 시간 동안 상기 웨이퍼를 처리하는 것을 특징으로 하는 반도체 웨이퍼의 연속 처리방법.
The method according to claim 1,
In the fourth step,
Wherein the wafer is treated at a temperature of 100 to 500 DEG C for 1 to 300 seconds by supplying formic acid vapor using nitrogen as a transfer gas.
제1항에 있어서,
상기 제5단계는,
상기 웨이퍼를 대기압과 20 내지 400℃의 온도 분위기에서, 질소를 전달 가스로 사용하여 포름산 증기를 공급하여, 1 내지 300초의 시간 동안 처리하는 것을 특징으로 하는 반도체 웨이퍼의 연속 처리방법.
The method according to claim 1,
In the fifth step,
Wherein the wafer is subjected to atmospheric pressure and a temperature atmosphere of 20 to 400 占 폚 using nitrogen as a transfer gas to supply formic acid vapor for 1 to 300 seconds.
제1항에 있어서,
상기 제4단계 및 제5단계는,
상기 웨이퍼의 하면을 지지하는 서셉터에 구비된 히터에 의해 가열됨과 동시에 상기 웨이퍼의 상부에 설치된 상부히터에 의해 가열됨으로써, 웨이퍼의 상면과 하면이 균일하게 가열되는 것을 특징으로 하는 반도체 웨이퍼의 연속 처리방법.
The method according to claim 1,
The fourth and fifth steps may include:
Wherein the wafer is heated by a heater provided on a susceptor for supporting a lower surface of the wafer and heated by an upper heater provided on the wafer so that the upper surface and the lower surface of the wafer are heated uniformly, Way.
제8항에 있어서,
상기 웨이퍼에 분사되는 포름산은 상기 상부히터에 의해 가열되는 것을 특징으로 하는 반도체 웨이퍼의 연속 처리방법.
9. The method of claim 8,
And the formic acid sprayed onto the wafer is heated by the upper heater.
제9항에 있어서,
상기 상부히터의 하부에는, 내부에 상기 포름산이 유입되는 버퍼공간이 형성되고, 상기 버퍼공간의 하부에 상기 포름산을 상기 웨이퍼의 상면에 균일하게 분사하기 위한 분사구가 다수개 형성된 샤워헤드가 구비되어, 상기 버퍼공간에서 상기 포름산이 가열되는 것을 특징으로 하는 반도체 웨이퍼의 연속 처리방법.
10. The method of claim 9,
A buffer space into which the formic acid flows is formed in a lower portion of the upper heater and a showerhead having a plurality of jetting ports for uniformly jetting the formic acid onto the upper surface of the wafer is provided in a lower portion of the buffer space, And the formic acid is heated in the buffer space.
삭제delete 제1항에 있어서,
상기 제1 내지 제5챔버 중 공정이 완료된 챔버의 상기 웨이퍼는,
상기 상부하우징에 의해 격리된 공정공간 내에서, 상기 웨이퍼를 상기 서셉터의 상면으로부터 이격시킨 상태로 공정이 진행중인 챔버의 공정이 완료될 때까지 대기하는 것을 특징으로 하는 반도체 웨이퍼의 연속 처리방법.
The method according to claim 1,
Wherein the wafer of the chamber of the first through fifth chambers,
Wherein the wafer is held in a process space isolated by the upper housing until the process of the chamber undergoing the process is completed while the wafer is separated from the upper surface of the susceptor.
제1항에 있어서,
상기 웨이퍼가 다음 챔버로 이송되는 경우 상기 턴테이블이 상승 및 하강하는 것을 특징으로 하는 반도체 웨이퍼의 연속 처리방법.
The method according to claim 1,
Wherein the turntable is raised and lowered when the wafer is transferred to the next chamber.
제1항에 있어서,
상기 제1단계에서 제1챔버에 주입되는 불활성 가스는 내부 공간의 수분을 증발시키기 위해 가열된 상태로 주입되는 것을 특징으로 하는 반도체 웨이퍼의 연속 처리방법.
The method according to claim 1,
Wherein the inert gas injected into the first chamber in the first step is injected in a heated state to evaporate moisture in the internal space.
KR1020130002208A 2013-01-08 2013-01-08 Continuous treatment method of semiconductor wafer KR101491992B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020130002208A KR101491992B1 (en) 2013-01-08 2013-01-08 Continuous treatment method of semiconductor wafer
CN201480004275.0A CN104903992A (en) 2013-01-08 2014-01-07 Method for continuous processing of semiconductor wafer
PCT/KR2014/000156 WO2014109528A1 (en) 2013-01-08 2014-01-07 Method for continuous processing of semiconductor wafer
TW103100661A TWI531017B (en) 2013-01-08 2014-01-08 Continuous treatment method of semiconductor wafer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020130002208A KR101491992B1 (en) 2013-01-08 2013-01-08 Continuous treatment method of semiconductor wafer

Publications (2)

Publication Number Publication Date
KR20140090011A KR20140090011A (en) 2014-07-16
KR101491992B1 true KR101491992B1 (en) 2015-02-10

Family

ID=51167123

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130002208A KR101491992B1 (en) 2013-01-08 2013-01-08 Continuous treatment method of semiconductor wafer

Country Status (4)

Country Link
KR (1) KR101491992B1 (en)
CN (1) CN104903992A (en)
TW (1) TWI531017B (en)
WO (1) WO2014109528A1 (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101770221B1 (en) * 2016-05-03 2017-08-22 (주)에스티아이 Substrate holding apparatus
TW201740466A (en) * 2016-05-03 2017-11-16 系統科技公司 Substrate processing apparatus and substrate processing method processing two substrates at the same time by the same process, so as to simplify the heat treatment step and improve the productivity
KR101796647B1 (en) * 2016-05-03 2017-11-10 (주)에스티아이 Substrate processing apparatus and substrate processing method
EP3665718B1 (en) * 2017-08-07 2024-04-24 Sharpack Technology Pte. Ltd. Hot wall flux free solder ball treatment arrangement
CN108213016B (en) * 2017-12-28 2020-07-24 德淮半导体有限公司 Wafer cleaning device and wafer cleaning method
CN108878331B (en) * 2018-08-20 2024-05-31 度亘激光技术(苏州)有限公司 Batch semiconductor wet oxidation device
CN109355710A (en) * 2018-09-19 2019-02-19 上海迈铸半导体科技有限公司 The controllable rapid cooling system and method for semiconductor crystal wafer in vacuum chamber
CN111326403A (en) * 2018-12-13 2020-06-23 夏泰鑫半导体(青岛)有限公司 Wafer pretreatment method and semiconductor device
KR102259121B1 (en) * 2019-12-23 2021-06-01 (주)에스티아이 Substrate processing apparatus

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002505938A (en) * 1998-03-06 2002-02-26 アプライド マテリアルズ インコーポレイテッド Method and apparatus for automatically performing a cleaning process in a semiconductor wafer processing system
KR20090008426A (en) * 2006-05-31 2009-01-21 도쿄엘렉트론가부시키가이샤 Heat treatment method, heat treatment apparatus and substrate processing apparatus
JP2010287635A (en) * 2009-06-09 2010-12-24 Tamura Seisakusho Co Ltd Reflow device
JP2012174879A (en) * 2011-02-22 2012-09-10 Dainippon Screen Mfg Co Ltd Thermal treatment equipment

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3378852B2 (en) * 1999-12-20 2003-02-17 富士通株式会社 Heat melting processing equipment
US6827789B2 (en) * 2002-07-01 2004-12-07 Semigear, Inc. Isolation chamber arrangement for serial processing of semiconductor wafers for the electronic industry
CN101160647A (en) * 2004-06-10 2008-04-09 塞米吉尔公司 Serial thermal processor arrangement
JP4388859B2 (en) * 2004-06-14 2009-12-24 ミナミ株式会社 Reflow device
DE102008021240B4 (en) * 2008-04-28 2012-11-22 Ersa Gmbh Apparatus for thermal treatment of workpieces and method for determining the thermal process stability in such a device
CN202571519U (en) * 2012-03-26 2012-12-05 上海飞恩微电子有限公司 Rotary reflow soldering furnace

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002505938A (en) * 1998-03-06 2002-02-26 アプライド マテリアルズ インコーポレイテッド Method and apparatus for automatically performing a cleaning process in a semiconductor wafer processing system
KR20090008426A (en) * 2006-05-31 2009-01-21 도쿄엘렉트론가부시키가이샤 Heat treatment method, heat treatment apparatus and substrate processing apparatus
JP2010287635A (en) * 2009-06-09 2010-12-24 Tamura Seisakusho Co Ltd Reflow device
JP2012174879A (en) * 2011-02-22 2012-09-10 Dainippon Screen Mfg Co Ltd Thermal treatment equipment

Also Published As

Publication number Publication date
TWI531017B (en) 2016-04-21
TW201428867A (en) 2014-07-16
KR20140090011A (en) 2014-07-16
CN104903992A (en) 2015-09-09
WO2014109528A1 (en) 2014-07-17

Similar Documents

Publication Publication Date Title
KR101491992B1 (en) Continuous treatment method of semiconductor wafer
KR101406172B1 (en) Continuous treatment apparatus and method of semiconductor wafer
KR101527645B1 (en) Substrate processing apparatus and substrate processing method
US10109506B2 (en) Unit for supplying fluid, apparatus and method for treating substrate with the unit
KR100679269B1 (en) Semiconductor manufacturing device of multi-chamber type
KR102030056B1 (en) Method for cleaning a chamber, Method for treating a substrate, and Apparatus for treating a substrate
CN108630569B (en) Substrate processing apparatus
KR101935953B1 (en) Apparatus and Method for treating substrate
US20040200244A1 (en) Remote plasma enhanced cleaning apparatus
KR101736845B1 (en) Apparatus and method for treating a substrate
TWI636843B (en) Joining apparatus, joining system, joining method, and computer storage
KR101987959B1 (en) Apparatus and Method for treating substrate
KR101654627B1 (en) Apparatus and method for treating substrate
KR101964655B1 (en) Apparatus and Method for treating substrate
JP5579054B2 (en) Wafer electroless plating equipment
JP2010525166A5 (en)
KR101979604B1 (en) Method for treating substrate
KR101024356B1 (en) Substrates coating unit, substrates treating apparatus having the same and method of treating substrates using the same
JP2002136935A (en) Device and method for cleaning treatment
JPH11251402A (en) Semiconductor wafer carrier
JP2005268244A (en) Substrate treatment apparatus
KR101041459B1 (en) Substrates coating unit, substrates treating apparatus having the same and method of treating substrates using the same
KR101623011B1 (en) Heating device and heating method
US12094706B2 (en) Substrate treating apparatus and substrate treating method
KR102152907B1 (en) Apparatus and Method for treating substrate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180129

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190128

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20200203

Year of fee payment: 6