KR101454522B1 - 포토레지스트 시뮬레이션 - Google Patents

포토레지스트 시뮬레이션 Download PDF

Info

Publication number
KR101454522B1
KR101454522B1 KR1020127013240A KR20127013240A KR101454522B1 KR 101454522 B1 KR101454522 B1 KR 101454522B1 KR 1020127013240 A KR1020127013240 A KR 1020127013240A KR 20127013240 A KR20127013240 A KR 20127013240A KR 101454522 B1 KR101454522 B1 KR 101454522B1
Authority
KR
South Korea
Prior art keywords
photoresist
determining
acid
simulated
virtual
Prior art date
Application number
KR1020127013240A
Other languages
English (en)
Other versions
KR20120085841A (ko
Inventor
존 제이 비아포레
마크 디 스미스
존 에스 그레이브스
데이비드 블랑켄십
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20120085841A publication Critical patent/KR20120085841A/ko
Application granted granted Critical
Publication of KR101454522B1 publication Critical patent/KR101454522B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G16INFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR SPECIFIC APPLICATION FIELDS
    • G16CCOMPUTATIONAL CHEMISTRY; CHEMOINFORMATICS; COMPUTATIONAL MATERIALS SCIENCE
    • G16C20/00Chemoinformatics, i.e. ICT specially adapted for the handling of physicochemical or structural data of chemical particles, elements, compounds or mixtures
    • G16C20/10Analysis or design of chemical reactions, syntheses or processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Analytical Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computing Systems (AREA)
  • Theoretical Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

포토레지스트 프로파일의 치수 특성을 측정하는 방법에 기초한 프로세서로서, 상기 방법들은 포토레지스트 볼륨 내의 산 발생제(acid generator) 및 소광제(quencher)의 수를 결정하는 단계, 포토레지스트 볼륨에 의해 흡수되는 포톤의 수를 결정하는 단계, 산(acid)으로 변환되는 산 발생제의 수를 결정하는 단계, 포토레지스트 볼륨 내의 산과 소광제 반응의 수를 결정하는 단계, 포토레지스트 볼륨의 현상을 계산하는 단계, 포토레지스트 볼륨의 현상에 의해 생성된 포토레지스트 프로파일의 3차원 시뮬레이션된 주사 전자 현미경 이미지를 프로세서로 생성하는 단계, 및 포토레지스트 프로파일의 치수 특성을 측정하는 단계를 포함한다.

Description

포토레지스트 시뮬레이션 {PHOTORESIST SIMULATION}
본 출원은 이미 계류중인 2009년 11월 12일자에 출원된 미국 가특허 출원 제61/260,533호의 모든 권리 및 우선권을 주장한다. 본 발명은 집적 회로 제조 분야에 관한 것이다. 보다 구체적으로, 본 발명은 임계 치수 주사 전자 현미경(critical-dimension scanning electron microscope; CDSEM)으로 보고 측정되는 포토레지스트 릴리프 이미지(photoresist relief image)의 시뮬레이션에 관한 것이다.
광학 리소그래피에 대한 모델링 전략은 통상적으로 시뮬레이션되는 물리학에 연속 근사치를 적용하고, 이것은 경험적 관찰을 설명하기 위한 연속 수학의 이용을 의미한다. 그러나, 매우 작은 규모로 줄어드는 경우, 실제 세계는 이산적이다. 예를 들면, 매우 작은 볼륨(volume) 내의 빛 에너지는 개별 광자로 구성되고, 평균 빛의 세기는 의미가 없다. 매우 작은 볼륨 내의 화학 물질은 개별 분자로 구성되고, 평균 화학 물질 농도는 의미가 없다. 따라서, 이와 같은 매우 작은 볼륨 내에서의 화학 반응 및 광화학 반응은 이산적이고 확률적이다(반응 분자 또는 포톤(photon)은 반응이 발생하는 동안 작은 볼륨 내의 주어진 위치에 있을 수도 있고 있지 않을 수도 있다).
노출 양(exposure dose)이 감소하고 레지스트 치수가 대략 일백 나노미터보다 작게 축소함에 따라, 확률적인 레지스트 효과 및 레지스트 이미지 상의 임계 치수 주사 전자 현미경 관찰의 효과는 무시할 수 없게 된다.
그러므로, 필요한 것은, 적어도 부분적으로 앞서 기술된 것과 같은 문제를 극복하는 모델링 방법이다.
상기 필요성 및 다른 필요성들은, 포토레지스트 프로파일의 치수 특성을 측정하는 방법에 기초한 프로세서에 의해 충족되고, 이 방법들은 포토레지스트 볼륨 내의 산 발생제(acid generator) 및 소광제(quencher)의 수를 결정하는 단계, 포토레지스트 볼륨에 의해 흡수되는 포톤의 수를 결정하는 단계, 산(acid)으로 변환되는 산 발생제의 수를 결정하는 단계, 포토레지스트 볼륨 내의 산과 소광제 반응의 수를 결정하는 단계, 포토레지스트 볼륨의 현상(development)을 계산하는 단계, 포토레지스트 볼륨의 현상에 의해 생성된 포토레지스트 프로파일의 3차원 시뮬레이션된 주사 전자 현미경 이미지를 프로세서로 생성하는 단계, 및 포토레지스트 프로파일의 치수 특성을 측정하는 단계를 포함한다.
이런 식으로, 다수의, 비용이 많이 들며, 시간 소모적인 경험적 연구를 수행하지 않고, 포토레지스트 릴리프 이미지 상에서 광산(photo-acid) 발생제 로딩, 광산 발생제 흡수, 광산 발생제 양자 효율, 소광제 로딩, 포톤 샷 노이즈 등의 효과가 연구될 수 있다.
본 발명의 이 양태에 따른 다양한 실시예들에서, 포토레지스트 볼륨 내의 산 발생제 및 소광제의 수를 결정하는 단계는, 가설의 포토레지스트 포물레이션에 기초하여 산 발생제의 원하는 수를 지정하고, 소광제의 원하는 수를 지정하는 단계를 포함한다. 본 발명의 다른 실시예들에서, 포토레지스트 볼륨 내의 산 발생제 및 소광제의 수를 결정하는 단계는, 기존 포토레지스트 포물레이션에 기초하여 산 발생제의 실제 수 및 소광제의 실제 수를 입력하는 단계를 포함한다. 일부 실시예들에서, 포토레지스트 볼륨에 의해 흡수되는 포톤의 수를 결정하는 단계는, 가설의 노출에 기초하여 원하는 포톤의 수를 지정하는 단계를 포함한다. 다른 실시예들에서, 포토레지스트 볼륨에 의해 흡수되는 포톤의 수를 결정하는 단계는, 노출에 대한 포톤의 수가 알려져 있는 노출에 기초하여 포톤의 수를 입력하는 단계를 포함한다. 일부 실시예들에서, 산으로 변환되는 산 발생제의 수를 결정하는 단계는, 광분해 메커니즘을 통해 산으로 변환되는 산 발생제를 계산하는 단계를 포함한다. 일부 실시예들에서, 산으로 변환되는 산 발생제의 수를 결정하는 단계는, 이온화 메커니즘을 통해 산으로 변환되는 산 발생제를 계산하는 단계를 포함한다. 일부 실시예들에서, 포토레지스트 프로파일의 치수 특성을 측정하는 단계는, 3차원 시뮬레이션된 주사 전자 현미경 이미지의 하드 카피 출력을 자를 이용하여 측정하는 단계를 포함한다. 다른 실시예들에서, 포토레지스트 프로파일의 치수 특성을 측정하는 단계는, 3차원 시뮬레이션된 주사 전자 현미경 이미지의 데이터 파일을 수학적으로 평가하는 단계를 포함한다. 일부 실시예들은 포토레지스트 프로파일의 치수 특성에 적어도 부분적으로 기초하는 프로세스를 이용하여 집적 회로를 제조하는 단계를 포함한다.
본 발명의 다른 양태에 따라, 포토레지스트 프로파일의 치수 특성을 측정하는 방법에 기초하는 프로세서가 기술되고, 이 방법은 포토레지스트 프로세스의 확률적 모델에 노출 파라미터 및 포토레지스트를 입력하는 단계, 프로세서를 이용하여 확률적 모델로부터 포토레지스트 프로파일을 계산하는 단계, 프로세서 상에서 작동하는 CDSEM 시뮬레이터를 이용하여 포토레지스트 프로파일의 치수 특성을 측정하는 단계를 포함한다.
본 발명의 또 다른 양태에 따라, 명령어 모듈이 배치된 비일시적 컴퓨터 판독 가능 매체가 기술되고, 포토레지스트 프로파일의 치수 특성을 측정하도록 프로세서에 명령하는 명령어 모듈은, 포토레지스트 볼륨 내의 산 발생제 및 소광제의 수를 결정하고, 포토레지스트 볼륨에 의해 흡수되는 포톤의 수를 결정하고, 산(acid)으로 변환되는 산 발생제의 수를 결정하고, 포토레지스트 볼륨 내의 산과 소광제 반응의 수를 결정하고, 포토레지스트 볼륨의 현상의 결과를 결정하고, 포토레지스트 볼륨의 현상에 의해 생성된 포토레지스트 프로파일의 3차원 시뮬레이션된 주사 전자 현미경 이미지를 프로세서로 생성하고, 포토레지스트 프로파일의 치수 특성을 측정하도록 동작 가능하다.
본 발명에 따르면, 포토레지스트 시뮬레이션을 제공하는 것이 가능하다.
또한, 발명의 이점들은 도면들과 함께 고려될 때 상세한 설명을 참조하여 이해되고, 도면은 상세 내역을 더욱 명확하게 도시하기 위해서 실척도로 도시된 것이 아니고, 동일한 도면 번호는 도면들에 걸쳐서 동일한 요소를 나타낸다.
도 1은 하나의 큐빅 나노미터 셀에서 3개의 광산 발생제까지 발견하는 확률을 도시한 차트이다.
도 2는 포토레지스트의 라인과 같은 특징의 프로파일에 대해 전자 빔을 스캔함으로써 생성된 라인 스캔을 도표로 도시한다.
도 3은 포토레지스트의 라인과 같은 특징의 측벽에 의해 배향되는 Z축 및 법선(normal line)을 도시한다.
도 4는 본 발명의 실시예에 따른 시뮬레이션된 라인 스캔을 도시한다.
도 5는 본 발명의 실시예에 따른 방법의 흐름도이다.
본 방법의 다양한 실시예들은 다음과 같은 중요한 리소그래픽 현상을 연구할 수 있다.
1. 임계 치수 균일성,
2. 라인-에지 거칠기, 라인-폭 거칠기, 및 관련 전력 대 주파수 수펙트럼,
3. 예상된 양의 수신 시에 포톤 샷 노이즈 효과 및 불확실성
4. 포토레지스트 성능에 관한 산 발생 메커니즘, 산 분포, 산 확산, 및 관련 효과
5. 광산 발생제 로딩, 분포, 및 성능에 관한 효과
6. 소광제 로딩, 분포, 및 성능에 관한 효과
본 발명의 다양한 실시예들은 레지스트 릴리프 이미지가 실제 CDSEM 실험에 나타나는 것처럼 레지스트 릴리프 이미지의 통계적 리소그래피 특성을 예측하는데 이용될 수 있다. 다양한 실시예들은 원하는 시뮬레이션 결과를 생성하기 위해 2가지 시뮬레이션 방법들의 조합을 이용한다. 조합된 2가지 방법들은 (1) 확률적 레지스트 모델링 방법, 및 (2) CDSEM 이미지 모델링 방법을 포함한다.
일반적으로, 확률적 레지스트 모델링 방법은 레지스트 릴리프 이미지의 물리적-화학적 형성을 시뮬레이션하여, 릴리프 이미지의 몇 개의 통계적인 리소그래픽 특성이 입력의 응답으로서 연구될 수 있도록 한다. 그리고, CDSEM 이미지 모델링 방법은 릴리프 이미지와 전자 빔의 상호작용의 근사치를 나타내는 물리적 모델을 이용하여 통계적 레지스트 모델의 출력을 처리한다. 최종 출력은 실제 CDSEM에 의해 보여지고 측정되는 것처럼 레지스트 릴리프의 매우 현실적인 (그러나, 시뮬레이션된) 이미지이다.
확률적 레지스트 모델의 개요
광학 리소그래피 프로세스의 컴퓨터 모델링을 위한 다양한 방법은 일반적으로 연속 근사치를 따르고, 이 연속 근사치는 평균 동작을 기술하기 위해 연속 수학을 이용한다. 그러나, 일부 이벤트들은 연속적인 도메인에서 잘 모델링되지 않고, 특히, 매우 작은 볼륨에서 고려되는 경우도 잘 모델링되지 않는다. 예를 들어, 빛은 파동과 양자 이론의 이중성을 갖는다. 포토레지스트층을 구성하는 분자는 이산적이다. 화학 반응은 이산적이고 확률적이다. 수십 나노미터의 길이 스케일에서 동작을 설명할 때, 연속 모델링에 대한 다른 방식은 소위 확률적 물리적 모델링으로 빛과 물질의 양자화를 모델에 직접적으로 구축하는 것이고, 이것은 예상되는 통계적 변동을 설명하기 위해 확률 분포와 랜덤 숫자를 이용한다.
이 방법은, 라인-폭 거칠기(레지스트 라인을 따른 임계 치수의 변동), 라인-에지 거칠기(레지스트 라인 에지 위치의 변동), 임계 치수의 가변성, 임계 모양 가변성, 특정 결함 형성 확률 등과 같은, 연구자가 연속체 모델로 모델링할 수 없는 리소그래피 조건을 모델링하도록 할 수 있다.
이 방법은 또한 실제 레지스트의 포물레이션의 보다 면밀한 근사치를 내는 모델을 생성한다. 이런 식으로, 포토레지스트 릴리프 이미지 상에서 광산 발생제 로딩, 광산 발생제 흡수, 광산 발생제 양자 효율, 소광제 로딩, 포톤 샷 노이즈 등의 효과가 연구될 수 있다. 이러한 노출 프로세스의 전반적인 양자 수율과 같은 매크로 효과도 또한 연구될 수 있다.
확률적 레지스트 모델링은 대략 일백 나노미터보다 크지 않은 임계 치수에 대해 보다 유용하다. 이와 같은 임계 치수는 ArF 이머전 리소그래피, ArF 이중 패턴화 리소그래피, 및 극자외 리소그래피에서 일상적으로 접한다.
확률적 레지스트 모델의 설명
본 발명에 따른 실시예들은 노출 프로세스 동안에 발생하는 통계적 변동을 이해하는데, 왜냐하면 노출 이후에 레지스트에서 산 이이지의 상태(산 샷 노이즈 이미지)는, 라인 폭 거칠기, 라인 에지 거칠기, 임계 치수 가변성, 콘택 홀 회로 등과 같은 포토레지스트 릴리프의 나중의 행동에 강하게 영향을 미치기 때문이다.
도 5의 일 실시예의 흐름도(10)에 도시된 바와 같이, 제1 단계는 포토레지스트의 원하는 빌딩 블록 볼륨을 지정하는 것으로, 이 단계는 블록(12)에 제공된 바와 같이, 기본 계산을 수행할 것이다. 다음으로, 블록(14)에 제공된 바와 같이, 이 기본 빌딩 블록 볼륨 내에 산 발생제 및 소광제의 수가 지정된다. 이 수는 모델링 될 포토레지스트의 유형에 따르고, 실제 포토레지스트 제품 또는 이론적 포토레지스트 제품 중 어느 하나를 반영할 수 있다. 블록(16)에 제공된 바와 같이, 포토레지스트의 굴절률 및 방사 조도가 계산되거나 원하는 값으로 지정된다. 또다시, 이러한 값은 실제 포토레지스트 제품 또는 이론적 포토레지스트 제품 중 어느 하나를 반영할 수 있다.
다음 단계는 블록(18)에 제공된 바와 같이, 흡수된 포톤의 수를 통해 통계적 계산을 수행하는 것으로, 왜냐하면 레지스트(특히 산 발생제)은 노출 프로세스 동안에 전달되는 포톤으로부터 흡수되는 에너지에 의해 활성화되기 때문이다. 흡수력 계수 알파로 볼륨(V)에 의해 흡수된 포톤의 평균 수는 다음과 같이 제공된다.
Figure 112012041036999-pct00001
여기서, 알파(
Figure 112012041036999-pct00002
)는 포토레지스트의 흡수력이고, I는 노출에 이용되는 빛의 세기이며, t는 노출 시간이고,
Figure 112012041036999-pct00003
는 액티닉 파장이고, V는 관심 볼륨이고, c는 빛의 진공 속도이고, h는 플랑크 상수이다. 따라서, 흡수된 포톤의 평균 수는 파장, 흡수력, 빛의 양(It), 및 볼륨에 비례한다. 예를 들어, 흡수량이 두가지 예제에서 일정하더라도, 극자외선 방출 노출에 비해 ArF 방출 노출 동안에 대략 14배 많은 포톤이 흡수된다.
예를 들어, 흡수된 포톤의 수의 계수 통계를 모델링하기 위해 푸아송(Poisson) 확률 분포가 이용될 수 있다. 흡수된 포톤의 평균 수가 주어진 k개의 성공적인 포톤 흡수 이벤트를 관찰하는 확률은 다음과 같다.
Figure 112012041036999-pct00004
푸아송 확률 분포의 특성은 이 분포의 분산이 평균과 같다는 사실을 포함한다.
Figure 112012041036999-pct00005
그리고, 흡수된 포톤의 수의 표준 편차는 평균의 제곱근과 같다. 흡수된 포톤의 수의 표준 편차는 다음과 같다.
Figure 112012041036999-pct00006
예상되는 포톤의 수(예상되는 양)를 흡수하는 상대적인 불확실성은 다음과 같다.
Figure 112012041036999-pct00007
이것은, 흡수된 포톤의 평균 수가 감소함에 따라 흡수된 포톤의 평균 수를 관찰하는 상대적인 불확실성이 증가한다는 것을 나타낸다(샷 노이즈로서 공지된 현상). 예를 들어, 제곱 센티미터 당 10 밀리줄에서, 미크론 당 4 알파를 가지면, ArF 방출의 경우 흡수된 포톤의 수의 표준 편차는 흡수된 포톤의 평균 수의 대략 1.7 배이다. 그리고, 극자외 방출의 경우, 흡수된 포톤의 수의 표준 편차는 흡수된 포톤의 평균 수의 대략 6.4 배이다.
푸아송 확률 분포는 또한 화학 증폭형 레지스트 막에서 개별 산 발생제들의 분산과 같은, 포토레지스트 분자 계수 통계를 기술하는데 이용될 수 있다. 도 1은, 산 발생제 로딩이 레지스트 고체의 5 %인 경우 한쪽에 일 나노미터의 크기를 갖는 큐빅 볼륨에서 n개의 산 발생제를 찾는 확률을 나타낸다. 이 예에서, 모든 일 큐빅 나노미터 셀들의 95 %가 어떠한 광산 발생제도 함유하고 있지 않다는 것을 주목하는 것이 흥미롭다.
화학 증폭형 포토레지스트 층 도처에 분산된 개별 산 발생제 분자는 노출 동안에 포토레지스트에 의해 수용된 포톤의 에너지를 흡수함으로써 활성화된다. 포토레지스트에서 레진 폴리머와 발생된 산의 상호작용은 결국 포스트 노출 베이크를 통한 레지스트를 처리 및 수용액으로의 현상 이후에, 막에 가용성 영역을 생성한다. 그러므로, 발생된 산의 통계는 레지스트 릴리프 이미지의 특성에 매우 중요한데, 왜냐하면, 산이 광학 투영 이미지의 최초 임프린트(초기 조건)이기 때문이다.
본 발명의 다양한 실시예들에 따른 확률적 레지스트 모델은, 2 개의 확률적 산 발생제 활성화 메커니즘을 고려한다. 제1 메커니즘은 직접 광분해(direct photolysis)이고, 제2 메커니즘은 산란된 저 에너지(low-energy) 전자이다. 블록(20)에 제공된 바와 같이, 어떤 메커니즘이 더욱 적절한지에 대한 결정이 행해진다. 광분해 메커니즘이 더욱 적절하다면, 도 5의 블록(24)에 제공되고 이하에 기술되는 바와 같이, 광분해에 의해 산으로 변환되는 산 발생제의 수가 계산된다. 이온화 메커니즘이 더욱 적절하다면, 도 5의 블록(22)에 제공되고 이하에 기술되는 바와 같이, 이온화에 의해 산으로 변환되는 산 발생제의 수가 계산된다. 일부 실시예들에서, 이 계산들 모두가 수행된다.
광분해 메커니즘의 경우, 레지스트에서 산 발생제는 양쟈 효율과 동일한 확률을 갖는 흡수된 포톤에 의해 활성화된다. 최대한, 하나의 산이 하나의 포톤의 흡수에 의해 생성된다. 이것은 레지스트가 ArF 광(193 나노미터의 파장)에 의해 방사될 때 가장 유력한 메커니즘이다. 따라서, 직접 광분해에 의해 발생된 산의 수의 분포 및 레지스트 릴리프 이미지 상의 효과는 통계적 릴리프 시뮬레이션으로 연구된다.
이온화 메커니즘의 경우, 산 발생제는 산란된 저 에너지 전자에 의해 활성화된다. 광전 효과와 유사하게, 저 에너지 전자는 고 에너지 극자외 포톤의 흡수 시에 레지스트의 이온화에 의해 생성될 수 있다. 이 방식에서, 레지스트 막에 증착된 에너지는, 포톤 흡수 측으로부터 좀 떨어져서 산 발생제를 활성화시킬 수 있다. 이것은 극자외 빛(13.5 나노미터의 파장)에 의해 방사될 때 산 발생제에 가장 유력한 메커니즘이다. 그러나, 이온화에 의해 생성된 산의 수의 분포는 직접 광분해 메커니즘 보다는 다소 덜 예측가능한데, 왜냐하면 광전자 노출 메커니즘은 단일 포톤이 다수의 전자를 발생하도록 하고, 그 다음에 각각의 전자는 레지스트 막을 통해 이동하여, 다수의 산 발생제를 활성화시킬 수 있기 때문이다. 그러므로, 하나 이상의 산이 포톤 흡수 이벤트 마다 발생될 수 있다.
다양한 실시예들에서, 이온화 프로세스는 두 단계의 메커니즘으로 모델링되는데, 각각의 단계는 확률적 프로세스이다. 특정한 개수의 산을 발생시킬 확률은 조건부 확률의 곱으로서 모델링된다.
Figure 112012041036999-pct00008
특정한 개수의 포톤을 발생시키는 확률은 다음과 같다.
Figure 112012041036999-pct00009
앞서 기술된 바와 같이, 포톤을 발생시킬 확률은 푸아송 통계로 모델링된다. 그러나, 산을 발생시키는 전체 확률은 모든 가능한 포톤의 개수를 합산함으로써 획득된다. 간단한 조건부 확률 스테이트먼트를 이용하여, 다음 수학식을 볼 수 있다.
Figure 112012041036999-pct00010
그러므로, 다수의 산의 발생은 단일 포톤의 흡수와 상관된다. 다수의 산 분자의 생성과의 상관 관계는 푸아송 계수 통계에 대한 기본 가정에 위반되므로, 저 에너지 광전자에 의해 발생된 산의 개수의 분포는 푸아송 분포가 아니다. 그러나, 이온화 및 전자 산란에 의해 발생된 산의 개수의 복잡한 분포 및 레지스트 릴리프 이미지 상의 결과적인 효과는 통계적 레지스트 시뮬레이션으로 연구될 수 있다.
이러한 두 가지 활성화 방법 중 하나 또는 두개 모두를 이용하여, 산의 개수가 결정되고, 블록(26)에 제공된 바와 같이 수학적 모델에 입력된다. 일단 이것이 완료되면, 포토레지스트에서 산과 소광제 간의 레지스트 반응이, 블록(28)에 제공된 바와 같이 계산된다. 이것은 포토레지스트의 어떤 부분이 어느 정도로 노출되었는지를 나타내는 포토레지스트의 모델을 생성한다.
다음으로, 포토레지스트의 현상이 블록(30)에 제공된 바와 같이 계산된다. 이 단계에서, 앞서 계산된 바와 같은, 포토레지스트의 노출 부분 상에서 현상액의 효과가 결정되어 모델에 입력된다.
일단 이 단계들이 완료되면, 포토레지스트의 3차원 그래픽 이미지가 블록(32)에 제공된 바와 같이, 생성될 수 있다. 온도, 습도, 베이크, 및 다른 이벤트를 설명하는 것과 같은 수학적 모델 개발에 다른 단계들이 또한 원하는 대로 이 모델에 포함될 수 있다는 것을 이해한다.
라인 에지, 및 측벽 거칠기, 높이 변화, 측벽 프로파일, 노출 과다, 노출 부족 등을 비록한 실제 노출되고 현상된 포토레지스트 라인 패턴의 사진이 찍혔다면, 단계(32)에서 생성된 이미지는 SEM 이미지 세계와 똑같이 생겼다. 그러나, 이러한 이미지는 사진 대신에 수학적으로 생성되며, 그것 말고는 차이가 거의 없다. 그러나, 실제 포토레지스트 포물레이션은 생성될 필요가 없고, 실제 처리 조건이 이 프로세스에 적용될 필요가 없기 때문에, 훨씬 많은 조건들이 본 방법에서 시뮬레이션 될 수 있고, 실제 경험적 연구에서보다 짧은 시간으로 시뮬레이션될 수 있다.
CDSEM 이미징 모델의 개요
높은 품질, 반복성 및 비파괴적인 성질로 인해, CDSEM은 광학 리소그래피 프로세스에 의해 생성된 기능들의 계측에 대한 골드 표준이다. 명확하게, CDSEM의 효과를 모델링하는 방법은 보다 현실적인 시뮬레이션을 생성하는데 유용하다.
탑 다운 스캐닝 전자 현미경으로 임계 치수를 결정하는 것은 라인 스캔의 생성으로 시작한다. 또는 전자 빔으로서 2차 전자 검출기로부터의 세기 신호는 이미지에 대해 스캔된다. 실제로, 라인 스캔은 일반적으로 신호에서 노이즈를 줄이기 위해 몇몇 스캔의 평균의 결과이다. 일단 이것이 달성되면, 기능적 형태는 도 2에 도시된 바와 같이, 대응 지점 사이를 측정하기 위한 스캔에 적합하다.
레지스트 프로파일에 대한 전자 빔 스캔 및 빔과 물질의 상호작용의 결과인 2차 전자가 검출기에서 수집된다. 2차 전자는 일반적으로 프로파일의 표면 밑에서 대략 5 나노미터 이내의 영역에서 나온다. 빔이 유한 단면을 갖기 때문에, 이것은 수평 표면상의 영역 보다 프로파일 측면 상의 더 넓은 영역을 조사하므로, 센터로부터의 신호 보다 프로파일의 에지로부터의 신호다 더 크다. 빔이 매우 가늘고 균일하다면, 결과적인 세기 프로파일은 완전한 정사각으로 나타날 것이다(프로파일의 코너 영역으로부터 탈출한 추가 전자들은 무시함). 그러나, 빔은 실제로 가우스 프로파일을 갖기 때문에, 측정된 라인 스캔은 가우스 커널과 이상적인 정사각 세기 프로파일의 콘볼루션으로 나타난다.
오프셋 상수보다는 실험에 더욱 부합하는 목표를 이루는 가장 단순한 물리적 모델이 이용된다. 시작점은 세기 프로파일이 도 3에 도시된 바와 같은, z축과 표면 법선 사이의 각의 함수인 것을 유념한다. 이 함수는 수직 측벽에 대한 최대(90도의 측벽 각도
Figure 112012041036999-pct00011
) 및 수평 측벽에 대한 최소(0도의 측벽 각도
Figure 112012041036999-pct00012
)에 있다. 시컨트 함수(secant function)는 2차 전자 신호의 세기를 모델링하는데 이용될 수 있다.
Figure 112012041036999-pct00013
시컨트 함수는 작은 각도에서 최소 값을 생성하여, 거의 90도에 가까운 각도에서 최대 값으로 증가시킨다.
그러나, 사인 함수(sine function)는 거의 수직 측벽에 대해 보다 양호한 행동을 갖는다. 사인 함수는, 최대 값을 향한 상승이 완만하지만, 측벽 중심에 넓은 피크가 발생하는 유사한 결과를 갖는다. 이러한 두개의 분포의 차이는 최종 단계에서 가상으로 제거될 수 있음을 유념한다. 각도 의존성 이외에, 세기는, 일반적으로 레지스트 물질 및 다른 물질에 대한 비례 상수를 간단히 변경함으로써, 레지스트 물질이 기판 보다 2차 전자를 약간 더 돌려보낸다는 사실을 설명하기 위해 조정될 수 있다. 다시 말해서, 오프셋 상수는 레지스트 물질에 의해 커버된 곡선의 일부에 대한 세기에 추가된다. 세기 프로파일 함수의 최종 형태는 다음과 같다:
Figure 112012041036999-pct00014
여기서
Figure 112012041036999-pct00015
= 위치
Figure 112012041036999-pct00016
가 레지스트로 커버되면 1, 그 이외에는 0
이상적인 세기 프로파일의 콘벌루션은 도 4에 도시된 바와 같은, 최종 시뮬레이션된 라인 스캔에 도달하기 위해서 가우스 커널을 이용하여 수행된다. 가우스 프로파일의 폭을 조정하는 것은 앞서 언급한 세기 프로파일에 원하는 스케일링을 제공한다. CDSEM 계측 방법은 도 5의 블록(34)에 제공된 바와 같이, 임계 치수 값을 생성하기 위해 라인 스캔에 적용된다. 일부 실시예들에서, 생성되는 데이터에서, 오직 중간 임계 치수값 만이 생성된다.
따라서, 본 발명의 다양한 실시예들은 고도의 디테일을 갖는 레지스트 릴리프 이미지의 컴퓨터 시뮬레이션을 생성한다. 모두 CDSEM에 의해 보여지고 측정되는, 라인 폭 거칠기, 라인 에지 거칠기, 임계 치수 가변성, 콘택 홀 회로와 같은 몇몇 통계적 효과의 컴퓨터 모델이 생성될 수 있다. 레지스트 릴리프 이미지에서 특정한 형태의 결함의 컴퓨터 모델링이 또한 가능하다. 이러한 실시예들은 과학자가 덜 비싸고, 매우 현실적인, 컴퓨터 모델로 값비싼 경험적 연구를 대체하는 것을 가능하게 한다.
이러한 방법 실시예들 중 일부는, 퍼스널 컴퓨터 또는 보다 전문적인 그래픽 처리 컴퓨터와 같은 프로세서 기반 장비에서 수행된다. 이러한 실시예들의 출력은 포토레지스트 프로파일의 그래픽 이미지를 포함하고, 시뮬레이션된 SEM 이미지에 관한 표로 만들어진 임계 치수 데이터를 포함한다. 이러한 정보는 프로세스 엔지니어 및 기타 등등에서 이용되어 본 명세서 어딘가에서 기술되고 새로운 포토레지스트 개발, 프로세싱 레시피 개발, 포토레지스트 및 프로세스의 초기 조건 수행과 같은 일반적인 목적을 또한 포함하는, 다수의 상이한 목적을 달성한다. 결국, 이러한 정보는 실제 집적 회로 및 다른 구조의 처리로 이어진다.
본 발명의 바람직한 실시예들의 앞서 말한 설명은 예시 및 설명을 목절으로 제시된 것이다. 본 발명을 개시된 정확한 형태로 망라시키거나 또는 한정시키는 것을 의도하지는 않는다. 분명히, 상기 교시내용을 통해 변형 또는 변경이 가능하다. 본 발명의 원리와 실제 응용을 제공하고, 본 발명분야의 기타 사람들이 특정 용도 구상에 적합한 다양한 변형들을 갖는 다양한 실시예들을 염두하면서 본 발명을 이용하도록 하기 위해 실시예가 선택되고 기술되었다. 이와 같은 변형 및 변경은 정직하게, 합법적으로 그리고 공정하게 자격이 주어진 특허청구 범위의 폭에 따라 해석될때 첨부된 특허청구 범위에 의해 결정된 바와 같은 본 발명의 범위 내에 있다.

Claims (20)

  1. 시뮬레이션된 포토레지스트 프로파일의 치수 특성을 측정하기 위한, 프로세서 기반 방법에 있어서,
    상기 방법은,
    가상의(virtual) 포토레지스트 볼륨(volume) 내의 산 발생제(acid generator) 및 소광제(quencher)의 수를 결정하는 단계,
    상기 가상의 포토레지스트 볼륨에 의해 흡수되는 포톤의 수를 결정하는 단계,
    상기 가상의 포토레지스트 볼륨 내에서 산(acid)으로 변환되는 상기 산 발생제의 수를 결정하는 단계,
    상기 가상의 포토레지스트 볼륨 내에서의 산과 소광제 반응의 수를 결정하는 단계,
    상기 가상의 포토레지스트 볼륨의 현상(devolopment)의 결과를 결정하는 단계,
    상기 가상의 포토레지스트 볼륨의 현상에 의해 생성된 상기 시뮬레이션된 포토레지스트 프로파일의 3차원 시뮬레이션된 주사 전자 현미경 이미지를 상기 프로세서로 생성하는 단계, 및
    상기 시뮬레이션된 포토레지스트 프로파일의 치수 특성을 측정하는 단계
    를 포함하는 포토레지스트 프로파일의 치수 특성을 측정하기 위한, 프로세서 기반 방법.
  2. 제1항에 있어서, 상기 가상의 포토레지스트 볼륨 내의 산 발생제 및 소광제의 수를 결정하는 단계는, 가설의 포토레지스트 포물레이션에 기초하여 산 발생제의 원하는 수를 지정하고, 소광제의 원하는 수를 지정하는 단계를 포함하는 것인, 포토레지스트 프로파일의 치수 특성을 측정하기 위한, 프로세서 기반 방법.
  3. 제1항에 있어서, 상기 가상의 포토레지스트 볼륨 내의 산 발생제 및 소광제의 수를 결정하는 단계는, 기존 포토레지스트 포물레이션에 기초하여 산 발생제의 실제 수 및 소광제의 실제 수를 입력하는 단계를 포함하는 것인, 포토레지스트 프로파일의 치수 특성을 측정하기 위한, 프로세서 기반 방법.
  4. 제1항에 있어서, 상기 가상의 포토레지스트 볼륨에 의해 흡수되는 포톤의 수를 결정하는 단계는, 가설의 노출에 기초하여 원하는 포톤의 수를 지정하는 단계를 포함하는 것인, 포토레지스트 프로파일의 치수 특성을 측정하기 위한, 프로세서 기반 방법.
  5. 제1항에 있어서, 상기 가상의 포토레지스트 볼륨에 의해 흡수되는 포톤의 수를 결정하는 단계는, 노출에 대한 포톤의 수가 알려져 있는 상기 노출에 기초하여 포톤의 수를 입력하는 단계를 포함하는 것인, 포토레지스트 프로파일의 치수 특성을 측정하기 위한, 프로세서 기반 방법.
  6. 제1항에 있어서, 상기 산으로 변환되는 산 발생제의 수를 결정하는 단계는, 광분해 메커니즘을 통해 산으로 변환되는 산 발생제를 계산하는 단계를 포함하는 것인, 포토레지스트 프로파일의 치수 특성을 측정하기 위한, 프로세서 기반 방법.
  7. 제1항에 있어서, 상기 산으로 변환되는 산 발생제의 수를 결정하는 단계는, 이온화 메커니즘을 통해 산으로 변환되는 산 발생제를 계산하는 단계를 포함하는 것인, 포토레지스트 프로파일의 치수 특성을 측정하기 위한, 프로세서 기반 방법.
  8. 제1항에 있어서, 상기 시뮬레이션된 포토레지스트 프로파일의 치수 특성을 측정하는 단계는, 상기 3차원 시뮬레이션된 주사 전자 현미경 이미지의 하드 카피 출력을 자를 이용하여 측정하는 단계를 포함하는 것인, 포토레지스트 프로파일의 치수 특성을 측정하기 위한, 프로세서 기반 방법.
  9. 제1항에 있어서, 상기 시뮬레이션된 포토레지스트 프로파일의 치수 특성을 측정하는 단계는, 상기 3차원 시뮬레이션된 주사 전자 현미경 이미지의 데이터 파일을 수학적으로 평가하는 단계를 포함하는 것인, 포토레지스트 프로파일의 치수 특성을 측정하기 위한, 프로세서 기반 방법.
  10. 제1항에 있어서, 상기 시뮬레이션된 포토레지스트 프로파일의 치수 특성에 적어도 부분적으로 기초하는 프로세스를 이용하여 집적 회로를 제조하는 단계를 더 포함하는, 포토레지스트 프로파일의 치수 특성을 측정하기 위한, 프로세서 기반 방법.
  11. 시뮬레이션된 포토레지스트 프로파일의 치수 특성을 측정하기 위한, 프로세서 기반 방법에 있어서,
    상기 방법은,
    포토레지스트 프로세스의 확률적 모델에 노출 파라미터 및 포토레지스트를 입력하는 단계,
    프로세서를 이용하여 상기 확률적 모델로부터 상기 시뮬레이션된 포토레지스트 프로파일을 계산하는 단계, 및
    상기 프로세서 상에서 작동하는 CDSEM(critical-dimension scanning electron microscope) 시뮬레이터를 이용하여 상기 시뮬레이션된 포토레지스트 프로파일의 치수 특성을 측정하는 단계
    를 포함하는 시뮬레이션된 포토레지스트 프로파일의 치수 특성을 측정하기 위한, 프로세서 기반 방법.
  12. 명령어 모듈이 배치된 비일시적 컴퓨터 판독 가능 매체에 있어서,
    프로세서가 시뮬레이션된 포토레지스트 프로파일의 치수 특성을 측정하도록 명령하기 위해 상기 프로세서에 의해 상기 명령어 모듈이 실행되는 경우, 상기 명령어 모듈은,
    가상의 포토레지스트 볼륨 내의 산 발생제 및 소광제의 수를 결정하는 단계,
    상기 가상의 포토레지스트 볼륨에 의해 흡수되는 포톤의 수를 결정하는 단계,
    상기 가상의 포토레지스트 볼륨 내에서 산(acid)으로 변환되는 산 발생제의 수를 결정하는 단계,
    상기 가상의 포토레지스트 볼륨 내에서의 산과 소광제 반응의 수를 결정하는 단계,
    상기 가상의 포토레지스트 볼륨의 현상의 결과를 결정하는 단계,
    상기 가상의 포토레지스트 볼륨의 현상에 의해 생성된 시뮬레이션된 포토레지스트 프로파일의 3차원 시뮬레이션된 주사 전자 현미경 이미지를 프로세서로 생성하는 단계, 및
    상기 시뮬레이션된 포토레지스트 프로파일의 치수 특성을 측정하는 단계를 수행하도록 동작 가능한 것인, 비일시적 컴퓨터 판독 가능한 매체.
  13. 제12항에 있어서, 상기 가상의 포토레지스트 볼륨 내의 산 발생제 및 소광제의 수를 결정하는 단계를 수행하기 위한 명령어 모듈은, 가설의 포토레지스트 포물레이션에 기초하여 산 발생제의 원하는 수를 지정하고, 소광제의 원하는 수를 지정하는 단계를 포함하는 것인, 비일시적 컴퓨터 판독 가능 매체.
  14. 제12항에 있어서, 상기 가상의 포토레지스트 볼륨 내의 산 발생제 및 소광제의 수를 결정하는 단계를 수행하기 위한 명령어 모듈은, 기존 포토레지스트 포물레이션에 기초하여 산 발생제의 실제 수 및 소광제의 실제 수를 입력하는 단계를 포함하는 것인, 비일시적 컴퓨터 판독 가능 매체.
  15. 제12항에 있어서, 상기 가상의 포토레지스트 볼륨에 의해 흡수되는 포톤의 수를 결정하는 단계를 수행하기 위한 명령어 모듈은, 가설의 노출에 기초하여 원하는 포톤의 수를 지정하는 단계를 포함하는 것인, 비일시적 컴퓨터 판독 가능 매체.
  16. 제12항에 있어서, 상기 가상의 포토레지스트 볼륨에 의해 흡수되는 포톤의 수를 결정하는 단계를 수행하기 위한 명령어 모듈은, 노출에 대한 포톤의 수가 알려져 있는 상기 노출에 기초하여 포톤의 수를 입력하는 단계를 포함하는 것인, 비일시적 컴퓨터 판독 가능 매체.
  17. 제12항에 있어서, 상기 산으로 변환되는 산 발생제의 수를 결정하는 단계를 수행하기 위한 명령어 모듈은, 광분해 메커니즘을 통해 산으로 변환되는 산 발생제를 계산하는 단계를 포함하는 것인, 비일시적 컴퓨터 판독 가능 매체.
  18. 제12항에 있어서, 상기 산으로 변환되는 산 발생제의 수를 결정하는 단계를 수행하기 위한 명령어 모듈은, 이온화 메커니즘을 통해 산으로 변환되는 산 발생제를 계산하는 단계를 포함하는 것인, 비일시적 컴퓨터 판독 가능 매체.
  19. 제12항에 있어서, 상기 시뮬레이션된 포토레지스트 프로파일의 치수 특성을 측정하는 단계를 수행하기 위한 명령어 모듈은, 상기 3차원 시뮬레이션된 주사 전자 현미경 이미지의 하드 카피 출력을 자를 이용하여 측정하는 단계를 포함하는 것인, 비일시적 컴퓨터 판독 가능 매체.
  20. 제12항에 있어서, 상기 시뮬레이션된 포토레지스트 프로파일의 치수 특성을 측정하는 단계를 수행하기 위한 명령어 모듈은, 상기 3차원 시뮬레이션된 주사 전자 현미경 이미지의 데이터 파일을 수학적으로 평가하는 단계를 포함하는 것인, 비일시적 컴퓨터 판독 가능 매체.
KR1020127013240A 2009-11-12 2010-11-09 포토레지스트 시뮬레이션 KR101454522B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US26053309P 2009-11-12 2009-11-12
US61/260,533 2009-11-12
US12/915,455 US8589827B2 (en) 2009-11-12 2010-10-29 Photoresist simulation
US12/915,455 2010-10-29
PCT/US2010/055937 WO2011059947A2 (en) 2009-11-12 2010-11-09 Photoresist simulation

Publications (2)

Publication Number Publication Date
KR20120085841A KR20120085841A (ko) 2012-08-01
KR101454522B1 true KR101454522B1 (ko) 2014-10-24

Family

ID=43974826

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127013240A KR101454522B1 (ko) 2009-11-12 2010-11-09 포토레지스트 시뮬레이션

Country Status (5)

Country Link
US (2) US8589827B2 (ko)
EP (1) EP2499661B1 (ko)
JP (1) JP5719850B2 (ko)
KR (1) KR101454522B1 (ko)
WO (1) WO2011059947A2 (ko)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8589827B2 (en) * 2009-11-12 2013-11-19 Kla-Tencor Corporation Photoresist simulation
NL2009982A (en) * 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
KR101362326B1 (ko) * 2012-08-06 2014-02-24 현대모비스 주식회사 주차 정렬 기능을 갖는 차량 후방 카메라 시스템 및 이를 이용한 차량의 주차 지원 시스템
WO2015127459A1 (en) 2014-02-24 2015-08-27 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
US10020195B2 (en) 2014-02-25 2018-07-10 Tokyo Electron Limited Chemical amplification methods and techniques for developable bottom anti-reflective coatings and dyed implant resists
US9733576B2 (en) * 2014-03-17 2017-08-15 Kla-Tencor Corporation Model for accurate photoresist profile prediction
CN103887199B (zh) * 2014-03-20 2017-01-11 上海华力微电子有限公司 采用暗场硅片检测机台检测光阻损伤的方法
US10453748B2 (en) 2015-08-27 2019-10-22 Micron Technology, Inc. Methods of forming semiconductor device structures including stair step structures
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10429745B2 (en) * 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
JP6750155B2 (ja) 2016-05-13 2020-09-02 東京エレクトロン株式会社 光剤を用いた限界寸法制御
CN109313394B (zh) 2016-05-13 2021-07-02 东京毅力科创株式会社 使用光敏化学品或光敏化学放大抗蚀剂的临界尺寸控制
US10795267B2 (en) 2016-12-02 2020-10-06 Asml Netherlands B.V. Model for estimating stochastic variation
FR3060752B1 (fr) * 2016-12-15 2019-05-10 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de mise en œuvre d'une technique de caracterisation cd-sem
US10474042B2 (en) * 2017-03-22 2019-11-12 Kla-Tencor Corporation Stochastically-aware metrology and fabrication
CN110612483B (zh) 2017-05-12 2022-06-28 Asml荷兰有限公司 用于评估抗蚀剂显影的方法
US11493850B2 (en) 2019-07-23 2022-11-08 Samsung Electronics Co., Ltd. Lithography method using multi-scale simulation, semiconductor device manufacturing method and exposure equipment
WO2021034567A1 (en) 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing
JP7310466B2 (ja) * 2019-09-10 2023-07-19 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム評価方法
JP6832463B1 (ja) * 2020-04-06 2021-02-24 東京応化工業株式会社 情報処理システム、情報処理装置、情報処理方法及びプログラム
TW202211075A (zh) * 2020-06-05 2022-03-16 美商新思科技股份有限公司 校正在精簡模型中的隨機訊號
KR20220149823A (ko) * 2021-04-30 2022-11-09 삼성전자주식회사 멀티-스케일 시뮬레이션을 이용한 리소그라피 방법, 및 그 리소그라피 방법을 기반으로 한 반도체 소자 제조방법 및 노광 설비
CN114488705A (zh) * 2022-01-13 2022-05-13 东方晶源微电子科技(北京)有限公司 一种负向显影光刻胶模型优化方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050065164A (ko) * 2003-12-24 2005-06-29 주식회사 하이닉스반도체 포토레지스트 패턴의 사이드 프로파일 검사방법
KR20060103972A (ko) * 2005-03-29 2006-10-09 삼성전자주식회사 미세구조물의 프로파일 검사 방법
US20080226152A1 (en) * 2004-02-23 2008-09-18 Koninklijke Philips Electronics, N.V. Determining Image Blur in an Imaging System
KR101129940B1 (ko) 2004-01-30 2012-03-28 도쿄엘렉트론가부시키가이샤 레티클/마스크 시스템의 적응형 실시간 제어를 제공하는 열 처리 시스템 및 열 처리 시스템의 작동 방법

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6078738A (en) 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
JP2971434B2 (ja) * 1998-03-19 1999-11-08 株式会社東芝 化学増幅型レジスト及びその製造方法、並びにパターン形成方法及び露光シミュレーション方法
JP3564298B2 (ja) 1998-06-18 2004-09-08 株式会社東芝 計算機を用いたパターン評価方法およびパターン生成方法
JP2001135567A (ja) * 1999-11-08 2001-05-18 Semiconductor Leading Edge Technologies Inc レジストパターン形状のシミュレーション装置、シミュレーション方法および記録媒体
JP2002006498A (ja) * 2000-06-27 2002-01-09 Shin Etsu Chem Co Ltd 化学増幅レジスト材料における特性予測方法
JP2002287360A (ja) * 2001-03-27 2002-10-03 Sony Corp 感光性組成物の設計方法およびリソグラフィ方法
JP2003068625A (ja) * 2001-08-29 2003-03-07 Nikon Corp レジストパターン形状のシミュレーション方法
US7116411B2 (en) * 2004-08-26 2006-10-03 Asml Masktools B.V. Method of performing resist process calibration/optimization and DOE optimization for providing OPE matching between different lithography systems
US7378202B2 (en) 2006-02-21 2008-05-27 Mentor Graphics Corporation Grid-based resist simulation
JP2008091721A (ja) * 2006-10-03 2008-04-17 Toshiba Corp レジストパターン予測システム、レジストパターン予測方法、及びマスクパターン補正方法
US7949618B2 (en) 2007-03-28 2011-05-24 Tokyo Electron Limited Training a machine learning system to determine photoresist parameters
WO2010046408A2 (en) * 2008-10-22 2010-04-29 Micronic Laser Systems Ab Method of iterative compensation for non-linear effects in three-dimensional exposure of resist
US8589827B2 (en) * 2009-11-12 2013-11-19 Kla-Tencor Corporation Photoresist simulation
US8336003B2 (en) * 2010-02-19 2012-12-18 International Business Machines Corporation Method for designing optical lithography masks for directed self-assembly
US8108805B2 (en) * 2010-03-26 2012-01-31 Tokyo Electron Limited Simplified micro-bridging and roughness analysis

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050065164A (ko) * 2003-12-24 2005-06-29 주식회사 하이닉스반도체 포토레지스트 패턴의 사이드 프로파일 검사방법
KR101129940B1 (ko) 2004-01-30 2012-03-28 도쿄엘렉트론가부시키가이샤 레티클/마스크 시스템의 적응형 실시간 제어를 제공하는 열 처리 시스템 및 열 처리 시스템의 작동 방법
US20080226152A1 (en) * 2004-02-23 2008-09-18 Koninklijke Philips Electronics, N.V. Determining Image Blur in an Imaging System
KR20060103972A (ko) * 2005-03-29 2006-10-09 삼성전자주식회사 미세구조물의 프로파일 검사 방법

Also Published As

Publication number Publication date
EP2499661A4 (en) 2014-03-05
US20110112809A1 (en) 2011-05-12
KR20120085841A (ko) 2012-08-01
WO2011059947A3 (en) 2011-10-13
US8589827B2 (en) 2013-11-19
WO2011059947A2 (en) 2011-05-19
JP5719850B2 (ja) 2015-05-20
EP2499661A2 (en) 2012-09-19
EP2499661B1 (en) 2018-08-08
US9679116B2 (en) 2017-06-13
US20140067346A1 (en) 2014-03-06
JP2013511152A (ja) 2013-03-28

Similar Documents

Publication Publication Date Title
KR101454522B1 (ko) 포토레지스트 시뮬레이션
CN109073985B (zh) 光敏化学放大型抗蚀剂(ps-car)模型校准
CN109073984B (zh) 光敏化学放大型抗蚀剂(ps-car)模拟
Biafore et al. Statistical simulation of resist at EUV and ArF
Maas et al. Stochastics in extreme ultraviolet lithography: investigating the role of microscopic resist properties for metal-oxide-based resists
Smith et al. Optimization of a virtual EUV photoresist for the trade-off between throughput and CDU
Thackeray et al. Progress in resolution, sensitivity, and critical dimensional uniformity of EUV chemically amplified resists
Fukuda Localized and cascading secondary electron generation as causes of stochastic defects in extreme ultraviolet projection lithography
Kang et al. Line width roughness variation and printing failures caused by stochastic effect at extreme-ultraviolet exposure
Thackeray et al. Pursuit of lower Critical Dimensional Uniformity in EUV resists
Fukuda Stochasticity in extreme-ultraviolet lithography predicted by principal component analysis of Monte Carlo simulated event distributions in resist films
Gronheid et al. Extreme-ultraviolet secondary electron blur at the 22-nm half pitch node
Fallica et al. Comparative study of resists and lithographic tools using the Lumped Parameter Model
Fukuda Cascade and cluster of correlated reactions as causes of stochastic defects in extreme ultraviolet lithography
Karafyllidis et al. Simulation of the image reversal submicron process in integrated circuit fabrication
Fukuda Impact of asymmetrically localized and cascading secondary electron generation on stochastic defects in EUV lithography
Schnattinger et al. A comprehensive resist model for the prediction of line-edge roughness material and process dependencies in optical lithography
Leunissen et al. Determining the impact of statistical fluctuations on resist line edge roughness
Mack et al. Stochastic exposure kinetics of EUV photoresists: a simulation study
Fukuda Stochastic defect generation in EUV lithography analyzed by spatially correlated probability model, reaction-limited and scattering-limited?
Chauhan et al. Mesoscale modeling: a study of particle generation and line-edge roughness
Tsikrikas et al. Stochastic simulation of material and process effects on the Patterning of complex layouts
Lawson et al. Three-dimensional mesoscale model for the simulation of LER in photoresists
Mack A simple model of line-edge roughness
D'Silva Modelling nanomechanical effects in advanced lithographic materials and processes

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20171012

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20181010

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20191008

Year of fee payment: 6