KR101208462B1 - 리소그래피 장치를 제어하는 방법 및 장치 - Google Patents

리소그래피 장치를 제어하는 방법 및 장치 Download PDF

Info

Publication number
KR101208462B1
KR101208462B1 KR1020110014746A KR20110014746A KR101208462B1 KR 101208462 B1 KR101208462 B1 KR 101208462B1 KR 1020110014746 A KR1020110014746 A KR 1020110014746A KR 20110014746 A KR20110014746 A KR 20110014746A KR 101208462 B1 KR101208462 B1 KR 101208462B1
Authority
KR
South Korea
Prior art keywords
model parameters
lithographic apparatus
substrate
lithographic
errors
Prior art date
Application number
KR1020110014746A
Other languages
English (en)
Other versions
KR20110095833A (ko
Inventor
보리스 멘치트치코프
알렉산더 파디
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20110095833A publication Critical patent/KR20110095833A/ko
Application granted granted Critical
Publication of KR101208462B1 publication Critical patent/KR101208462B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

리소그래피 노광 공정은 스캐너를 이용하여 기판 상에서 수행된다. 스캐너는 몇 개의 하위시스템들을 포함한다. 노광 동안 하위시스템들로부터 기인하는 오버레이의 오차들이 존재한다. 오버레이 오차들은 오버레이 측정들을 얻기 위하여 스케터로미터를 이용하여 측정된다. 평가된 모델 파라미터들의 상이한 서브세트들, 예를 들어 필드 왜곡 모델 파라미터들, 스캔/스텝 방향 모델 파라미터들 및 위치/변형 모델 파라미터들을 오버레이 측정들로부터 개별적으로 결정하기 위한 모델링이 수행된다. 각각의 서브세트는 리소그래피 장치의 대응되는 특정 하위시스템으로부터 기인하는 오버레이 오차들과 관련되어 있다. 마지막으로, 노광은 평가된 모델 파라미터들의 대응되는 서브세트를 이용하여 스캐너의 특정 하위시스템을 제어함으로써 스캐너에서 제어된다. 이는 잘 제어된 오버레이로 노광되는 제품 웨이퍼를 생성시킨다.

Description

리소그래피 장치를 제어하는 방법 및 장치{METHOD AND APPARATUS FOR CONTROLLING A LITHOGRAPHIC APPARATUS}
본 발명은, 예를 들어 리소그래피 기술들에 의한 디바이스들의 제조시에 이용가능한 리소그래피 공정에서 오버레이와 같은 오차들을 보정하기 위하여 리소그래피 장치를 제어하는 것에 관한 것이다.
리소그래피 장치는 기판 상에, 통상적으로는 기판의 타겟부 상에 원하는 패턴을 적용시키는 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조시에 사용될 수 있다. 그 경우, 대안적으로는 마스크 또는 레티클이라 지칭되는 패터닝 디바이스가 IC의 개별층 상에 형성될 회로 패턴을 생성하는 데 사용될 수 있다. 이 패턴은 기판(예컨대, 실리콘 웨이퍼) 상의 (예를 들어, 한 개 또는 수 개의 다이의 부분을 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 패턴의 전사는 기판 상에 제공되는 방사선-감응재(레지스트) 층 상으로의 이미징(imaging)을 통해 이루어진다. 일반적으로, 단일 기판은 연속하여 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다. 알려진 리소그래피 장치는, 한번에 타겟부 상으로 전체 패턴을 노광함으로써 각각의 타겟부가 조사(irradiate)되는 소위 스테퍼들, 및 투영 빔을 통해 주어진 방향("스캐닝" 방향)으로 패턴을 스캐닝하는 한편, 이 방향과 평행한 방향 또는 역-평행 방향으로 기판을 동기적으로 스캐닝함으로써 각각의 타겟부가 조사되는 스캐너들을 포함한다.
리소그래피 공정을 모니터링하기 위하여, 패터닝된 기판의 파라미터들이 측정된다. 파라미터들은, 예를 들어 현상된 감광성 레지스트의 임계 선폭(critical line width) 및 패터닝된 기판 내에 또는 현상된 감광성 레지스트의 임계 선폭 및 패터닝된 기판 상에 형성되는 연속하는 층들 간의 오버레이 오차를 포함할 수 있다. 이것의 측정은 제품 기판 및/또는 전용 메트롤로지 타겟 상에서 수행될 수 있다. 주사전자현미경(scanning electron microscope)들 및 다양한 특수 툴들의 사용을 포함하는, 리소그래피 공정들에서 형성되는 현미경 구조들의 측정들을 이행하는 다양한 기술들이 존재한다. 신속하며 비확장성(non-invasive) 형태의 특수 툴에는 방사선 빔이 기판의 표면 상의 타겟부 상으로 지향되고 스캐터링되거나 반사된 빔의 특성들이 측정되는 스케터로미터가 있다. 기판에 의해 반사되거나 스캐터링된 전과 후의 빔의 특성들을 비교함으로써, 기판의 특성들이 결정될 수 있다. 이는, 예를 들어 알려진 기판 특성들과 연관된 알려진 측정들의 라이브러리 내에 저장된 데이터와 반사된 빔을 비교함으로써 이행될 수 있다. 2 가지 주요 타입의 스케터로미터가 알려져 있다. 분광 스케터로미터(spectroscopic scatterometer)들은 광대역의 방사선 빔을 기판 상으로 지향시키고 특정한 좁은 각도 범위 내로 스케터링된 방사선의 스펙트럼(파장의 함수로서의 세기)을 측정한다. 각도 분해 스케터로미터(angularly resolved scatterometer)들은 단색(monochromatic) 방사선 빔을 이용하고 각도의 함수로서 스케터링된 방사선의 세기를 측정한다.
통상적으로, 웨이퍼 상의 오버레이 오차들과 같은 기판의 특성들은 노광 필드를 가로질러 그리고 웨이퍼 기판을 가로질러 측정된다. 오버레이 오차들은 각각의 측정 포인트 및 모든 측정 포인트에서 제어되지 않는다. 오히려, 필드 및 웨이퍼를 가로지르는 오버레이의 특징을 규정하는 데 파라미터화(parameterization)가 이용된다.
이 파라미터화는 웨이퍼 및 필드 위치의 함수로서 오버레이 오차들에 대해 기술한다. 이 파라미터화는 10-파라미터 모델과 같이 단순하거나, 또는 고차 다항식의 기저 집합(basis set)과 같이 보다 복잡할 수 있다. 이 파라미터화를 이용하여, 웨이퍼(필드)를 가로지르는 오버레이 오차들이 0이 되도록 하는 목적을 갖는, 리소그래피 장치에 적용되어야 하는 보정들이 계산될 수 있다.
현재, 오프-라인 파라미터화 모델들은 일반적인 방식으로 오버레이 오차들을 고려하고 있다. 이는 리소그래피 장치에서 최적화되지 않은 보정들을 적용함으로써 비효과적인 유지보수를 야기할 수 있다. 이러한 유지보수의 부정확성은 리소그래피 장치의 더욱 빈번한 온-라인 재-캘리브레이션을 수행해야 할 필요성을 초래하거나 또는 다른 필드 위치들 및 크기들에 대해 일반적이지 않은 캘리브레이션의 필드 위치들 및 크기(캘리브레이션 대 생산 레이아웃들)에 대한 특수한 보정들을 야기한다.
그러므로, 필요로 하는 것은 개선된 오프-라인 파라미터화 모델링의 효과적인 시스템 및 방법이다.
본 발명의 일 실시예에서는, 리소그래피 장치를 제어하는 방법이 제공되며, 상기 리소그래피 장치를 제어하는 방법은 기판 특성 측정들을 얻기 위하여 리소그래피 공정으로부터 기인한 기판 특성을 측정하는 단계 및 기판 특성 측정들로부터 리소그래피 장치의 평가된 모델 파라미터들의 복수의 서브세트(subset)들을 개별적으로 결정하는 단계를 포함한다. 또한, 각각의 서브세트는 리소그래피 장치의 대응되는 특정 하위시스템으로부터 기인한 기판 특성의 오차들과 관련된다. 또한, 리소그래피 장치의 제어는 평가된 모델 파라미터들의 제어된 특정 하위시스템의 대응되는 서브세트를 이용하여 리소그래피 장치의 특정 하위시스템을 제어하는 단계를 포함한다.
본 발명의 또 다른 실시예에서는, 기판 상에서 리소그래피 공정을 수행하는 데 이용되는 리소그래피 장치에 의한 리소그래피 처리를 제어하는 장치가 제공되며, 상기 장치는, 기판 특성 측정들을 얻기 위하여 리소그래피 공정으로부터 기인한 기판 특성을 측정하는 검사 장치, 및 기판 특성 측정들로부터 리소그래피 장치의 평가된 모델 파라미터들의 복수의 서브세트들을 개별적으로 결정하기 위한 프로세서를 포함한다. 또한, 각각의 서브세트는 리소그래피 장치의 대응되는 특정 하위시스템으로부터 기인한 상기 기판 특성의 오차들과 관련된다. 또한, 리소그래피 장치의 제어는 평가된 모델 파라미터들의 제어된 특정 하위시스템의 대응되는 서브세트를 이용하여 리소그래피 장치의 특정 하위시스템을 제어하는 단계를 포함한다.
본 발명의 추가 실시예에서는, 기판 상에서 리소그래피 공정을 수행하는 데 이용되는 리소그래피 장치에 의한 리소그래피 처리를 제어하는 기계-판독가능한 명령어들의 1 이상의 시퀀스들을 포함하는 컴퓨터 프로그램물이 제공되며, 상기 명령어들은 1 이상의 프로세서들로 하여금, 리소그래피 공정으로부터 기인한 기판 특성 측정들을 수신하고 상기 기판 특성 측정들로부터 리소그래피 장치의 평가된 모델 파라미터들의 복수의 서브세트들을 개별적으로 결정하게 하도록 구성된다. 또한, 각각의 서브세트는 리소그래피 장치의 대응되는 특정 하위시스템으로부터 기인한 기판 특성의 오차들과 관련되어 있다. 또한, 리소그래피 장치의 제어는 평가된 모델 파라미터들의 제어된 특정 하위시스템의 대응되는 서브세트를 이용하여 리소그래피 장치의 특정 하위시스템을 제어하는 단계를 포함한다.
본 발명의 추가 실시예들, 특징들 및 장점들과 본 발명의 다양한 실시예들의 구조 및 작동에 대해서는 첨부 도면들을 참조하여 상세히 후술될 것이다. 본 발명의 본 명세서에 기술된 특정 실시예들로만 제한되는 것은 아니라는 데 유의하여야 한다. 본 명세서에서, 이러한 실시예들의 단지 예시의 목적으로 제시된 것에 불과하다. 추가 실시예들은 본 명세서에 포함된 지침을 토대로 하는 관련 기술(들)의 업자들이라면 이해할 수 있을 것이다.
이하, 대응되는 참조 부호들이 대응되는 부분들을 나타내는 개략적인 첨부 도면들을 참조하여 본 발명의 실시예들이 예시의 방법으로 설명될 것이다. 또한, 본 명세서에 포함되어 그 일부를 형성하는 첨부 도면들은 본 발명을 예시하고 있으며, 나아가 설명부와 함께 본 발명의 원리를 설명하고 당업자들이 본 발명을 실행 및 이용할 수 있도록 하는 역할을 한다.
도 1은 본 발명의 일 실시예에 따른 리소그래피 셀 또는 클러스터를 나타낸 도;
도 2는 본 발명의 일 실시예에 따른 리소그래피 셀 또는 클러스터를 나타낸 도;
도 3은 본 발명의 일 실시예에 따른 제 1 스케터로미터를 나타낸 도;
도 4는 본 발명의 일 실시예에 따른 제 2 스케터로미터를 나타낸 도;
도 5는 본 발명의 일 실시예에 따른 개별 측정 및 노광 스테이지들을 구비한 리소그래피 장치의 구성요소들을 나타낸 개략도;
도 6은 본 발명의 일 실시예의 알려진 실행법에 따른, 도 5의 리소그래피 장치에서의 측정 및 노광 공정들의 스테이지들을 개략적으로 나탄내 도;
도 7은 본 발명의 일 실시예에 따른 기판 상의 웨이퍼 스테이지들을 이동시키기 위한 배열의 제 1 예를 나타낸 도;
도 8은 본 발명의 일 실시예에 따른 기판 상의 웨이퍼 스테이지들을 이동시키기 위한 배열의 제 2 예를 나타낸 도;
도 9는 본 발명의 일 실시예에 따른 스캐너 안정성 모듈(scanner stability module)을 활용하는 리소그래피 공정의 제어 루프들을 예시한 도;
도 10은 본 발명의 일 실시예에 따른 방법을 예시한 플로우 차트이다.
본 발명의 특징들 및 장점들은, 전체에 걸쳐 같은 참조 부호를 통해 대응되는 요소들을 식별할 수 있는 도면들과 연계하였을 경우 후술되는 상세한 설명부로부터 보다 명확히 이해될 것이다. 도면들에서, 같은 참조 부호들은 일반적으로 동일하고, 기능적으로 유사하며, 및/또는 구조적으로 유사한 요소들을 나타낸다.
본 명세서는 본 발명의 특징들을 포함하는 1 이상의 실시예를 개시하고 있다. 개시된 실시예(들)는 본 발명의 예시에 지나지 않는다. 본 발명의 범위는 개시된 실시예(들)로만 제한되지는 않는다. 본 발명은 후속 청구범위에 의하여 정의된다.
기술되는 실시예(들) 및 "하나의 실시예", "일 실시예", "예시적 실시예" 등에 대한 명세서에서의 언급들은 기술된 실시예(들)이 구체적 특징이나, 구조 또는 특성을 포함할 수 있으나, 모든 실시예가 반드시 구체적 특징이나, 구조 또는 특성을 포함하는 것은 아니라는 것을 나타낸다. 또한, 이러한 문구가 반드시 동일한 실시예를 언급하는 것은 아니다. 나아가, 구체적 특징이나, 구조 또는 특성이 일 실시예와 관련하여 설명되는 경우, 명확히 기술되었든 그렇지 않든, 이러한 특징이나, 구조 또는 특성을 다른 실시예들과 관련하여 실행하는 것도 당업자의 지식 내에 속한다는 것을 이해하여야 한다.
본 발명의 실시예들은 하드웨어, 펌웨어, 소프트웨어 또는 그들의 조합으로 구현될 수도 있다. 또한, 본 발명의 실시예들은 1 이상의 프로세서들에 의해 판독되고 실행될 수 있는 기계-판독가능한 매체에 저장되는 명령어들로서 구현될 수도 있다. 기계-판독가능한 매체는 기계(예를 들어, 연산 장치)에 의하여 판독가능한 형태로 정보를 저장하거나 전송하기 위한 여하한의 기구를 포함할 수 있다. 예를 들어, 기계-판독가능한 매체는 ROM(read only memory); RAM(random access memory); 자기 디스크 저장 매체; 광학 저장 매체; 플레쉬 메모리 디바이스들; 전기, 광학, 음향 또는 여타 형태들의 전파 신호들(예를 들어, 반송파들, 적외선 신호, 디지털 신호 등)을 포함할 수 있다. 또한, 본 명세서에서는 특정 작업들을 수행하는 것으로서 펌웨어, 소프트웨어, 루틴들, 명령어들이 기술될 수도 있다. 하지만, 이러한 설명들은 단지 편의를 위한 것으로, 이러한 작업들은 실제에 있어 연산 장치들이나, 프로세서들이나, 제어기들이나, 또는 펌웨어, 소프트웨어, 루틴들, 명령어들 등을 실행하는 다른 디바이스들로부터 이행된다는 것을 이해하여야 한다.
하지만, 이러한 실시예들을 보다 상세히 설명하기 전에, 본 발명의 실시예들이 구현될 수 있는 예시적인 환경을 제공하는 것이 도움이 된다.
도 1은 본 발명의 일 실시예에 따른 리소그래피 장치를 개략적으로 도시하고 있다. 상기 장치는 방사선 빔(B)(예를 들어, UV 방사선 또는 DUV 방사선)을 컨디셔닝(condition)하도록 구성된 조명 시스템(일루미네이터)(IL); 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고, 특정 파라미터들에 따라 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결된 지지 구조체(예를 들어, 마스크 테이블)(MT); 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 특정 파라미터들에 따라 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결된 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성된 투영 시스템(예를 들어, 굴절 투영 렌즈 시스템)(PL)을 포함한다.
조명 시스템은 방사선을 지향, 성형, 또는 제어하기 위하여, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 타입의 광학 구성요소들, 또는 그들의 여하한의 조합과 같은 다양한 타입들의 광학 구성요소들을 포함할 수 있다.
지지 구조체는, 패터닝 디바이스의 무게를 지지, 즉 지탱한다. 지지 구조체는 패터닝 디바이스의 방위, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지의 여부와 같은 다른 조건들에 의존하는 방식으로 패터닝 디바이스를 유지한다. 지지 구조체는 패터닝 디바이스를 유지하기 위해 기계적, 진공, 정전기, 또는 다른 클램핑 기술들을 이용할 수 있다. 지지 구조체는, 예를 들어 필요에 따라 고정되거나 이동가능할 수 있는 프레임 또는 테이블일 수 있다. 지지 구조체는, 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있도록 보장할 수 있다. 본 명세서에서 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 디바이스"라는 보다 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는, 기판의 타겟부에 패턴을 생성하기 위해서, 방사선 빔의 단면에 패턴을 부여하는데 사용될 수 있는 여하한의 디바이스를 언급하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은, 예를 들어 패턴이 위상-쉬프팅 피처들(phase-shifting features) 또는 소위 어시스트 피처들을 포함하는 경우 기판 타겟부 내의 원하는 패턴과는 정확히 일치하지 않을 수도 있다는 데 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성될 디바이스 내의 특정 기능 층에 해당할 것이다.
패터닝 디바이스는 투과형 또는 반사형일 수 있다. 패터닝 디바이스들의 예로는 마스크, 프로그래밍가능한 거울 어레이 및 프로그래밍가능한 LCD 패널들을 포함한다. 마스크는 리소그래피 분야에서 잘 알려져 있으며, 바이너리(binary)형, 교번 위상-시프트형 및 감쇠 위상-시프트형과 같은 마스크 타입뿐만 아니라, 다양한 하이브리드(hybrid) 마스크 타입들을 포함한다. 프로그래밍가능한 거울 어레이의 일 예시는 작은 거울들의 매트릭스 구성을 채택하며, 그 각각은 입사하는 방사선 빔을 상이한 방향으로 반사시키도록 개별적으로 기울어질 수 있다. 기울어진 거울들은 거울 매트릭스에 의해 반사되는 방사선 빔에 패턴을 부여한다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 사용되는 노광 방사선에 대하여, 또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사, 카타디옵트릭(catadioptric), 자기, 전자기 및 정전기 광학 시스템, 또는 그들의 여하한의 조합을 포함하는 다양한 타입의 투영 시스템을 포괄하는 것으로 폭넓게 해석되어야 한다. 본 명세서에서의 "투영 렌즈"라는 용어의 사용도 "투영 시스템"이라는 좀 더 일반적인 용어와 동의어로서 간주될 수 있다.
이 실시예에서, 예를 들어 상기 장치는 (예를 들어, 투과형 마스크를 채택하는) 투과형으로 구성된다. 대안적으로, 상기 장치는 (예를 들어, 상술된 바와 같은 타입의 프로그래밍가능한 거울 어레이를 채택하거나, 또는 반사형 마스크를 채택하는) 반사형으로 구성될 수 있다.
리소그래피 장치는 2 개(듀얼 스테이지) 이상의 기판 테이블 및 예를 들어 2 개 이상의 마스크 테이블을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 기계에서는 추가 테이블들이 병행하여 사용되거나, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비작업 단계가 수행될 수 있다.
리소그래피 장치는, 기판의 적어도 일 부분이 투영 시스템과 기판 사이의 공간을 채우기 위하여 상대적으로 굴절률을 갖는 액체, 예를 들어 물로 덮이는 타입으로 구성될 수도 있다. 또한, 침지(immersion) 액체는, 예를 들어 마스크와 투영 시스템 사이의 리소그래피 장치 내의 공간들에도 적용될 수 있다. 침지 기술들은 투영 시스템의 개구수를 증가시키는 것으로 당업계에서 잘 알려져 있다. 본 명세서에서 사용되는 "침지"라는 용어는 기판과 같은 구조체가 액체 내에 잠겨야 한다기 보다, 노광 동안 투영 시스템과 기판 사이에 액체가 놓이기만 하면 된다는 것을 의미한다.
도 1을 참조하면, 일루미네이터(IL)는 방사선 소스(SO)로부터 방사선 빔을 수용한다. 예를 들어, 상기 소스가 엑시머 레이저(excimer laser)인 경우, 상기 소스 및 리소그래피 장치는 별도의 개체일 수 있다. 이러한 경우, 상기 소스는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 상기 방사선 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 일루미네이터(IL)로 통과된다. 다른 경우, 예를 들어 상기 소스가 수은 램프인 경우, 상기 소스는 리소그래피 장치의 통합부일 수 있다. 상기 소스(SO) 및 일루미네이터(IL)는, 필요에 따라 빔 전달 시스템(BD)과 함께 방사선 시스템이라고도 칭해질 수 있다.
상기 일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기(AD)를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 인티그레이터(IN) 및 콘덴서(CO)와 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면이 원하는 균일성(uniformity) 및 세기 분포를 갖도록 하기 위해, 방사선 빔을 컨디셔닝하는데 사용될 수 있다.
상기 방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스[예를 들어, 마스크(MA)] 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 상기 마스크(MA)를 가로지른 후, 상기 방사선 빔(B)은 투영 시스템(PL)을 통과하며, 상기 투영 시스템은 기판(W)의 타겟부(C) 상에 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(IF)(예를 들어, 간섭계 디바이스, 리니어 인코더, 2-D 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은, 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서(도 1에는 명확히 도시되어 있지 않음)는, 예를 들어 마스크 라이브러리로부터의 기계적인 회수 후에 또는 스캔하는 동안 방사선 빔(B)의 경로에 대해 마스크(MA)를 정확히 위치시키는데 사용될 수 있다. 일반적으로, 마스크 테이블(MT)의 이동은 장-행정 모듈(long-stroke module), 예를 들어 개략 위치설정, 및 단-행정 모듈(short-stroke module), 예를 들어 미세 위치설정의 도움으로 실현될 수 있으며, 이는 제 1 위치설정기(PM)의 일부분을 형성한다. 이와 유사하게, 기판 테이블(WT)의 이동은 장-행정 모듈 및 단-행정 모듈을 이용하여 실현될 수 있으며, 이는 제 2 위치설정기(PW)의 일부분을 형성한다. (스캐너와는 대조적으로) 스테퍼의 경우, 마스크 테이블(MT)은 단-행정 액추에이터에만 연결되거나 고정될 수 있다. 마스크(MA) 및 기판(W)은 마스크 정렬 마크들(M1 및 M2) 및 기판 정렬 마크들(P1 및 P2)을 이용하여 정렬될 수 있다. 비록, 예시된 기판 정렬 마크들은 지정된(dedicated) 타겟부들을 차지하고 있지만, 그들은 타겟부들 사이의 공간들 내에 위치될 수도 있다[이들은 스크라이브-레인 정렬 마크(scribe-lane alignment mark)들로 알려져 있다]. 이와 유사하게, 마스크(MA) 상에 1 이상의 다이가 제공되는 상황들에서, 마스크 정렬 마크들은 다이들 사이에 위치될 수 있다.
도시된 장치는 다음 모드들 중 적어도 1 이상에서 사용될 수 있다:
1. 스텝 모드에서, 마스크 테이블(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여된 전체 패턴은 한번에 타겟부(C) 상에 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다. 스텝 모드에서, 노광 필드의 최대 크기는 단일 정적 노광시에 이미징되는 타겟부(C)의 크기를 제한한다.
2. 스캔 모드에서, 마스크 테이블(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 마스크 테이블(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영시스템(PL)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광시 타겟부의 (스캐닝 되지 않는 방향으로의) 폭을 제한하는 반면, 스캐닝 동작의 길이는 타겟부의 (스캐닝 방향으로의) 높이를 결정한다.
3. 또 다른 모드에서, 마스크 테이블(MT)은 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)이 각각 이동한 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
또한, 상술된 사용 모드들의 조합 및/또는 변형, 또는 완전히 다른 사용 모드들이 채택될 수도 있다.
도 2에 도시된 바와 같이, 본 발명의 일 실시예에 따르면 리소그래피 장치(LA)는 흔히 리소셀 또는 클러스터라 언급되기도 하는 리소그래피 셀(LC)(이는 또한 기판 상의 노광-전 공정 및 노광-후 공정을 수행하기 위한 장치를 포함함)의 일부를 형성한다. 통상적으로, 이들은 레지스트 층들을 증착시키기 위한 스핀 코터(spin coater: SC)들, 노광된 레지스트를 현상하기 위한 디벨로퍼(developer: DE)들, 칠 플레이트(chill plate: CH)들 및 베이크 플레이트(bake plate: BK)들을 포함한다. 기판 핸들러(또는 로봇)(RO)는 입력/출력 포트들(I/O1, I/O2)로부터 기판들을 집어올려, 그들을 상이한 공정 장치 사이로 이동시킨 다음, 리소그래피 장치의 로딩 베이(loading bay: LB)로 전달한다. 흔히 집합적으로 트랙이라 언급되는 이러한 디바이스들은, 관리 제어 시스템(supervisory control system: SCS)[이는 또한 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어함]에 의하여 자체적으로 제어되는 트랙 제어 유닛(TCU)의 제어 하에 있다. 따라서, 스루풋(throughput) 및 처리의 효율성을 극대화시키기 위하여 상이한 장치가 작동될 수 있다.
리소그래피 장치에 의하여 노광되는 기판들이 정확하고 일관되게 노광되도록 하기 위해서는, 연속하는 층들 간의 오버레이 오차들, 라인 두께들, 임계 치수들(CD) 등과 같은 특성들을 측정하기 위해 노광된 기판들을 검사하는 것이 바람직하다. 오차들이 검출되는 경우, 특히 동일한 뱃치(batch)의 다른 기판들이 계속 노광될 수 있도록 충분히 신속하고 빠르게 검사가 수행될 수 있다면, 예를 들어 연속하는 기판들의 노광들에 대한 조정들이 가해질 수 있다. 또한, 이미 노광된 기판들은 벗겨지고(stripped) 재가공되어, 수율을 높이거나 또는 폐기될 가능성이 있어 결함이 있는 것으로 알려진 기판들 상에서의 노광들의 수행을 피하게 한다. 기판의 단 몇 개의 타겟부들에만 결함이 있는 경우에는, 결함이 없는 것으로 보여지는 타겟부들 상에서만 추가적인 노광들이 수행될 수 있다.
기판들의 특성들을 결정하고, 특히 상이한 기판들의 특성들 또는 동일 기판의 상이한 층들의 특성들이 층마다 어떻게 변하는지를 결정하는 데 검사 장치가 이용된다. 검사 장치는 리소그래피 장치(LA) 또는 리소셀(LC) 내에 통합되거나 독립형 디바이스(stand alone device)일 수 있다. 가장 빠른 측정들이 가능하도록 하기 위해, 검사 장치는 노광 직후에 노광된 레지스트 층의 특성들을 측정하는 것이 바람직하다. 하지만, 방사선에 노광되는 레지스트의 부분들과 노광되지 않는 부분들 간에는 매우 작은 굴절률의 차이만이 존재하기 때문에 레지스트 내의 잠상은 매우 작은 콘트라스트(contrast)를 가지며, 모든 검사 장치가 잠상의 유용한 측정들을 수행할 만한 충분한 감도를 갖지는 못한다. 그러므로, 통상적으로 노광된 기판들 상에서 수행되는 제 1 단계이며 레지스트의 노광된 부분과 노광되지 않은 부분 간의 콘트라스트를 증대시키는 노광-후 베이크 단계(PEB) 후에 측정들이 수행될 수 있다. 이 단계에서, 레지스트 내의 이미지는 반-잠상(semi-latent)이라 언급될 수 있다. 또한, 레지스트의 노광된 부분이나 노광되지 않은 부분들이 제거된 시점에 또는 에칭과 같은 패턴 전사(pattern transfer) 단계 후에 현상된 레지스트 이미지의 측정들을 수행하는 것이 가능하다. 후자의 가능성은 결함이 있는 기판들의 재가공에 대한 가능성들을 제한하기는 하지만, 여전히 유용한 정보를 제공할 수 있다.
도 3은 본 발명의 일 실시예에 따른, 본 발명에서 사용될 수 있는 스케터로미터를 도시하고 있다. 이는 기판(W) 상으로 방사선을 투영하는 광대역, 예를 들어 백색 광 방사선 투영기(2)를 포함한다. 반사된 방사선은 정반사된 방사선의 스펙트럼(10), 예를 들어 파장의 함수로서 세기를 측정하는 분광계 검출기(spectrometer detector; 4)로 전달된다. 이 데이터로부터, 검출된 스펙트럼에 의해 생성된 프로파일 또는 구조체가, 예를 들어 RCWA(Rigorous Coupled Wave Analysis) 및 비-선형 회귀(non-linear regression)에 의해, 또는 도 3의 하부에 나타낸 바와 같은 시뮬레이션된 스펙트럼들의 라이브러리와 비교함으로써, 처리 유닛(PU)에 의해 재구성될 수 있다. 일반적으로, 재구성을 위해 상기 구조체의 일반적인 형태가 알려지고, 상기 구조체가 만들어진 공정의 정보(knowledge)로부터 몇몇 파라미터들이 추정되어, 스케터로메트리 데이터로부터 결정될 구조체의 몇몇 파라미터들만이 남게 된다. 이러한 스케터로미터는 수직-입사 스케터로미터 또는 경사-입사 스케터로미터로서 구성될 수 있다.
본 발명의 일 실시예에 따른, 본 발명과 함께 이용될 수 있는 또 다른 스케터로미터가 도 4에 도시되어 있다. 이 디바이스에서, 방사선 소스(2)에 의해 방출된 방사선은 렌즈 시스템(12)을 이용하여 시준되고(collimated), 간섭 필터(interference filter: 13) 및 편광기(17)를 통해 전달되고, 부분 반사면(partially reflected surface: 16)에 의해 반사되며, 예를 들어 바람직하게는 적어도 0.9 이상이고 더 바람직하게는 적어도 0.95 이상인 높은 개구수(NA)를 갖는 현미경 대물 렌즈(15)를 통해 기판(W) 상으로 포커스된다. 침지 스케터로미터는, 심지어 개구수가 1이 넘는 렌즈를 구비할 수도 있다. 그 후, 반사된 방사선은 산란 스펙트럼(scatter spectrum)이 검출되도록 하기 위해서, 부분 반사면(16)을 통해 검출기(18)로 전달된다. 검출기는 렌즈 시스템(15)의 초점 길이에 존재하는 역 투영(back-projected) 퓨필 평면(11) 내에 위치될 수도 있으나, 그 대신에 퓨필 평면이 보조 광학기(도시되지 않음)를 이용하여 검출기 상에 재-이미징(re-image)될 수도 있다. 퓨필 평면은, 방사선의 반경방향 위치(radial position)가 입사각을 정의하고 각도 위치가 방사선의 방위각(azimuth angle)을 정의하는 평면이다. 일 예에서 검출기는, 기판 타겟(30)의 2-차원 각도 산란 스펙트럼이 측정될 수 있도록 2-차원 검출기이다. 검출기(18)는, 예를 들어 CCD 또는 CMOS 센서들의 어레이일 수 있으며, 예를 들어 프레임당 40 밀리초(millisecond)의 통합 시간(integration time)을 이용할 수 있다.
예를 들어, 입사 방사선의 세기를 측정하기 위해 기준 빔이 흔히 사용된다. 이를 위해, 방사선 빔이 빔 스플리터(16) 상에 입사되는 경우, 그 일부분이 상기 빔 스플리터를 통해 기준 빔으로서 기준 거울(14)을 향하여 전달된다. 그 후, 기준 빔은 동일한 검출기(18)의 상이한 부분 상으로 투영되거나, 또는 대안적으로 상이한 검출기(도시 안됨) 상으로 투영된다.
가령 405 내지 790 nm의 범위, 또는 200 내지 300 nm와 같이 훨씬 낮은 범위 내에서 해당 파장을 선택하기 위해, 간섭 필터들(13)의 일 세트가 이용될 수 있다. 간섭 필터는 상이한 필터들의 일 세트를 포함하기보다는 조절가능(tunable)할 수 있다. 간섭 필터들 대신에, 격자가 사용될 수 있다.
검출기(18)는 단파장, 또는 협파장 범위에서의 산란 광의 세기, 다수 파장들에서의 개별적인 세기, 또는 파장 범위에 걸쳐 통합된 세기를 측정할 수 있다. 또한, 검출기는 횡자기(transverse magnetic)-편광 및 횡전기(transverse electric) 편광 광의 세기, 및/또는 횡자기-편광 광과 횡전기-편광 광 간의 위상차를 개별적으로 측정할 수 있다.
광대역 광 소스, 즉 광 주파수들 또는 파장들의 이용, 및 그에 따른 광범위한 칼라들의 이용이 가능하며, 이는 넓은 너비(etendue)를 제공하여 다수 파장들의 혼합(mixing)을 가능하게 한다. 광대역에서의 복수의 파장들은, 바람직하게는 각각 Δλ의 대역폭 및 적어도 2Δλ(즉, 대역폭의 두 배) 이상의 간격을 갖는다. 방사선의 복수의 "소스"들은 섬유 다발(fiber bundle)을 이용하여 분할(split)되었던 연장된 방사선 소스의 상이한 부분들일 수 있다. 이러한 방식으로, 각도 분해된 산란 스펙트럼들(angle resolved scatter spectra)이 다수 파장들에서 병렬로(in parallel) 측정될 수 있다. 예를 들어, 파장 및 2 개의 상이한 각도들과 같은 3-D 스펙트럼이 측정될 수 있으며, 이는 2-D 스펙트럼보다 더 많은 정보를 포함한다. 이것은 더 많은 정보가 측정되도록 하며, 이는 메트롤로지 공정 강건성(metrology process robustness)을 증대시킨다. 이는 본 명세서에서 인용 참조되는 유럽특허 No. 1,628,164A에 보다 상세히 기술되어 있다.
기판(W) 상의 타겟(30)은 현상 이후에 바아(bar)들이 실선의 레지스트 라인들로 형성되도록 프린트되는 1-D 격자일 수 있다. 타겟(30)은 현상 이후에 격자가 실선의 레지스트 필러(pillar)들이나 레지스트 내의 비아(via)들로 형성되도록 프린트되는 2-D 격자일 수도 있다. 대안적으로, 상기 바아들, 필러들 또는 비아들은은 기판 안으로 에칭될 수도 있다. 이 패턴은 리소그래피 투영 장치, 특히 투영 시스템(PL) 내의 색수차(chromatic aberration)에 민감할 수 있으며, 이러한 수차들의 존재 및 조명 대칭성은 그 자체로 프린트된 격자의 변동을 입증한다. 따라서, 프린트된 격자의 스케터로메트리 데이터가 상기 격자들을 재구성하는 데 사용된다. 프린팅 단계 및/또는 다른 스케터로메트리 공정들의 정보로부터, 선 폭 및 형상과 같은 1-D 격자의 파라미터들, 및 필러 또는 비아 폭들 또는 길이들 또는 형상들과 같은 2-D 격자의 파라미터들이 처리 유닛(PU)에 의해 수행되는 재구성 공정에 입력될 수 있다.
도 5는, 본 발명의 일 실시예에 따른 도 1의 장치의 일 실시예의 배열을 개략적으로 도시하고 있으며, 여기서 상기 장치는 듀얼 기판 지지체들과 개별 메트롤로지 스테이션 및 노광 스테이션을 갖는 타입으로 구성된다.
베이스 프레임(FB)은 접지부(ground) 상의 장치를 지지하고 둘러싼다. 장치 내에 있으며 정확한 위치의 기준으로서의 역할을 하는 메트롤로지 프레임(FM)은 공기 베어링(402) 상에 지지되며, 상기 공기 베어링(402)은 상기 메트롤로지 프레임(FM)을 주변의 진동들로부터 분리시킨다. 투영 시스템(PS)은 이 프레임 상에 장착되며, 자연스럽게 노광 스테이션(EXP)뿐 아니라 메트롤로지 스테이션(MET)의 기능적 요소들인 기구들(instruments; 404, 406, 408)의 코어를 형성한다. 이들 스테이션들 위에서는, 마스크 테이블(MT) 및 마스크(MA)가 투영 시스템(PS) 위에 장착된다. 제 1 위치설정기(PM)는 장거리(long-throw), 예를 들어 개략 액추에이터(410), 및 단거리(short-throw), 예를 들어 미세 액추에이터들(412 및 414)을 포함한다. 이들은, 투영 시스템(PS)에 대한, 따라서 메트롤로지 프레임(FM)에 대한 마스크(MA)의 원하는 위치를 얻기 위하여 능동적 피드백 제어(active feedback control)에 의해 작동된다. 이 측정은 416에 개략적으로 나타나 있다. 마스크(MA)에 대한 전체 위치설정 기구는 능동적 공기 베어링(418) 등을 통해 B의 베이스 프레임 상에서 지지된다. 마스크 테이블(MT)의 적어도 개략적인 움직임들 및 위치설정을 모방(mimic)하기 위해 프레임과 다른 구성요소들로 전달되는 진동들을 저감시키기 위하여 밸런스 매스(balance mass; 420)가 제공된다. 저 주파수 서보 제어부(low frequency servo control)는 밸런스 매스(420)를 원하는 평균 위치에서 유지시킨다. 이와 유사하게 투영 시스템 아래에 나타낸 웨이퍼 테이블(WT)은 투영 시스템(PS)의 엑시트 렌즈(exit lens)에 대하여 기판(W)을 정확히 위치설정하기 위하여 개략 액추에이터(422) 및 미세 액추에이터(424, 426)를 갖는다. 추가적으로, 이 예의 듀얼-스테이지 배열에 따르면, 동형(duplicate) 웨이퍼 테이블(WT') 및 위치설정 기구(PW')가 제공된다. 예시된 바와 같이, 이들 동형 요소들은 메트롤로지 스테이션(MET)에서 제 2 기판(W')을 지지하고 있다. 웨이퍼 테이블들(WT, WT') 및 그들 각각의 위치설정기들(PW 및 PW')은 공유 밸런스 매스(428) 상으로 옮겨져 연결된다. 또한, 공기 베어링이나, 자기 베어링, 전자기 베어링 등과 같은 다른 적합한 베어링들이, 예를 들어 430으로 개략적으로 도시되어 있다. 웨이퍼들(W 및 W')의 위치들의 개략 제어 및 미세 제어에 이용되는 웨이퍼 테이블 위치의 측정들은 메트롤로지 스테이션에서는 요소들(406)에 대해, 그리고 노광 스테이션에서는 PS에 대해 수행되며, 이들 둘 모두는 궁극적으로는 역으로 메트롤로지 프레임(FM)과 관련된다.
도 6은, 본 발명의 일 실시예에 따른 기판(W) 상의 다이들을 노광하기 위하여 도 5의 이 트윈-스테이지 장치에서의 단계들을 예시하고 있다. 좌측의 점선 박스 내에는 메트롤로지 스테이션(MET)에서 수행되는 단계들이 있으며, 우측에는 노광 스테이션(EXP)에서 수행되는 단계들이 도시되어 있다. 기판(W)은 이미 노광 스테이션 내에 로딩되었다. 새 기판(W')은 단계 500에 도시되지 않은 기구에 의하여 장치에 로딩된다. 이들 두 기판은 하나로서 메트롤로지 프로세스의 스루풋을 증가시키기 위하여 병렬로 처리된다. 먼저 새로-로딩된 기판(W')을 언급하면, 이것은 장치 내에서의 첫 번째 노광 동안 새로운 포토 레지스트가 마련되는, 사전에 처리되지 않은 기판일 수 있다. 하지만, 일반적으로 기술된 리소그래피 공정은 일련의 노광 및 처리 단계들 중 일 단계에 지나지 않아서, 기판(W')은 이 장치 및/또는 다른 리소그래피 장치를 이미 수차례 거치고 후속하는 공정들 또한 수행해야 할 수 있다. 502에서, 기판 마크들(P1) 등 및 이미지 센서 등을 이용하는 정렬 측정들은 기판 테이블(WT)에 대한 기판의 정렬을 측정하고 기록하는 데 이용된다. 실제에 있어, 공칭(nominal) 정규 격자에 대한 왜곡을 포함하는, 기판을 가로지르는 마크들을 매우 정확하게 매핑(map)하는 "웨이퍼 격자"를 조성하기 위하여, 기판(W')을 가로지르는 몇몇 마크들이 측정 측정된다. 단계 504에서는, 노광된 패턴의 정확한 포커싱에 이용하기 위해 X-Y 위치에 대한 웨이퍼 높이 맵 또한 측정된다.
기판(W')이 로딩된 경우, 수행될 노광을 정의하고, 웨이퍼 및 사전에 만들어지고 그 위에 만들어질 패턴들의 특성들 또한 정의하는 레시피 데이터(506)가 수신된다. 이들 레시피 데이터에는, 레시피 및 메트롤로지 데이터(508)의 완전한 세트가 노광 스테이지로 전달되도록 502, 504에서 만들어진 측정들이 부가된다. 510에서는, 웨이퍼 W'와 W가 교환(swap)되어, 측정된 기판(W')은 노광 장치로 들어가는 기판(W)이 된다. 이러한 교환은 장치 내의 지지부들(WT 및 WT')을 교환함으로써 수행되어, 기판들(W, W')이 상기 지지부들 상에서 정확하게 클램핑되고 위치설정되어 기판 테이블들과 기판들 간의 상대적인 정렬이 자체적으로 보존되도록 한다. 따라서, 테이블들이 교환되면, 투영 시스템(PS)과 기판 테이블(WT)(이전에는 WT') 간의 상대적인 위치를 결정하는 것이, 노광 단계들의 제어시에 기판(W)(이전에는 W')에 대한 측정 정보(502, 504)를 이용하는 데 필요한 모든 것이다. 단계 512에서, 레티클의 정렬은 마스크 정렬 마크들을 이용하여 수행된다. 단계 514, 516, 518에서, 스캐닝 동작들 및 방사선 펄스들은 다수의 패턴들의 노광을 완료하기 위하여 기판(W)을 가로지르는 연속하는 다이 위치들에 적용된다. 정렬 및 레벨 맵 데이터의 덕분으로, 이들 패턴들은 원하는 위치에 대하여, 특히 동일 기판 상의 사전에 놓여진 피처들에 대하여 정확하게 정렬된다. 이후 "W"라 표기되는 노광된 기판은 노광된 패턴에 따라 에칭이나 다른 공정들을 수행하기 위하여 단계 520에서 장치로부터 언로딩된다.
개별적인 기판 테이블들을 채택함으로써, 노광 스테이지들을 통한 기판 스루풋의 관점에서 장치의 성능이 유지되는 한편, 웨이퍼와 그 위에 사전 증착된 패턴들을 특성화하기 위한 상대적으로 시간 소모적인 세트의 측정들이 수행될 수 있도록 한다.
상술된 바와 같이, 도 1에 도시된 웨이퍼 테이블(WT) 및 도 5에 도시된 웨이퍼 테이블들(WT, WT')은 투영 시스템(PS)의 엑시트 렌즈에 대해 기판(W)을 정확히 위치설정하기 위하여 개략 액추에이터(422) 및 미세 액추에이터(424, 426)를 갖는다.
웨이퍼 테이블 및 마스크 테이블들을 이동시키고 그들의 위치를 측정하기 위하여 알려진 상이한 기구들이 존재한다. 도 7에는 본 발명의 일 실시예에 따른 하나의 이러한 시스템(본 명세서에서는 NXT라 지칭됨)이 개략적으로 예시되어 있으며, 이러한 시스템은 2 개의 웨이퍼 테이블들(WT 및 WT')을 구동하기 위해 평면 모터를 이용한다. 이 실시예의 밸런스 매스(428)는 자석판(magnet plate)을 포함하며, 웨이퍼 테이블들(WT 및 WT')의 하부측들은 x, y, 및 z 방향(상기 z 방향은 지면을 벗어나는 방향임)으로의 테이블들의 이동을 위한 힘 액추에이터(force actuator)들을 포함한다. 도 7에 예시된 타입의 시스템에서, 테이블들(WT 및 WT')의 위치는 (도 5에 FM으로 도시된) 메트롤로지 프레임의 하부측 상에 배치되는 인코더들을 통해 측정되며, 테이블들의 인코더들을 통해 위치를 모니터링하기 위하여 관련 웨이퍼 척 상에 이미지 센서가 제공된다. 인코더들은 (x, y) 좌표계로 웨이퍼 테이블들의 위치를 출력하도록 연동한다.
도 8에는 본 발명의 대안적인 실시예(본 명세서에서는 XT라 지칭됨)가 도시되어 있다. 여기서, 밸런스 매스(428) 상의 웨이퍼 테이블들(WT, WT')의 위치들은 테이블들을 x 방향(도면에 도시된 바와 같이 좌측 및 우측)으로 이동시키는 액추에이터들(800, 800') 및 테이블들을 y 방향(예를 들어, 도면에 도시된 바와 같이 상측 및 하측)으로 이동시키는 액추에이터들(802, 802')을 통해 제어된다. 테이블들(WT, WT')의 위치들은 웨이퍼 테이블들의 거울(mirrored) 측 벽면들 상에 빔들을 투영하는 간섭계들에 의하여 측정된다. 통상적으로, "x" 간섭계는 x 축 상에서의 일 웨이퍼 테이블의 위치를 제공하고, "y" 간섭계는 y 축에서의 일 웨이퍼 테이블의 위치를 제공한다. "x" 및 "y" 간섭계들 각각은 웨이퍼 테이블들의 대향되는 측들을 향하여 간섭계 빔들을 지향시키도록 배열되는, 밸런스 매스(428) 양 측의 송신기(transmitter)들을 포함할 수 있다.
정확한 리소그래피의 핵심 구성요소는 리소그래피 스캐너들 및 스캐닝 기능을 제어하기 위한 증대된 능력이다. "스캐너"라 지칭할 경우, 이는 본 명세서에 기술된 모든 스캔 모드들과 여타 스캐닝 기능들을 포괄하는 것으로 이해하여야 한다. 스캐너의 포커스 및 오버레이, 예를 들어 층간 정렬, 균일성(uniformity)에 대한 개선들이 출원인의 BaselinerTM 스캐너 안정성 모듈(BaselinerTM scanner stability module)에 의하여 최근에 달성되었으며, 이는 주어진 피처 크기 및 칩 응용예에 대해 최적화된 프로세스 윈도우(process window)를 이끌어 보다 작고, 보다 진보된 칩들의 연속적인 생산을 가능하게 한다.
리소그래피 시스템은 처음 설치되는 경우, 최적의 작업을 가능하게 하기 위해 캘리브레이트되어야 한다. 하지만, 시간이 지남에 따라 시스템 성능의 파라미터들은 변할(drift) 것이다. 작은 양의 변화는 허용될 수 있으나, 너무 많은 변화 및 그러한 시스템은 사양(specification)의 범위를 벗어날 것이다. 결과적으로, 제조업자들은 재-캘리브레이션을 위해 주기적으로 생산을 중지할 필요가 있다. 시스템을 보다 빈번하게 캘리브레이트하는 것은 보다 큰 프로세스 윈도우를 제공하지만, 보다 길게 예정된 휴지시간의 희생이 따른다.
스캐너 안정성 모듈은 이러한 생산 중지를 크게 단축시킨다. 대신에, 스캐너 안정성 모듈은 매일 사전-정의된 베이스라인으로 시스템을 자동적으로 재설정한다. 이를 수행하기 위해, 스캐너 안정성 모듈은 메트롤로지 툴을 이용하여 모니터 웨이퍼로부터 취해진 표준 측정들을 회수한다(retrieve). 모니터 웨이퍼는 특정 스케터로메트리 마크들을 포함하는 특정 레티클을 이용하여 노광된다. 당일의 측정들로부터, 스캐너 안정성 모듈은 시스템이 베이스라인으로부터 얼마나 많이 변화되었는지를 결정한다. 그 다음, 웨이퍼-레벨 오버레이 및 포커스 보정 세트들을 계산한다. 그 다음, 리소그래피 시스템은 후속하는 생산 웨이퍼들 상에서의 각각의 노광을 위해 이들 보정 세트들을 특정 보정들로 전환시킨다.
양산(volume production)을 위해서는, 노광을 위한 층들을 스캐너에 할당할 경우 충분한 유연성(full flexibility)을 갖는 것이 바람직하다. 대안적인, 층-스캐너 지정(layer-scanner dedication)은 위험이 있는 월별 산출 능력(monthly output capacity)을 제시할 것이며, 이는 리소클러스터의 여하한의 작은 외란(disturbance)이 그 달의 산출에 직접적으로 나타나기 때문이다. 이러한 위험을 극복하기 위한 한가지 접근법은 오버레이 격자 매칭(overlay grid matching)에 의한 것이다. 모든 스캐너들이 오버레이에 대해 같거나 또는 평균의 격자를 갖도록 모든 스캐너 격자들이 의도적으로 약간 오프셋된다. 이 격자는 흔히 '홀리(holy)' 또는 '골든(golden)' 격자라 지칭된다. 이후, 각각의 제품 층은 동일한 타입의 각각의 스캐너 상에서 노광될 수 있다. 이 '골든' 격자는 노광되고 소위 '기준 웨이퍼들' 상에 에칭된다. 이들 '골든' 매칭 웨이퍼들이 임의의 모니터링 웨이퍼들 대신에 오버레이 안정성 제어를 위한 베이스라인으로서 이용된다면, 오버레이 격자 매칭 및 장기간의 안정성이 단일 자동화 단계 내에 달성될 수 있다.
도 9는, 본 발명의 일 실시예에 따른, 예를 들어 본질적으로 서버 상에서 운용되는 응용예를 위해 스캐너 안정성 모듈(500)을 포함하는 전체 리소그래피 및 메트롤로지 방법을 도시하고 있다. 3 가지 주 공정 제어 루프들이 존재한다. 제 1 루프는 스캐너 안정성 모듈(500)을 이용하여 국부적인 스캐너 제어를 제공하며 웨이퍼들을 모니터링한다. 포커스 및 오버레이를 위한 베이스라인 파라미터들을 설정하기 위해 노광된, 주 리소그래피 유닛(510)으로부터 전달되는 모니터 웨이퍼(505)가 도시되어 있다. 이후의 가지(tine)에서, 메트롤로지 유닛(515)은 이들 베이스라인 파라미터들을 판독하며, 그 다음 이들 베이스라인 파라미터들은 주 리소그래피 유닛(510)으로 전달되는 보정 루틴들(550)을 계산하기 위하여 스캐너 안정성 모듈(500)에 의하여 해석되고, 추가 노광들을 수행할 경우 이용된다.
제 2(APC) 루프는 (포커스, 도즈, 및 오버레이를 결정하는) 제품 상(on-product)의 국부적 스캐너 제어를 위한 것이다. 노광된 제품 웨이퍼(520)는 메트롤로지 유닛(515)으로 전달된 다음, APC(Advanced Process Control) 모듈(525) 상으로 전달된다. 메트롤로지 유닛(515)으로부터의 데이터는 다시 스캐너 안정성 모듈(500)로 전달된다. MES(Manufacturing Execution System; 535)가 수행되기 전에 공정 보정(540)들이 이행되어, 스캐너 안정성 모듈(500)과 연통해 주 리소그래피 유닛(510)으로 스캐너 제어를 제공한다.
제 3 루프는 제 2 APC 루프 내에 메트롤로지를 통합시키는 것이다(예를 들어, 이중 패터닝). 이후에 에칭되는 웨이퍼(530)는 메트롤로지 유닛(515)으로 전달된 다음, APC(Advanced Process Control) 모듈 상으로 전달된다. 상기 루프는 제 2 루프와 같이 계속된다.
본 발명은 리소그래피 공정의 오차들, 예를 들어 오버레이를 저감시키기 위하여 리소그래피 장치에 의한 노광을 제어하기 위한 실시예들, 예를 들어 스캐너에 관한 것이다.
스캐너 오차들
다음의 오버레이 오차들은 스캐너 안정성 모듈 응용예를 통해 보정될 오차들의 주요 원인들인 것으로 식별되었다.
1. 위치설정 변화(XT에 대한 거울들 및 NXT에 대한 격자 플레이트들/인코더들).
2. XT에 대한 움직임에 따른 거울 변형 및 NXT에 대한 이동 의존성 인코더 오프셋들(movement dependent encoder offsets)로서 나타나는 스캔 상/하 및 스텝 좌/우 효과들(scan up/down and step left/right effects). NXT 및 XT 시스템들의 설명에 대해 상술된 도 7 및 8의 개시된 내용 참조.
3. 노광 동안의 웨이퍼 변형에서와 같이 웨이퍼 클램핑 핑거프린트(wafer printing fingerprint)의 변화에 의하여 유도되는 웨이퍼 변형.
4. (예를 들어, 렌즈 또는 레티클 스테이지 변화로 인한) 필드 핑거프린트 변화.
5. 스캔 방향 의존성 인트라필드 핑거프린트(scan direction dependent intrafield fingerprint)[예를 들어, 동적 렌즈 외란으로 인한 "위글(wiggle)" 효과].
모델
이러한 오차들을 보정하기 위한 모델로 다음과 같은 것들을 가정한다.
1. 특정 타입을 위치설정하는 위치설정 오차에 대한 저 빈도의 변화(low frequency drift)
a. 거울 변형(XT): 척(chuck) 당 1 차 다항식
Figure 112011011935139-pat00001
Figure 112011011935139-pat00002
(XT 위치설정 개념).
b. 위치 의존성 인코더 오프셋에 관한 다항식,
Figure 112011011935139-pat00003
을 통해 결정되는 인코더/격자 플레이트 변형(NXT)(여기서, dx 및 dy는
Figure 112011011935139-pat00004
로서 결정됨). 2D 다항식은 각각의 인코더를 위해 이용된다.
2. 스캔 상/하 및 스텝 좌/우 오차들은 반대 부호를 가지며 (동일한 위치에 대해) 절대 값이 같다. 이들 오차들은 이동 히스토리에 따른 변형 및 위치설정의 변화를 기술한다. 캘리브레이션의 노이즈를 저감시키기 위하여, 또한 이들 오차들은 저 빈도 특성을 갖는 것으로 가정된다. XT에 대하여, 이들 위치설정 및 변형 오차들은 다항식들을 통해 표현된다. NXT에 대하여, 위치설정은 척의 특정 장소 상에 위치되는 인코더 신호로부터 결정된다. 그러므로, 스캔/스텝 의존성 오차들을 기술하기 위하여, 인코더들에 관한 오차들만이 고려되며 척의 전체 변형은 고려되지 않는다. 따라서, NXT에 대하여 본 발명자들은, 스캔/스텝 의존성 위치설정 오차들은 이동 의존성 인코더 오프셋들인 것으로 간주한다. 웨이퍼 변형 의존성 오차들은 XT/NXT 시스템 타입들이 독립적인 것으로 받아들여질 때 유사한 것으로 간주된다.
3. 웨이퍼들 상의 위치에 따른 2 차원 오차들의 나머지는 2D 다항식을 통해 기술되며 이는 웨이퍼 격자 변형을 나타낸다.
4. 렌즈/RS에 의하여 유도되는 필드 핑거프린트는 모든 필드들에 대해 일정하며 오차들의 나머지와 직교한다.
5. 스캔 방향 의존성 인트라필드 오차들은 3 개의 1차 다항식들, 즉
Figure 112011011935139-pat00005
을 통한 저 빈도 레티클 스테이지 위치설정 오차들(이는 회전 오차들을 나타냄)으로서 기술된다.
오버레이 오차들을 결정하는 이 개념은 캘리브레이트된 파라미터들의 완벽한 보정을 보장하지는 못한다. 결정되는 파라미터들은 독립적이고 스캐너의 응용 범위(통상적인 필드 크기에 대한 동적 노광들)에 대한 보정이 되도록 해야 한다. 또한, 1 차원 거울 형상 캘리브레이션은 거울 형상에 의하여 야기될 수 있는 2D 웨이퍼 변형 항들을 고려하지 않음으로써 2 차원 웨이퍼 변형과 직교하도록 이루어진다.
위치설정 오차들
거울 변형이 존재하는 경우, 이는 스캔 또는 스텝 방향에 관해 독립적으로 노광들에 영향을 미친다. 따라서, 거울에 대한 다항식 모델은 다음과 같으며,
Figure 112011011935139-pat00006
여기서, x는 필드의 중심점의 x 좌표이고 y_w는 스케터로미터 타겟 마크들의 y 좌표이다. 이는 XT 스캐너에 의하여 유발되는 물리적 위치설정 오차들을 나타낸다.
위치설정 오차에 대한 NXT 모델은 보다 복잡하다. 인코더들 각각은 x 오프셋과 y 오프셋 모두에 영향을 주기 때문에, 상기 모델은 2 차원이 된다. 또한, 이는 각각의 인코더/격자 플레이트에 대해 개별적으로 결정되어야 한다.
Figure 112011011935139-pat00007
여기서, i는 인코더 i를 나타낸다.
스캔/스텝 의존성 오차들
스캔 상/하 및 스텝 좌/우 오차들은 노광 척 또는 웨이퍼의 변형에 의하여 유발될 수 있다. 이 경우에, 상기 변형은 상이한 이동들(XT) 또는 시프트된 인코더 위치(NXT)에 대한 거울들의 변형을 초래한다. 따라서, XT 스캔 상/하 및 스텝 좌/우 효과들을 기술하는 데에는 다음의 다항식들이 이용된다.
Figure 112011011935139-pat00008
여기서, (x, y)는 노광된 필드의 위치(중심점)이다.
NXT에 대해서는, 다음의 식이 이용될 수 있다:
Figure 112011011935139-pat00009
또한, 노광 동안에, 웨이퍼 또는 웨이퍼 테이블의 변형이 예측될 수 있다. 웨이퍼 및 웨이퍼 테이블의 변형을 기술하기 위하여, 2 차원 다항식 모델이 이용된다:
Figure 112011011935139-pat00010

웨이퍼 변형
격자 오차들의 나머지는 기계적이거나 열적 특성을 가질 수 있는 웨이퍼 변형에 의하여 유발되는 것으로 간주된다. 웨이퍼는, 예를 들어 웨이퍼 클램프 동안의 힘에 의하여 정적으로 변형되거나, 노광 동안 변형될 수 있다. 정상적인 시스템의 이용은 노광이므로, 본 발명자들은 BL의 캘리브레이션 레이아웃이 "통상적인"(필드들의 수, 필드 크기, 정상적인 노광) 것으로 선택될 경우, 노광에 의한 웨이퍼의 변형은 캘리브레이션과 제품들 간에 예상을 많이 벗어나서는 안 된다고 가정할 수 있다.
따라서, 웨이퍼 변형의 기술은 다음과 같이 이루어질 수 있으며,
Figure 112011011935139-pat00011
여기서, (x, y)는 웨이퍼 상의 YS 마크의 위치이다.
또한, 이 모델은 하나의 웨이퍼가 2 가지 경로, 예를 들어 이미지로 노광되는 이중 패터닝같은 응용예들로 확장될 수 있다. 이 경우에, 제 2, 또는 보다 많은 이미지들은, 예를 들어 열적 외란으로 인해 상이한 변형을 가질 수 있다. 이들 응용예들에 대하여, 웨이퍼 변형은 하나의 웨이퍼 상에서 다수의 노광들을 수행하고 노광 경과 당의 (상술된 바와 같은) 웨이퍼 변형을 결정함으로써 적절히 캘리브레이트될 수 있다.
필드 오차들
필드 보정 모델은 다음과 같이 기술될 수 있다(식
Figure 112011011935139-pat00012
:
Figure 112011011935139-pat00013
(렌즈 요소들 또는 포커스 오프셋들을 스캐닝함으로써) y에 의존적인 렌즈 오차들의 유발을 갖는 보정 기구의 확장에 의하여, 본 발명자들은 스캐닝 작업 동안 렌즈 또는 포커스 오프셋만을 조정함으로써 인프라필드 보정들을 확장시킬 수 있으며, 따라서 다음과 같은 오차들: 즉
Figure 112011011935139-pat00014
이 보정될 수 있다. x의 거듭제곱(power)에 비례하는 오차들은 단지 렌즈 요소들을 조정만 함으로써 보정될 수 있으며, 그러므로 제한된 보정 능력들을 갖는다는 데 유의하여야 한다.
스캔 방향 의존성 필드 오차들
스캔 방향 오차들은 레티클의 위치설정에 영향을 미치는 레티클 스테이지 상에서 스캔 외란이 발생된다고 가정한다. 이들 오차들은 다음과 같이 보정될 스캔 방향 의존성 위치설정 핑거프린트를 유발한다:
필드 레벨 상에서
Figure 112011011935139-pat00015
.
모델 파라미터 평가를 위한 방법
이들 효과들은 다음과 같이 측정된 데이터로부터 결정된다.
1. 먼저, 필드 왜곡(field distortion)이 결정된다(인트라필드 오차). 스캐너 오차의 지식을 토대로, 본 발명자들은 필드 왜곡이 레티클 스테이지 또는 렌즈에 의하여 야기되며, 이는 격자 오차들의 나머지와는 독립적이라고 가정할 수 있다.
2. 스캔/스텝 방향 오차(웨이퍼 및 레티클 스테이지 위치설정 오차들)를 결정한다. 오버레이에 관한 이들 오차들의 효과는 고 빈도 특성(high frequency nature)(이웃하는 필드들이 상당한 변화를 나타냄)을 갖기 때문에, 이들 오차들은 위치 및 변형 오차(이웃하는 필드들은 약간의 변화를 나타냄)로부터 개별적으로 결정될 수 있다. 스티칭(stitching) 데이터 또는 측정된 오버레이 데이터가 이들 오차들을 결정하는 데 이용될 수 있다. 따라서, 이들 오차들을 결정하기 위한 몇 가지 방법들이 존재한다.
3. 스캔/스텝 방향 캘리브레이션의 잔류 데이터에 관한 위치설정 오차 및 변형 오차들을 동시에 결정한다. 필드 및 스캔/스텝 방향 오차들과 달리, 위치설정 및 웨이퍼 변형의 오차들은 크게 분리되지(decouple) 않는다. 따라서, 이들은 최적의 보정을 제공하기 위해 동시에 결정되어야 한다. 선택적으로, 스캔/스텝 방향 오차는 격자 오차들과 동시에 결정된다고 보장할 수 있다. 여기서는, 독립적인 기준, 예를 들어 에칭된 기준 웨이퍼들이 이용될 수 있다.
보정을 결정할 수 있도록 하기 위해, 필드에 대해 충분한 수(x 및 y 좌표에서 ~ 7 개 까지)의 지점들이 측정되어야 한다. 또한, 노광 패턴은 스캔/스텝 방향을 대표하도록 선택되어야 한다.
보정 방법
본 발명의 일 실시예에 따른 보정 방법은 스캐너의 상이한 하위시스템들에 대해 개별적으로 적용되는 위와 같이 모델링된 모든 파라미터들의 조합이다:
1. 위치설정 오차들은 일 노광 내에서 빠른 격자 변화를 보정할 수 있도록 저-레벨 위치설정 제어기들에 의하여 보정된다. 이는 보정에 관한 실행시 최적의 성능을 제공한다. 이것은 새로운 기능이다.
2. 스캔/스텝 방향 의존성 오차들은 위치설정 또는 메트롤로지 제어기들에 의하여 보정된다. 또한, 단계 1에서와 같이, 필드 내에서 빠른 변화에 대해 오차들이 보정될 필요가 있는 경우, 그것은 저-레벨 위치설정 모듈에서 수행된다.
3. 격자 변형 오차들은 노광된 필드들에 대한 세트포인트(setpoint)을 조정함으로써 보정될 수 있다.
4. 필드 오차들은 렌즈/레티클 스테이지 세트포인트들을 조정함으로써 보정될 수 있다.
5. 스캔 의존성 레티클 스테이지 위치설정 핑거프린트(YTX, YTY 및 YTZ)는 스캐닝 드라이버에서 방향 의존성 레티클 스테이지 스캔 맵 보정으로서 보정될 수 있다.
도 10을 참조하면, 본 발명의 일 실시예에 따른 리소그래피 장치를 제어하는 방법이 본 발명의 일 실시예에 따라 예시되어 있다.
리소그래피 노광 공정(1000)은 스캐너(1006)를 이용하여 기판(1004) 상에서 수행된다(1002). 스캐너는 몇 개의 하위시스템들(1008, 1010, 1012)을 포함한다. 노광 동안 하위시스템들로부터 기인하는 오버레이의 오차들이 존재한다. 오버레이 오차들은 오버레이 측정(1016)들을 얻기 위하여 스케터로미터를 이용하여 측정된다(1014). 오버레이 측정들로부터 평가된 모델 파라미터들의 상이한 서브세트들, 예를 들어 필드 왜곡 모델 파라미터들(1020), 스캔/스텝 방향 모델 파라미터들(1022) 및 위치/변형 모델 파라미터들(1024)을 개별적으로 결정하기 위하여 모델링이 수행된다(1018). 각각의 서브세트는 상술된 바와 같이 리소그래피 장치의 대응되는 특정 하위시스템으로부터 기인하는 오버레이 오차들과 관련되어 있다. 마지막으로, 평가된 모델 파라미터들(1024, 1022, 1020) 각각의 대응되는 서브세트를 이용하여 스캐너의 특정 하위시스템(1008, 1010, 1012)을 제어함으로써 스캐너(1006)에서 노광이 제어된다(1026). 이는 잘 제어된 오버레이(1030)로 노광되는 제품 웨이퍼(1028)를 생성한다.
스캐너 오차들의 특정 핑거프린트들을 계산하는 데 오버레이 오차들을 고려함으로써, 본 발명의 실시예들의 응용예는 보다 효과적인 유지보수를 유도한다. 본 발명의 일 실시예에 따른 오프라인 모델링 응용예에 스캐너 특정 오차들에 대한 정보를 제공하는 것은 유지보수의 정확도를 높인다. 따라서, 시스템 상에서의 온-라인 재-캘리블에션을 수행할 필요가 적어지고, 이는 유지보수 시간이 단축되는 장점도 갖는다. 본 발명의 실시예들은 광범위한 측정들을 수행하기 위한 스캐너 시간을 필요로 하지 않는 효과적인 유지보수를 제공한다.
본 명세서에서는, IC 제조에 있어서 리소그래피 장치의 사용예에 대하여 구체적으로 언급되었으나, 본 명세서에 기술된 리소그래피 장치는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 평판 디스플레이(flat-panel display), 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조와 같이 다른 적용예들을 가질 수도 있음을 이해하여야 한다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "기판" 또는 "타겟부"라는 좀 더 일반적인 용어와 동의어로 간주될 수도 있음을 이해할 것이다. 본 명세서에서 언급되는 기판은 노광 전후에, 예를 들어 트랙(전형적으로, 기판에 레지스트 층을 도포하고 노광된 레지스트를 현상하는 툴), 메트롤로지 툴 및/또는 검사 툴에서 처리될 수 있다. 적용가능하다면, 이러한 기판 처리 툴과 다른 기판 처리 툴에 본 명세서의 기재 내용이 적용될 수 있다. 또한, 예를 들어 다층 IC를 생성하기 위하여 기판이 한번 이상 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러번 처리된 층들을 포함한 기판을 칭할 수도 있다.
이상, 광학 리소그래피와 관련하여 본 발명의 실시예들의 사용예에 대하여 구체적으로 언급되었으나, 본 발명은 다른 적용예들, 예를 들어 임프린트 리소그래피에 사용될 수 있으며, 본 명세서가 허용한다면 광학 리소그래피로만 제한되지 않는다는 것을 이해할 것이다. 임프린트 리소그래피에서, 패터닝 디바이스 내의 토포그래피(topography)는 기판 상에 생성된 패턴을 정의한다. 패터닝 디바이스의 토포그래피는 전자기 방사선, 열, 압력 또는 그 조합을 인가함으로써 레지스트가 경화되는 기판에 공급된 레지스트 층으로 가압될 수 있다. 패터닝 디바이스는 레지스트가 경화된 후에 그 안에 패턴을 남기는 레지스트로부터 이동된다.
본 명세서에서 사용된 "방사선" 및 "빔"이라는 용어는 이온 빔 또는 전자 빔과 같은 입자 빔뿐만 아니라, (예를 들어, 365, 355, 248, 193, 157 또는 126 nm, 또는 그 정도의 파장을 갖는) 자외(UV) 방사선 및 (예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는) 극자외(EUV) 방사선을 포함하는 모든 형태의 전자기 방사선을 포괄한다.
본 명세서가 허용하는 "렌즈"라는 용어는, 굴절, 반사, 자기, 전자기 및 정전기 광학 구성요소들을 포함하는 다양한 형태의 광학 구성요소들 중 어느 하나 또는 그 조합으로 언급될 수 있다.
이상, 본 발명의 특정 실시예가 상술되었지만, 본 발명은 설명된 것과 다르게 실시될 수 있다는 것을 이해할 것이다. 예를 들어, 본 발명은 앞서 개시된 바와 같은 방법을 구현하는 기계-판독가능한 명령어의 1 이상의 시퀀스를 포함하는 컴퓨터 프로그램, 또는 이러한 컴퓨터 프로그램이 저장되어 있는 데이터 저장 매체(예를 들어, 반도체 메모리, 자기 또는 광학 디스크)의 형태를 취할 수 있다.
본 명세서의 요약 및 초록 부분(Summary and Abstract sectons)이 아닌, 발명의 상세한 설명 부분(Detailed Description section)은 청구항을 해석하는 데 사용되도록 의도된다는 것을 이해하여야 한다. 요약 및 초록 부분은 본 발명의 실시예들 중 1 이상의 실시예들을 기술하고 있으나, 발명자(들)에 의해 의도(contemplate)된 본 발명의 모든 실시예들을 설명하지는 않고 있기 때문에, 어떠한 방식으로도 본 발명 및 첨부된 청구항을 제한하지는 않는다.
본 발명은 특정 기능들과 그것의 관계들의 구현예를 예시하는 기능적 빌딩 저장 블록들(functional building storing blocks)의 도움으로 상술되었다. 이들 기능적 빌딩 저장 블록들의 경계들은 본 명세서에서 설명의 편의를 위해 임으로 정의되었다. 특정 기능들과 그것의 관계들이 적절히 수행되는 한 대안적인 경계들이 정의될 수 있다.
특정 실시예들의 상술된 설명은 본 발명의 일반적인 특성을 매우 충실하게 나타내고 있으며, 다른 이들이 과도한 실험 없이도 본 발명의 일반적인 개념을 벗어나지 않도록 당업계 내의 정보를 적용함으로써 다양한 응용예들에 대해 이러한 특정 실시예들을 쉽게 수정하거나 및/또는 최적화시킬 수 있다. 그러므로, 이러한 최적화 및 수정들은 본 명세서 내에 나타난 지침과 안내를 토대로 개시된 실시예들의 의미 및 등가적 사상들의 범위 내에 속하도록 구성된다. 본 명세서에서의 어법과 전문용어는 지침과 안내의 견지에서 당업자들에 의해 해석될 수 있도록, 설명을 위한 것이지 제한하려는 것이 아니라는 것을 이해하여야 한다.
본 발명의 폭과 범위는 상술된 실시예들 중 어떠한 실시예에 의해서도 제한되지 않아야 하며, 후속 청구범위들과 그것의 등가적 사상에 따라서만 정의되어야 한다.

Claims (22)

  1. 리소그래피 장치를 제어하는 방법에 있어서, 상기 방법은:
    상기 리소그래피 장치를 이용하여 기판 상에서 리소그래피 공정을 수행하는 단계;
    상기 리소그래피 공정으로부터 기인한 상기 기판 상에 형성되는 연속하는 층들 간의 오버레이 오차들을 측정하는 단계;
    상기 오버레이 오차들로부터 상기 리소그래피 장치의 평가된 모델 파라미터들의 복수의 서브세트(subset)들을 개별적으로 결정하는 단계 - 상기 평가된 모델 파라미터들은 필드 왜곡 모델 파라미터들, 스캔/스텝 방향 모델 파라미터들, 및 위치/변형 모델 파라미터들을 포함하고, 각각의 서브세트는 상기 리소그래피 장치의 대응되는 특정 하위시스템으로부터 기인한 상기 오버레이 오차들과 관련되어 있음 - ; 및
    상기 평가된 모델 파라미터들의 제어된 특정 하위시스템의 대응되는 서브세트를 이용하여 상기 리소그래피 장치의 특정 하위시스템을 제어함으로써 상기 리소그래피 장치에 의한 리소그래피 처리를 제어하는 단계를 포함하는 리소그래피 장치를 제어하는 방법.
  2. 제 1 항에 있어서,
    상기 평가된 모델 파라미터들의 복수의 서브세트들 중 적어도 하나는 상기 리소그래피 장치의 대응되는 위치설정 하위시스템으로부터 기인한 위치설정 오차들과 관련된 파라미터들을 포함하는 리소그래피 장치를 제어하는 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 평가된 모델 파라미터들의 복수의 서브세트들 중 적어도 하나는 상기 리소그래피 장치의 대응되는 기계적 또는 열적 하위시스템으로부터 기인한 기판 변형 오차들과 관련된 파라미터들을 포함하는 리소그래피 장치를 제어하는 방법.
  4. 기판 상에서 리소그래피 공정을 수행하는 데 이용되는 리소그래피 장치에 의한 리소그래피 처리를 제어하는 장치에 있어서, 상기 장치는:
    상기 리소그래피 공정으로부터 기인한 상기 기판 상에 형성되는 연속하는 층들 간의 오버레이 오차들을 측정하기 위한 검사 장치; 및
    상기 오버레이 오차들로부터 상기 리소그래피 장치의 평가된 모델 파라미터들의 복수의 서브세트들을 개별적으로 결정하고 - 상기 평가된 모델 파라미터들은 필드 왜곡 모델 파라미터들, 스캔/스텝 방향 모델 파라미터들, 및 위치/변형 모델 파라미터들을 포함하고, 각각의 서브세트는 상기 리소그래피 장치의 대응되는 특정 하위시스템으로부터 기인한 상기 오버레이 오차들과 관련되어 있음 - ;
    상기 평가된 모델 파라미터들의 제어된 특정 하위시스템의 대응되는 서브세트를 이용하여 상기 리소그래피 장치의 특정 하위시스템을 제어함으로써 상기 리소그래피 장치에 의한 리소그래피 처리를 제어하도록 구성되는 프로세서를 포함하는 리소그래피 처리를 제어하는 장치.
  5. 제 4 항에 있어서,
    상기 평가된 모델 파라미터들의 복수의 서브세트들 중 적어도 하나는 상기 리소그래피 장치의 대응되는 위치설정 하위시스템으로부터 기인한 위치설정 오차들과 관련된 파라미터들을 포함하는 리소그래피 처리를 제어하는 장치.
  6. 제 4 항 또는 제 5 항에 있어서,
    상기 평가된 모델 파라미터들의 복수의 서브세트들 중 적어도 하나는 상기 리소그래피 장치의 대응되는 기계적 또는 열적 하위시스템으로부터 기인한 기판 변형 오차들과 관련된 파라미터들을 포함하는 리소그래피 처리를 제어하는 장치.
  7. 기판 상에서 리소그래피 공정을 수행하는 데 이용되는 리소그래피 장치에 의한 리소그래피 처리를 제어하는 기계-판독가능한 명령어들의 1 이상의 시퀀스들을 포함하는 컴퓨터 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체에 있어서,
    상기 명령어들은 1 이상의 프로세서들로 하여금:
    상기 리소그래피 공정으로부터 기인한 상기 기판 상에 형성되는 연속하는 층들 간의 오버레이 오차들을 수신하고;
    상기 오버레이 오차들로부터 상기 리소그래피 장치의 평가된 모델 파라미터들의 복수의 서브세트들을 개별적으로 결정하고 - 상기 평가된 모델 파라미터들은 필드 왜곡 모델 파라미터들, 스캔/스텝 방향 모델 파라미터들, 및 위치/변형 모델 파라미터들을 포함하고, 각각의 서브세트는 상기 리소그래피 장치의 대응되는 특정 하위시스템으로부터 기인한 상기 오버레이 오차들과 관련되어 있음 - ;
    상기 평가된 모델 파라미터들의 제어된 특정 하위시스템의 대응되는 서브세트를 이용하여 상기 리소그래피 장치의 특정 하위시스템을 제어함으로써 상기 리소그래피 장치에 의한 리소그래피 처리를 제어하도록 구성되는 기계-판독가능한 명령어들의 1 이상의 시퀀스들을 포함하는 컴퓨터 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체.
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
KR1020110014746A 2010-02-19 2011-02-18 리소그래피 장치를 제어하는 방법 및 장치 KR101208462B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US30611110P 2010-02-19 2010-02-19
US61/306,111 2010-02-19

Publications (2)

Publication Number Publication Date
KR20110095833A KR20110095833A (ko) 2011-08-25
KR101208462B1 true KR101208462B1 (ko) 2012-12-05

Family

ID=44262511

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110014746A KR101208462B1 (ko) 2010-02-19 2011-02-18 리소그래피 장치를 제어하는 방법 및 장치

Country Status (8)

Country Link
US (1) US9310698B2 (ko)
EP (1) EP2392970A3 (ko)
JP (1) JP5178855B2 (ko)
KR (1) KR101208462B1 (ko)
CN (1) CN102163001B (ko)
IL (1) IL210774A (ko)
SG (1) SG173957A1 (ko)
TW (1) TWI427434B (ko)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100053588A1 (en) * 2008-08-29 2010-03-04 Nikon Corporation Substrate Stage movement patterns for high throughput While Imaging a Reticle to a pair of Imaging Locations
IL210832A (en) * 2010-02-19 2016-11-30 Asml Netherlands Bv Lithographic facility and method of manufacturing facility
NL2009196A (en) * 2011-08-25 2013-02-27 Asml Netherlands Bv Position measurement system, lithographic apparatus and device manufacturing method.
US9543223B2 (en) 2013-01-25 2017-01-10 Qoniac Gmbh Method and apparatus for fabricating wafer by calculating process correction parameters
JP2013175500A (ja) 2012-02-23 2013-09-05 Toshiba Corp 露光装置、及び露光方法
CN104471484B (zh) 2012-07-05 2018-02-06 Asml荷兰有限公司 用于光刻术的量测
CN103794451B (zh) * 2012-10-31 2016-03-16 中芯国际集成电路制造(上海)有限公司 监测扫描电子显微镜的电子束状态的方法和装置
NL2011683A (en) 2012-12-13 2014-06-16 Asml Netherlands Bv Method of calibrating a lithographic apparatus, device manufacturing method and associated data processing apparatus and computer program product.
KR101493013B1 (ko) * 2013-06-14 2015-02-13 에이피시스템 주식회사 빔 패터닝 방향 및 패터닝 위치 보정 방법
CN105223781B (zh) * 2014-06-26 2017-06-23 无锡华润上华科技有限公司 一种步进式光刻机对位监控方法
CN107004060B (zh) * 2014-11-25 2022-02-18 Pdf决策公司 用于半导体制造工艺的经改进工艺控制技术
DE102015206448B4 (de) 2015-04-10 2018-06-21 Carl Zeiss Smt Gmbh Steuerungsvorrichtung zur Steuerung mindestens eines Manipulators eines Projektionsobjektives, Justieranlage und Verfahren zum Steuern mindestens eines Manipulators
JP6540430B2 (ja) 2015-09-28 2019-07-10 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR102390720B1 (ko) 2015-10-08 2022-04-26 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치의 제어 방법 및 디바이스의 제조 방법, 리소그래피 장치를 위한 제어 시스템 및 리소그래피 장치
CN108475026B (zh) * 2016-01-11 2021-04-23 科磊股份有限公司 热点及工艺窗监测
US10802408B2 (en) 2016-10-26 2020-10-13 Asml Netherlands B.V. Method for optimization of a lithographic process
KR102370339B1 (ko) 2017-02-22 2022-03-04 에이에스엠엘 네델란즈 비.브이. 전산 계측
KR102432667B1 (ko) 2017-05-15 2022-08-17 삼성전자주식회사 오버레이 보정방법 및 제어 시스템
EP3495888A1 (en) * 2017-12-06 2019-06-12 ASML Netherlands B.V. Method for controlling a lithographic apparatus and associated apparatuses
KR102517966B1 (ko) * 2017-12-19 2023-04-03 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 기법 기반 정정 및 제어
JP7022589B2 (ja) 2018-01-05 2022-02-18 東京エレクトロン株式会社 基板処理装置、基板処理方法及びコンピュータ記憶媒体
EP3531207A1 (en) * 2018-02-27 2019-08-28 ASML Netherlands B.V. Alignment mark positioning in a lithographic process
EP3614194A1 (en) 2018-08-24 2020-02-26 ASML Netherlands B.V. Matching pupil determination
KR20210141514A (ko) 2019-03-21 2021-11-23 에이에스엠엘 네델란즈 비.브이. 리소그래피 시스템 제어 방법
CN114341741A (zh) * 2019-09-04 2022-04-12 Asml荷兰有限公司 用于光刻过程性能确定的方法以及设备
CN111146104B (zh) * 2019-11-29 2023-09-05 上海集成电路研发中心有限公司 一种关键尺寸误差分析方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3064372B2 (ja) 1990-09-27 2000-07-12 株式会社ニコン 投影露光装置、投影露光方法および回路製造方法
US5117255A (en) 1990-09-19 1992-05-26 Nikon Corporation Projection exposure apparatus
US6753948B2 (en) 1993-04-27 2004-06-22 Nikon Corporation Scanning exposure method and apparatus
US6238851B1 (en) * 1995-05-29 2001-05-29 Nikon Corporation Exposure method
EP1246014A1 (en) 2001-03-30 2002-10-02 ASML Netherlands B.V. Lithographic apparatus
US6573986B2 (en) * 2000-12-08 2003-06-03 Litel Instruments Method and apparatus for self-referenced projection lens distortion mapping
US7046376B2 (en) 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
TW594434B (en) * 2003-04-30 2004-06-21 Nanya Technology Corp Exposure system and method
US7671979B2 (en) 2004-04-28 2010-03-02 Litel Instruments Apparatus and process for determination of dynamic lens field curvature
US7403264B2 (en) 2004-07-08 2008-07-22 Asml Netherlands B.V. Lithographic projection apparatus and a device manufacturing method using such lithographic projection apparatus
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7239368B2 (en) * 2004-11-29 2007-07-03 Asml Netherlands B.V. Using unflatness information of the substrate table or mask table for decreasing overlay
JP5194800B2 (ja) 2006-01-26 2013-05-08 株式会社ニコン 重ね合わせ管理方法及び装置、処理装置、測定装置及び露光装置、デバイス製造システム及びデバイス製造方法、並びにプログラム及び情報記録媒体
WO2007103566A2 (en) 2006-03-09 2007-09-13 Ultratech, Inc. Determination of lithography misalignment based on curvature and stress mapping data of substrates
US7683351B2 (en) * 2006-12-01 2010-03-23 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7619737B2 (en) * 2007-01-22 2009-11-17 Asml Netherlands B.V Method of measurement, an inspection apparatus and a lithographic apparatus
NL1036546A1 (nl) 2008-02-26 2009-08-27 Asml Netherlands Bv Lithographic method to apply a pattern to a substrate and Lithographic Apparatus.
JP2009224523A (ja) 2008-03-14 2009-10-01 Canon Inc 露光方法、露光装置及びデバイス製造方法
NL2003118A1 (nl) 2008-07-14 2010-01-18 Asml Netherlands Bv Alignment system, lithographic system and method.
US8260449B2 (en) * 2008-11-06 2012-09-04 Micron Technology, Inc. Photolithography systems and associated methods of overlay error correction

Also Published As

Publication number Publication date
IL210774A0 (en) 2011-06-30
IL210774A (en) 2017-09-28
JP2011171732A (ja) 2011-09-01
EP2392970A3 (en) 2017-08-23
CN102163001B (zh) 2013-09-11
US20110205510A1 (en) 2011-08-25
TW201142534A (en) 2011-12-01
US9310698B2 (en) 2016-04-12
TWI427434B (zh) 2014-02-21
JP5178855B2 (ja) 2013-04-10
CN102163001A (zh) 2011-08-24
EP2392970A2 (en) 2011-12-07
SG173957A1 (en) 2011-09-29
KR20110095833A (ko) 2011-08-25

Similar Documents

Publication Publication Date Title
KR101208462B1 (ko) 리소그래피 장치를 제어하는 방법 및 장치
US8687167B2 (en) Lithographic apparatus and device manufacturing method
JP4787232B2 (ja) 測定方法、検査装置、およびリソグラフィ装置
KR100930654B1 (ko) 측정 방법, 검사 장치 및 리소그래피 장치
KR101226639B1 (ko) 리소그래피 장치 및 디바이스 제조 방법
KR102030100B1 (ko) 검사와 계측을 위한 방법 및 장치
KR101227641B1 (ko) 리소그래피 장치 및 디바이스 제조 방법
KR102308109B1 (ko) 스테이지 시스템 및 메트롤로지 툴
KR101887924B1 (ko) 검사 방법 및 장치, 및 리소그래피 장치
KR20120044374A (ko) 리소그래피용 검사 장치
US9069240B2 (en) Calibration of lithographic apparatus by exposing patterns on substrate positioned at different orientations
US8793099B2 (en) Calibration of lithographic apparatus
NL2006923A (en) Lithographic apparatus and device manufacturing method.

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20151120

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20161118

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20171116

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20181120

Year of fee payment: 7