KR101192675B1 - 리소그래피용 이미지 센서 - Google Patents

리소그래피용 이미지 센서 Download PDF

Info

Publication number
KR101192675B1
KR101192675B1 KR1020097025102A KR20097025102A KR101192675B1 KR 101192675 B1 KR101192675 B1 KR 101192675B1 KR 1020097025102 A KR1020097025102 A KR 1020097025102A KR 20097025102 A KR20097025102 A KR 20097025102A KR 101192675 B1 KR101192675 B1 KR 101192675B1
Authority
KR
South Korea
Prior art keywords
pattern
image
substrate
image sensor
aerial
Prior art date
Application number
KR1020097025102A
Other languages
English (en)
Other versions
KR20100013318A (ko
Inventor
프랑크 스탈스
조에리 로프
에릭 로엘로프 루프스트라
빔 티보 텔
베아라크 모에스트
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20100013318A publication Critical patent/KR20100013318A/ko
Application granted granted Critical
Publication of KR101192675B1 publication Critical patent/KR101192675B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70341Details of immersion lithography aspects, e.g. exposure media or control of immersion liquid supply
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Multimedia (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photometry And Measurement Of Optical Pulse Characteristics (AREA)

Abstract

본 발명은 기판을 노광하는 리소그래피 장치에서의 방사광 빔(B)의 단면 내의 방사광 세기의 공간 차들을 포함한 에어리얼 패턴의 검출을 위한 이미지 센서에 관한 것이다. 이미지 센서는 에어리얼 패턴의 검출 이미지를 형성하도록 배치된 렌즈, 및 검출 이미지 내의 복수의 위치들에서 방사광 세기들을 측정하도록 배치된 이미지 검출기(6)를 포함한다.

Description

리소그래피용 이미지 센서{IMAGE SENSOR FOR LITHOGRAPHY}
본 출원은 2007년 5월 3일에 제출된 US 출원 제 11/797505호의 일부계속출원이며, 이는 본 명세서에서 그 전문이 인용참조된다.
본 발명은 이미지 센서, 이미지 검출에 대한 방법, 및 컴퓨터 프로그램 제품에 관한 것이다.
리소그래피 장치는 기판 상에, 통상적으로는 기판의 타겟부 상에 원하는 패턴을 적용시키는 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조시에 사용될 수 있다. 그 경우, 대안적으로 마스크 또는 레티클이라 칭하는 패터닝 디바이스가 IC의 개별층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이 패턴은 기판(예컨대, 실리콘 웨이퍼) 상의 (예를 들어, 한 개 또는 수 개의 다이의 부분을 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 패턴의 전사는, 통상적으로 기판 상에 제공된 방사광-감응재(레지스트)층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속하여 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다. 알려진 리소그래피 장치는, 한번에 타겟부 상으로 전체 패턴을 노광함으로써 각각의 타겟부가 조사(irradiate)되는 소위 스테퍼, 및 방사광 빔을 통해 주어진 방향("스캐닝"-방향)으로 패턴을 스캐닝하는 한편, 이 방향과 평행한 방향(같은 방향으로 평행한 방향) 또는 역-평행 방향(반대 방향으로 평행한 방향)으로 기판을 동기적으로 스캐닝함으로써 각각의 타겟부가 조사되는 소위 스캐너를 포함한다. 또한, 기판 상에 패턴을 임프린트(imprint)함으로써 패터닝 디바이스로부터 기판으로 패턴을 전사할 수도 있다.
리소그래피 장치를 이용하는 디바이스 제조 방법들에서, 산출량(yield), 즉 올바르게 제조된 디바이스들의 비율에 있어서 중요한 인자는 앞서 형성된 층들에 관한 층들의 프린트 정확성이다. 이는 오버레이(overlay)라고 알려져 있으며, 오버레이 오차 허용범위(error budget)는 흔히 10 nm 이하이다. 이러한 정확성을 달성하기 위해, 기판은 높은 정확성으로 전사되도록 마스크 패턴에 정렬되어야 한다.
이미징 성능을 평가하고 최적화하기 위해, 기판 레벨에서 다수의 센서가 사용된다. 이들은 투과 이미지 센서(TIS)들을 포함할 수 있다. TIS는, 마스크(레티클) 레벨에서의 마크 패턴의 투영된 에어리얼 이미지(aerial image)의 위치를 기판 레벨에서 측정하는데 사용되는 센서이다. 기판 레벨에서의 투영된 이미지는 노광 방사광의 파장에 필적하는 라인 폭을 갖는 라인 패턴일 수 있다. TIS는 밑에 포토셀(photocell)을 갖는 투과 패턴을 이용하여 앞서 언급된 마크 패턴을 측정한다. 센서 데이터는 기판 테이블에 대한 마스크의 위치를 6 자유도, 즉 병진에 대한 3 자유도 및 회전에 대한 3 자유도로 측정하는데 사용될 수 있다. 또한, 투영된 마크 패턴의 배율 및 스케일링(scaling)이 측정될 수 있다. 작은 라인 폭으로, 센서는 수 개의 마스크 타입들[바이너리형(binary) 마스크, 위상-시프트형 마스크]에 대한 수 개의 조명 세팅들- 예를 들어 환형, 다이폴(dipole) -의 패턴 위치들 및 영향들을 측정할 수 있다. 또한, TIS는 리소그래피 투영 장치와 같은 툴의 광학 성능을 측정하는데 사용될 수 있다. 상이한 투영 이미지들과 조합하여 상이한 조명 세팅들을 이용함으로써, 퓨필 형상, 코마(coma), 구면수차, 비점수차 및 필드 곡률(field curvature)과 같은 특성들이 측정될 수 있다.
더 높은 구성요소 밀도를 갖는 디바이스를 생성하기 위해 훨씬 더 작은 패턴들을 이미징하려는 끊임없는 욕구와 함께, 오버레이 오차들을 감소시켜야 하는 문제가 존재하며, 이는 개선된 센서들에 대한 욕구를 초래한다. 또한, 앞서 언급된 훨씬 더 작은 패턴들은, 사용되는 마크 패턴과 실질적으로 다른 마스크 패턴에서의 임계적(critical) 디바이스 구조체들을 이전보다 더 흔히 필요로 한다. 임계적 디바이스 구조체들은 마크 패턴과 상이한 전달 경로를 따르며, 결과로서 그 전달 경로를 따라 상이한 수차들에 직면한다. 상이한 전달 경로의 결과로서 형성된 변형들은 오버레이 및 포커스 오차들을 초래할 수 있다.
높은 NA 시스템들, 즉 침지 리소그래피 장치에서 사용될 수 있고 임계적 구조체들을 측정할 수 있는 높은 감도를 갖는 기판 레벨의 센서를 제공하는 것이 바람직하다.
이를 위하여, 본 발명은 기판(W)을 노광하는 리소그래피 장치에서의 방사광 빔의 단면 내의 방사광 세기의 공간 차들을 포함한 에어리얼 패턴의 검출을 위한 이미지 센서를 제공하며, 이는 에어리얼 패턴의 검출 이미지를 형성하도록 배치된 렌즈, 및 검출 이미지에서의 복수의 위치들에서 방사광 세기들을 측정하도록 배치된 이미지 검출기를 포함한다.
또한, 본 발명은 이미지 검출에 대한 방법을 제공하며, 이는:
- 방사광 빔의 단면에 에어리얼 패턴을 형성하기 위해, 패터닝 수단 상의 패턴을 이용하는 단계;
- 이미지 검출기를 이용하여 검출 이미지를 검출함으로써, 에어리얼 패턴을 측정하는 단계;
- 에어리얼 패턴을 연산(compute)하기 위해, 패터닝 수단 상의 패턴에 대한 정보를 이용하는 단계; 및
- 연산된 에어리얼 패턴과 측정된 에어리얼 패턴을 비교하는 단계를 포함한다.
또한, 본 발명은 이미지 검출에 대한 방법을 제공하며, 이는:
- 방사광 빔의 단면에 에어리얼 패턴을 형성하기 위해, 테스트 피처 및 이웃한 피처들을 포함한 패터닝 수단 상의 패턴을 이용하는 단계;
- 이미지 검출기를 이용하여 상기 검출 이미지를 검출함으로써, 에어리얼 패턴을 측정하는 단계; 및
- 테스트 피처에 대응하는 에어리얼 피처의 형성에 대한 패턴 내의 이웃한 피처들의 영향을 결정하는 단계를 포함한다.
또한, 본 발명은 컴퓨터 실행가능한 코드를 포함한 컴퓨터 프로그램 제품을 제공하며, 이는 컴퓨터 조립체 상에 로딩(load)된 경우에 컴퓨터 조립체가 본 발명에 의해 제공된 방법을 수행할 수 있게 한다.
또한, 본 발명은 패턴들의 이미지로 기판을 노광함으로써 형성될 제품의 패턴들을 갖는 노광 영역을 포함한 패터닝 디바이스를 제공하며, 상기 패터닝 디바이스는 노광 영역 내에 추가 패턴을 더 포함하고, 상기 추가 패턴은 본 발명에 따른 이미지 센서에 의해 검출되도록 배치된다.
이하 대응하는 참조 부호들이 대응하는 부분들을 나타내는 첨부된 개략적인 도면들을 참조하여, 단지 예시의 방식으로만 본 발명의 실시예들을 설명할 것이다:
- 도 1은 본 발명의 일 실시예에 따른 리소그래피 장치를 도시하는 도면;
- 도 2는 본 발명의 일 실시예에 따른 이미지 센서들이 제공된 도 1의 리소그래피 장치에 도시된 기판 테이블의 구성을 개략적으로 도시하는 도면;
- 도 3은 본 발명에 따른 이미지 센서의 일 실시예를 포함한 리소그래피 장치의 일부분의 단면을 개략적으로 도시하는 도면;
- 도 4는 본 발명에 따른 이미지 센서의 일 실시예를 개략적으로 도시하는 도면;
- 도 5는 본 발명에 따른 이미지 센서의 일 실시예에 사용되는 렌즈의 일 실시예를 개략적으로 도시하는 도면;
- 도 6은 본 발명에 따른 이미지 센서의 실시예들의 사용에 대한 구성을 개략적으로 도시하는 도면;
- 도 7은 본 발명에 따른 이미지 센서의 실시예들에 의해 이미징될 수 있는 마크들이 제공된 마스크를 개략적으로 도시하는 도면; 및
- 도 8은 본 발명에 따른 이미지 센서의 실시예들의 사용에 대한 구성에 의해 사용될 수 있는 컴퓨터 조립체의 일 실시예를 개략적으로 도시하는 도면이다.
도 1은 본 발명의 일 실시예에 따른 리소그래피 장치를 개략적으로 도시한다. 상기 장치는:
- 방사광 빔(B)(예를 들어, UV 방사광 또는 EUV 방사광)을 컨디셔닝(condition)하도록 구성된 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고, 소정 파라미터들에 따라 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결된 지지 구조체(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 소정 파라미터들에 따라 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결된 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사광 빔(B)에 부여된 패턴을 투영하도록 구성된 투영 시스템(예를 들어, 굴절 투영 렌즈 시스템)(PS)을 포함한다.
조명 시스템은 방사광을 지향, 성형 또는 제어하기 위하여, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 형태의 광학 구성요소들, 또는 여하한의 그 조합과 같은 다양한 형태의 광학 구성요소들을 포함할 수 있다.
지지 구조체는 패터닝 디바이스를 지지, 즉 그 무게를 견딘다. 이는 패터닝 디바이스의 방위, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지의 여부와 같은 다른 조건들에 의존하는 방식으로 패터닝 디바이스를 유지한다. 지지 구조체는 패터닝 디바이스를 유지하기 위해 기계적, 진공, 정전기, 또는 다른 클램핑 기술들을 이용할 수 있다. 지지 구조체는, 예를 들어 필요에 따라 고정되거나 이동가능할 수 있는 프레임 또는 테이블일 수 있다. 지지 구조체는, 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있을 것을 보장할 수 있다. 본 명세서의 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 디바이스"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는, 기판의 타겟부에 패턴을 생성하기 위해서, 방사광 빔의 단면에 패턴을 부여하는데 사용될 수 있는 여하한의 디바이스를 언급하는 것으로 폭넓게 해석되어야 한다. 방사광 빔에 부여된 패턴은, 예를 들어 상기 패턴이 위상-시프팅 피처(phase-shifting feature)들 또는 소위 어시스트 피처(assist feature)들을 포함하는 경우, 기판의 타겟부 내의 원하는 패턴과 정확히 일치하지 않을 수도 있다는 것을 유의하여야 한다. 일반적으로, 방사광 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성될 디바이스 내의 특정 기능 층에 해당할 것이다.
패터닝 디바이스는 투과형 또는 반사형일 수 있다. 패터닝 디바이스의 예로는 마스크, 프로그램가능한 거울 어레이, 및 프로그램가능한 LCD 패널들을 포함한다. 마스크는 리소그래피 분야에서 잘 알려져 있으며, 바이너리(binary)형, 교번 위상-시프트형 및 감쇠 위상-시프트형과 같은 마스크 타입뿐만 아니라, 다양한 하이브리드(hybrid) 마스크 타입들을 포함한다. 프로그램가능한 거울 어레이의 일 예시는 작은 거울들의 매트릭스 구성을 채택하며, 그 각각은 입사하는 방사광 빔을 상이한 방향으로 반사시키도록 개별적으로 기울어질 수 있다. 기울어진 거울들은 거울 매트릭스에 의해 반사되는 방사광 빔에 패턴을 부여한다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 사용되는 노광 방사광에 대하여, 또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사, 카타디옵트릭(catadioptric), 자기, 전자기 및 정전기 광학 시스템, 또는 여하한의 그 조합을 포함하는 여하한 타입의 투영 시스템을 내포하는 것으로서 폭넓게 해석되어야 한다. 본 명세서의 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에 도시된 바와 같이, 상기 장치는 (예를 들어, 투과 마스크를 채택하는) 투과형으로 구성된다. 대안적으로, 상기 장치는 (예를 들어, 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이를 채택하거나, 반사 마스크를 채택하는) 반사형으로 구성될 수 있다.
리소그래피 장치는 2 개(듀얼 스테이지) 이상의 기판 테이블(및/또는 2 이상의 마스크 테이블)을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 기계에서는 추가 테이블이 병행하여 사용될 수 있으며, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비작업 단계가 수행될 수 있다.
또한, 리소그래피 장치는 투영 시스템과 기판 사이의 공간을 채우기 위해서, 기판의 전체 또는 일부분이 비교적 높은 굴절률을 갖는 액체, 예컨대 물로 덮일 수 있는 형태로도 구성될 수 있다. 또한, 침지 액체는 리소그래피 장치 내의 다른 공간들, 예를 들어 마스크와 투영 시스템 사이에도 적용될 수 있다. 침지 기술은 투영 시스템의 개구수(numerical aperture)를 증가시키는 기술로 당업계에 잘 알려져 있다. 본 명세서에서 사용되는 "침지"라는 용어는 기판과 같은 구조체가 액체 내에 담그어져야 함을 의미하는 것이라기보다는, 노광시 액체가 투영 시스템과 기판 사이에 놓이기만 하면 된다는 것을 의미한다.
도 1을 참조하면, 일루미네이터(IL)는 방사광 소스(SO)로부터 방사광 빔을 수용한다. 예를 들어, 상기 소스가 엑시머 레이저(excimer laser)인 경우, 상기 소스 및 리소그래피 장치는 별도의 개체일 수 있다. 이러한 경우, 상기 소스는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 상기 방사광 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 일루미네이터(IL)로 통과된다. 다른 경우, 예를 들어 상기 소스가 수은 램프인 경우, 상기 소스는 리소그래피 장치의 통합부일 수 있다. 상기 소스(SO) 및 일루미네이터(IL)는, 필요에 따라 빔 전달 시스템(BD)과 함께 방사광 시스템이라고 칭해질 수 있다.
상기 일루미네이터(IL)는 방사광 빔의 각도 세기 분포를 조정하는 조정기(AD)를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 인티그레이터(IN) 및 콘덴서(CO)와 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사광 빔의 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖기 위해, 방사광 빔을 컨디셔닝하는데 사용될 수 있다.
상기 방사광 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 상기 마스크(MA)를 가로질렀으면, 상기 방사광 빔(B)은 투영 시스템(PS)을 통과하여 기판(W)의 타겟부(C) 상에 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(IF)(예를 들어, 간섭계 디바이스, 리니어 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 방사광 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서(도 1에 명확히 도시되지 않음)는, 예를 들어 마스크 라이브러리(mask library)로부터의 기계적인 회수 후에, 또는 스캔하는 동안, 방사광 빔(B)의 경로에 대해 마스크(MA)를 정확히 위치시키는데 사용될 수 있다. 일반적으로, 마스크 테이블(MT)의 이동은 장-행정 모듈(long-stroke module: 개략 위치설정) 및 단-행정 모듈(short-stroke module: 미세 위치설정)의 도움으로 실현될 수 있으며, 이는 제 1 위치설정기(PM)의 일부분을 형성한다. 이와 유사하게, 기판 테이블(WT)의 이동은 장-행정 모듈 및 단-행정 모듈을 이용하여 실현될 수 있으며, 이는 제 2 위치설정기(PW)의 일부분을 형성한다. (스캐너와는 대조적으로) 스테퍼의 경우, 마스크 테이블(MT)은 단-행정 액추에이터에만 연결되거나 고정될 수 있다. 마스크(MA) 및 기판(W)은 마스크 정렬 마크들(M1 및 M2) 및 기판 정렬 마크들(P1 및 P2)을 이용하여 정렬될 수 있다. 비록, 예시된 기판 정렬 마크들은 지정된(dedicated) 타겟부들을 차지하고 있지만, 그들은 타겟부들 사이의 공간들 내에 위치될 수도 있다[이들은 스크라이브-레인 정렬 마크(scribe-lane alignment mark)들로 알려져 있다]. 이와 유사하게, 마스크(MA) 상에 1 이상의 다이가 제공되는 상황들에서, 마스크 정렬 마크들은 다이들 사이에 위치될 수 있다.
도시된 장치는 다음 모드들 중 적어도 1 이상에서 사용될 수 있다:
1. 스텝 모드에서, 마스크 테이블(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사광 빔에 부여된 전체 패턴은 한번에 타겟부(C) 상에 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다. 스텝 모드에서, 노광 필드의 최대 크기는 단일 정적 노광시에 이미징되는 타겟부(C)의 크기를 제한한다.
2. 스캔 모드에서, 마스크 테이블(MT) 및 기판 테이블(WT)은 방사광 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 마스크 테이블(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광시 타겟부의 (스캐닝 되지 않는 방향으로의) 폭을 제한하는 반면, 스캐닝 동작의 길이는 타겟부의 (스캐닝 방향으로의) 높이를 결정한다.
3. 또 다른 모드에서, 마스크 테이블(MT)은 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사광 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사광 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)이 각각 이동한 후, 또는 스캔 중에 계속되는 방사광 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
또한, 상술된 사용 모드들의 조합 및/또는 변형, 또는 완전히 다른 사용 모드들이 채택될 수도 있다.
도 2는 도 1의 리소그래피 장치에 도시된 기판 테이블(WT)의 일 구성을 개략적으로 도시한다. 기판 테이블(WT)은 제 1 기준부(fiducial part: 80)를 포함하며, 이는 차례로 본 발명에 따른 이미지 센서(IAS1)를 포함한다. 이미지 센서(IAS1)는, 에어리얼 이미지를 통해 이미지 센서(IAS1)를 스캐닝하여 이미지 센서 스캔 데이터를 전달함으로써 마스크(MA) 상의 패턴, 예를 들어 대상물 마크(object mark)의 에어리얼 이미지의 위치를 결정하는데 사용될 수 있다.
에어리얼 이미지를 통해 이미지 센서(IAS1)를 스캐닝하는 동안, 위치 센서(IF)에 의해 제 2 위치설정기(PW)의 위치가 측정된다. 기판 테이블(WT) 및 제 2 위치설정기(PW)가 고정된 상대 위치들을 갖도록 배치함으로써, 제 2 위치설정 기(PW)의 측정된 위치와 이미지 센서 스캔 데이터의 조합이 이미지 센서의 좌표계에서 이미지 센서 스캔 데이터가 알려지도록 구성한다.
일 실시예에서, 기판(W)은 기판 테이블(WT)에 의해 유지된다. 기판(W)은 기판 마크들, 예를 들어 도 2에 도시된 기판 마크들(P1, P2, P3 및 P4)을 포함한다. 정렬 센서의 좌표계에서 기판 마크들(P1, P2, P3 및 P4)의 상대 위치들을 얻기 위해 정렬 센서(도시되지 않음)가 배치된다. 정렬 센서는 기판 마크들(P1, P2, P3 및 P4) 상에 방사광을 투영하여 기판 마크들에 의해 반사되거나 회절된 방사광을 이용함으로써, 이러한 방사광을 측정한다.
또한, 정렬 센서와 이미지 센서(IAS1)의 상대 위치들, 즉 제 1 베이스 라인, 및 정렬 센서의 상대 위치들이 결정되며, 이는 이후에 이미지 센서(IAS1)의 렌즈(5) 상의 렌즈 기준 마크(11)를 이용하여 설명될 것이다. 제 1 베이스 라인은 이미지 센서 및 정렬 센서의 좌표계들 내의 위치들을 결부시키는데 사용된다.
다음과 같은 정보(knowledge)의 조합, 즉,
- 정렬 센서의 좌표계에서의 기판 마크들(P1, P2, P3 및 P4)의 상대 위치들
- 이미지 센서의 좌표계에서의 에어리얼 이미지의 위치 및
- 제 1 베이스 라인
의 조합은 위치 센서(IF)를 이용하여 제 2 위치설정기(PW)의 위치를 제어함으로써 높은 정확성으로 기판(W)으로 하여금 마스크(MA)의 에어리얼 이미지에 대한 여하한의 원하는 위치에 위치되게 한다.
본 발명의 일 실시예에서, 기판 테이블(WT)은 제 2 기준부(82)를 더 포함하 며, 이는 차례로 제 2 베이스 라인이 결정되고 이미지 센서 IAS1과 유사하게 사용되는 추가 이미지 센서(IAS2)를 포함한다. 추가 이미지 센서(IAS2)의 사용은 에어리얼 이미지에 대한 기판(W)의 위치설정에 있어서 정확성을 더 증가시킨다. 2 개의 이미지 센서(IAS1 및 IAS2) 대신에, 더 많은 이미지 센서, 예를 들어 3 개의 이미지 센서가 존재할 수 있다는 것을 이해하여야 한다.
바람직한 실시예에서, 정렬 센서는 리소그래피 장치의 측정 스테이션에서 기판 마크들의 상대 위치들을 얻는데 사용되는 반면, 투영 시스템(PS)은 리소그래피 장치의 노광 스테이션에 위치된다. 이는 리소그래피 장치가 제 1 기판 상에서 정렬 센서를 이용한 측정들을 수행하는 한편, 동시에 투영 시스템(PS)을 이용하여 제 2 기판을 노광할 수 있게 한다.
도 3은 본 발명에 따른 이미지 센서의 일 실시예를 포함한 리소그래피 장치의 일부분의 단면을 개략적으로 도시한다. 단면은 기판 테이블(WT) 내에 장착(embed)된 이미지 센서(1)의 최상부 상에 위치된 투영 시스템(PS)의 최종 요소(FE)를 나타낸다.
도 3에 도시된 이미지 센서의 실시예는 침지 리소그래피 장치 내에 위치된다. 도 3에 나타낸 침지 구성에서, 저수부(3)는 이미지 센서(1)가 제공된 기판 테이블(WT)의 표면과 투영 시스템(PS)의 최종 요소(FE) 사이의 공간을 채우기 위해 액체가 한정되도록 투영 시스템(PS)의 이미지 필드 주위에 이미지 센서(1)가 제공된 웨이퍼 테이블(WT)에 대한 무접촉 시일을 형성한다.
이미지 센서(1)는 렌즈(5) 및 이미지 검출기(6)를 포함한다. 렌즈(5)는 이 미지 검출기(6) 상에 - 투영 시스템(PS)의 최종 요소(FE)에 의해 렌즈(5) 상에 투영된 - 패턴의 에어리얼 이미지의 전체 또는 일부분을 투영하도록 배치된다. 이미지 검출기(6)는 검출 표면을 포함한다. 검출 표면은 복수의 픽셀들로 이루어지는 매트릭스 형태로 구성될 수 있다. 이미지 검출기(6)는 CCD-카메라 또는 CMOS-카메라일 수 있다. 렌즈(5)는 현미경 렌즈일 수 있다. 렌즈(5)는 1500 내지 2500의 배율 및 1.2보다 큰 개구수를 가질 수 있다.
도 4는 본 발명에 따른 이미지 센서(1)의 일 실시예를 개략적으로 도시한다. 이 실시예에서, 이미지 센서는 렌즈(5) 및 이미지 검출기(6)에 이어 증폭 디바이스(amplification device: 8)를 더 포함하고, 이는 렌즈(5)와 이미지 검출기(6) 사이에 위치된다. 일 실시예에서, 증폭 디바이스는 다채널 플레이트(multichannel plate)이다.
증폭 디바이스(8)는, 예를 들어 도 4에 나타낸 방식으로 검출기 상에 장착되거나, 또는 대안적으로 검출기에 근접하여 위치될 수 있다. 또 다른 실시예에서, 증폭 디바이스(8)는 검출기(6) 내에 통합되며, 예를 들어 복수의 애벌런치 다이오드(avalanche diode)들의 각 애벌런치 다이오드가 이미지 검출기(6)의 단일 픽셀과 대응하도록 복수의 애벌런치 다이오드들이 배치된다.
증폭 디바이스(8)는 입사하는 광 세기를 증폭시키기 위해 배치된다. 결과로서, 더 많은 광이 이미지 검출기(6)의 검출 표면 상에 입사하며, 이는 검출기의 이미징 성능을 개선할 수 있다. 이미지 검출기(6)에 의해 검출되는 이미지- 점선으로 개략적으로 도시됨 -는 프로세서, 예를 들어 도 8에 나타낸 컴퓨터 조립체에서 사용되는 프로세서를 향해 정보 신호(10)의 형태로 전달될 수 있다.
도 5는 본 발명에 따른 이미지 센서의 일 실시예에서의 더 상세한 렌즈(5)를 개략적으로 도시한다. 렌즈(5)는 기판 테이블(WT) 내에 통합된다. 렌즈의 최상면에서, 즉 리소그래피 장치에서 투영 시스템(PS)의 최종 요소(FE)에 직면하는 표면과 대응하는 입사 광에 직면하는 표면에서, 렌즈(5)에 적어도 1 이상의 렌즈 기준 마크(11)가 제공된다. 렌즈(5)의 최상면 상에 있는 적어도 1 이상의 렌즈 기준 마크(11)에 의해, 정렬 센서에 대한 렌즈(5)의 위치가 결정될 수 있다. 일 실시예에서, 렌즈 기준 마크(11)는 그 위치가 정렬 센서에 의해 직접 결정될 수 있는 형태로 구성된다.
제 1 기준부(80)에는 캘리브레이션 마크(calibration mark: 81)가 더 제공된다. 정렬 센서(도시되지 않음)는 캘리브레이션 마크(81)의 위치를 측정하는데 사용된다. 이미지 센서의 위치가 캘리브레이션 마크에 대해 고정되도록 배치함으로써, 렌즈 기준 마크(11)와 캘리브레이션 마크(81)의 상대 위치들- 둘 모두 정렬 센서 좌표계에서 측정됨 -이 제 1 베이스 라인을 제공한다.
도 6은 본 발명에 따른 이미지 센서(21)의 실시예들의 사용에 대한 구성을 개략적으로 도시한다. 왼쪽에는, 리소그래피 장치의 몇몇 요소들, 즉 마스크(MA) 및 투영 시스템(PS)이 도시된다. 마스크(MA)는 입사하는 방사광 빔의 단면에 패턴을 부여하도록 구성된다. 투영 시스템(PS)은 기판(도시되지 않음) 상에 패터닝된 빔을 노광하도록 구성된다. 이미지 센서(21)의 일 실시예를 이용하여 측정이 수행되는 경우, 투영 시스템(PS)은 기판 대신에 이미지 센서(21) 상에 패터닝된 빔을 노광한다. 상기 구성은 제어 유닛(23) 및 파라미터 조정 디바이스(25)를 더 포함한다. 제어 유닛(23)은 이미지 센서(21) 및 파라미터 조정 디바이스(25)에 커플링(couple)되어 작동하며, 또한 리소그래피 장치의 다른 요소들, 예를 들어 기판 테이블(WT) 및 마스크 테이블(MT)에 커플링되어 작동할 수도 있다.
이미지 센서(21)는 제어 유닛(23)에 이미지 데이터를 전달하도록 배치된다. 차례로, 제어 유닛(23)은 이미지 센서(21)로부터 이미지 데이터를 수신하기 위해 배치된다. 응답하여, 제어 유닛(23)은 예를 들어 파라미터 조정 디바이스(25)의 세팅들을 변화시키거나, 기판 테이블(WT)의 위치를 변경하거나, 또는 마스크(MA) 또는 마스크 테이블(MT)의 위치를 변경함으로써 리소그래피 장치의 파라미터를 제어할 수 있다.
제어 유닛(23)은 프로세서(27) 및 메모리(29)를 포함할 수 있다. 제어 유닛의 구성들에 대한 추가 세부사항들은 도 8을 참조하여 설명된다.
도 6에 도시된 구성은 몇몇 목적을 위해 사용될 수 있다. 다음 단락에서, 몇몇 사용예가 설명된다. 사용예의 설명은 예시를 위한 것이며, 제한하려는 것이 아니다. 따라서, 당업자라면 본 발명의 범위로부터 벗어나지 않고 구성의 상이한 사용예들이 여전히 가능하다는 것을 이해할 것이다.
마스크(테이블)에 대해 기판 테이블을 정렬하는 사용예
상기 구성의 일 실시예는 최첨단 리소그래피 기계들에서 사용되는 투과 이미지 센서(TIS)와 유사한 방식으로, 즉 마스크 테이블(MT) 또는 대안적으로 마스크(MA)에 대하여 기판 테이블(WT) 및 그 위에 있는 기판(W)의 위치를 결정하고 보정하기 위해 사용될 수 있다. 하지만, 도 7에 개략적으로 도시된 바와 같이, 도 7에 도시된 마스크(MA) 상에, 또는 대안적으로 마스크 테이블(MT) 상에 있는 특별히 설계된 대상물 마크(31) 대신에- 이 특별히 설계된 대상물 마크(31)는, 전형적으로 기판 레벨에서 64 × 40 미크론의 크기를 가짐 -, 훨씬 더 작은 크기 예를 들어 기판 레벨에서 1 × 1 미크론인 마크(33)가 사용될 수 있다. 상기 마크(33)는 임계 패턴들, 즉 기판(W) 상에 노광될 패턴에 대해 전형적인 형상 및 치수를 갖는 패턴들을 포함한다.
투영 시스템(PS) 내의 수차들은 상이한 크기의 피처들에 대해 완전히 상이할 수 있으며, 또한 공간적으로, 즉 제 2 위치에서 투영 시스템(PS)을 통과하는 광과 비교하여 제 1 위치에서 투영 시스템(PS)을 통과하는 광에 대해 상이할 수도 있다. 마크들(33)의 피처들은 노광될 패턴과 동일한 치수들로 구성되기 때문에, 관찰되는 수차들이 노광시 마스크 패턴에 의해 겪게 될 수차들과 더 유사한 영향을 제공한다.
또한, 이미지 센서(1, 21)의 실시예들에 의해 사용될 수 있는 마크들(33)은 많은 공간을 차지하지 않기 때문에- 즉, 기판 레벨에서 최대 수 제곱미크론 -, 마크들(33)이 도 7에 점선 사각형(37)으로 개략적으로 도시된 마스크(MA)의 노광 영역 내에 존재할 수 있다. 반면에, 종래의 TIS-센서에 적절한 특별히 설계된 대상물 마크(31)는 마스크(MA)의 가장자리에, 즉 노광 영역의 외부에 위치될 것이다. 도 7에서 알 수 있는 바와 같이, 노광 영역(37) 내에는 사각형(39)으로 표시된 수 개의 다이들이 존재할 수 있다. 각각의 다이(39)에는 상이한 패턴이 제공될 수 있다. 마크들(33), 예를 들어 마크들 33a 및 33b는 다이(39) 내에 존재할 수 있다. 추가적으로 또는 대안적으로, 마크들(33), 예를 들어 마크들 33c, 33d 및 33e는 다이들(39) 사이에 존재할 수 있다.
최종적으로, 앞서 언급된 가능성은 노광될 작은 패턴의 실제 제품 피처(들)를 사용하기 위한 가능성을 열어둔다. 센서는 지정된 마크를 사용하지 않고도 사용될 수 있다.
본 발명에 따른 이미지 센서의 실시예들에 적절한 마크(33)의 이미지는 기판(W) 상에 노광될 마스크(MA) 상의 패턴이 따르는 광학 경로와 더 유사한 투영 시스템(PS)을 통하는 광학 경로를 따른다. 결과적으로, 마스크 테이블(MT) 또는 대안적으로 마스크(MA)에 대한 기판 테이블(WT) 및 그 위에 놓인 기판(W)의 위치가 오늘날의 능력 이상으로 최적화될 수 있다.
종래의 이미지 센서, 예를 들어 앞서 언급된 TIS-센서에 더하여 본 발명에 따른 이미지 센서의 일 실시예를 사용할 수 있다는 것을 유의한다. 예를 들어, 도 2에서 IAS1은 종래의 이미지 센서, 예를 들어 앞서 언급된 TIS-센서이고, IAS2는 본 발명의 일 실시예에 따른 이미지 센서일 수 있다.
근접 곡선( proximity curve )을 측정하고, 응답하여 조명 세팅들을 최적화하는 사용예
상기 구성의 이미지 센서(21)는 근접 곡선, 즉 소정 피처의 이미징에 대한 이웃한 피처들의 영향을 검증(qualify)하는 곡선을 측정하는데 사용될 수 있다. 근접 곡선에서, 예를 들어 라인들 사이의 공간이 라인 폭과 동일한 1:1로부터, 예를 들어 10 단계와 같은 소정 수의 단계들로 격리된 라인들의 범위까지 변하는 피치를 갖는 소정 형태의 마스크(예를 들어, 바이너리형 마스크) 상의 소정 형태의 구조체(예를 들어, 130 nm와 같이 특정 직경을 갖는 라인들)에 대하여 프린트된 레지스트 임계 치수의 변화가 측정된다. 이러한 범위는 근접 곡선 마크의 형태로 제공될 수 있다.
근접 곡선은 일반적으로 기계-의존성이다. 예를 들어, 근접 곡선 마크에 대해 측정함으로써 이미지 센서(21)에 의해 측정되는 근접 곡선에 관한 정보는 다른 기계들로부터의 근접 곡선들을 갖는 제어 유닛(23)에 의해, 예를 들어 제어 유닛(23)의 메모리(29) 내에 기준 데이터로서 저장된 다른 기계들의 근접 곡선들과 측정 결과들을 비교하도록 제어 유닛(23) 내의 프로세서(27)를 이용함으로써 비교될 수 있다. 추가적으로 또는 대안적으로, 측정된 근접 곡선은 최적 노광 결과들을 얻도록 파라미터들이 변화되어야 하는 정도 및 방식을 결정하기 위해 제어 유닛(23)의 프로세서(27)에 대한 입력이 될 수 있다. 근접 곡선의 수신에 응답하여, 제어 유닛(23)의 프로세서(27)는 선택적으로 제어 유닛(23)의 메모리(29) 내에 저장된 정보를 이용함으로써, 리소그래피 장치의 적어도 1 이상의 파라미터들 예를 들어 조명 세팅들을 조정하는 조정 데이터를 계산한다.
조정 데이터는 파라미터 조정 디바이스(25)를 향해 전달된다. 일 실시예에서, 파라미터 조정 디바이스(25)는 일 어레이, 예를 들어 1000 개 이상의 반사 요 소들을 포함하며, 상기 반사 요소들은 그리드 형태로 배치되고, 그 방위에 대해 개별적으로 제어가능하다. 일 실시예에서, 파라미터 조정 디바이스(25)는 조명 세팅 조정 디바이스이다. 조명 세팅들에 관해 가능한 조정들로는 투영 시스템(PS)의 개구수(NA)의 변화를 초래하는 조정들, 및 마스크 상에 입사하는 광의 각도 분포- σ라고도 칭함 -의 조정들을 포함한다. 각도 조명에 대한 조명 세팅들에서, 외측 광 원뿔의 각도 분포, 즉 σout 및 내측 원뿔 σin은 개별적으로 변경될 수 있다.
일 실시예에서, 앞서 언급된 근접 곡선은 온라인(on-line)으로 측정될 수 있다. 결과적으로, 조명 세팅들은 소위 기판-대-기판 근접 제어를 얻기 위해 기판-대-기판 기준으로 조정될 수 있다.
제품 피처들 상의 임계 CD 를 측정하고, 응답하여 소스 조건들을 최적화하는 사용예
근접 곡선 대신에, 소정 제품 개발에 대해 임계적인 제품 피처들 상의 임계 치수들(CD)이 이미지 센서(21)에 의해 측정될 수 있다. 제어 유닛(23)의 프로세서(27)는 선택적으로 제어 유닛(23)의 메모리(29)와 같은 메모리와 협력하여, 제품 피처들 상의 임계 CD에 대한 측정 결과들의 수신에 따라 파라미터 조정 데이터를 계산할 수 있다. 이 경우, 조정될 파라미터는 다시 NA의 변화에 관한 조정들 또는 σ의 변화들에 관한 조정들을 포함하는 조명 세팅일 수 있다. 파라미터 조정 디바이스(25)는 다시 반사 요소들의 어레이를 포함할 수 있으며, 또한 다시 앞서 설명 된 바와 같이 소스(도시되지 않음)와 마스크(MA) 또는 마스크 테이블(MT) 사이에서 마스크(MA) 또는 마스크 테이블(MT)에 근접하여 위치된 조명 세팅 조정 디바이스일 수 있다.
대안적으로 또는 추가적으로, 조정들은 사용되는 소스의 조정에 의해, 예를 들어 이중극(dipolar) 조명 세팅에서 환형 조명 세팅으로, 또는 제 1 환형 조명 세팅에서 제 2 환형 조명 세팅으로 조명의 형태를 변화시키는데 관련될 수 있다. 이 경우, 파라미터 조정 디바이스(25)는 소스 조정 디바이스이다. 소스 조정 디바이스는 소스에 대해 파라미터들을 직접 순응시킬 수 있다. 또한, 이 경우 파라미터 조정 디바이스(25)는 일 실시예에서 앞서 설명된 반사 요소들의 어레이를 포함할 수 있다.
조정들은 조명의 형태의 변화들로 제한되지 않는다. 조명의 형태는, 조명의 그 형태의 특성이 조정되는 동안에 동일하게 유지될 수 있다. 예를 들어, 조명은 특정 방향으로 뻗어나갈 수 있으며, 더 크게, 더 작게 등으로 구성될 수 있다.
일 실시예에서, 임계 치수들은 온라인으로 측정될 수 있다. 결과적으로, 조명 세팅들은 소위 기판-대-기판 조명 세팅 최적화를 얻기 위해 기판-대-기판 기준으로 조정될 수 있다.
온라인 광 근접 보정( OPC ) 검증을 수행하는 사용예
이미지 센서(21)는 OPC를 위해, 즉 원하는 패턴 구조체들의 형상들을 제어하기 위해 마스크(MA)의 패턴 내에 제공된 추가 구조체들이 패턴 내에서 정확한 위치 에 위치되는지를 검증하는데 사용될 수 있다. 추가 구조체들의 위치가 결정될 수 있으며, 제어 유닛(23)의 프로세서(27)가 선택적으로 제어 유닛(23)의 메모리(29) 내에 저장된 기준 데이터를 이용함으로써, 레지스트 내에서의 노광 및 현상 이후 주 구조체에 대한 추가 구조체의 영향을 계산하기 위해 결정된 위치를 사용할 수 있다. 위치가 잘못되고, 추가 구조체가 원하는 주 패턴 구조체의 형상에 있어서 바람직하지 않은 변화를 제공하는 경우, 레지스트 상의 실제 노광이 일어나기 이전에 마스크가 대체되거나 개선될 수 있다.
에어리얼 이미지와 수차 핑거프린트 ( fingerprint ) 간의 관계를 조사하는 사용예
현재, 투영 시스템(PS)의 수차들의 변화의 결과로서 패턴의 에어리얼 이미지에서 어떠한 변화들이 일어나는지를 시뮬레이션하기 위해 모델들이 사용된다. 도 6에 나타낸 구성을 이용하여, 이러한 모델들이 검증되거나 모델링 오차들이 상쇄(counter)될 수 있다.
검증을 위해, 투영 시스템(PS)의 수차 핑거프린트가 결정된다. 일 실시예에서, 이러한 수차 핑거프린트, 즉 투영 시스템(PS)의 필드 지점당 수차들은 파면 수차 센서(31)를 이용하여 결정된다. 예를 들어, US 2002/0001088에 기재된 바와 같은 알려진 형태의 파면 수차 센서가 사용될 수 있다. 이러한 파면 수차 센서는 전단 간섭법(shearing interferometry)의 원리에 기초하며, 소스 모듈 및 센서 모듈을 포함한다. 소스 모듈은 투영 시스템(PS)의 대상물 평면(즉, 여기에는 생산 시 패터닝 수단의 패턴이 놓임) 내에 배치되는 패터닝된 크롬층을 가지며, 크롬층 위에 제공되는 추가 광학기를 갖는다. 상기 조합은 투영 시스템(PS)의 전체 퓨필에 방사광의 파면을 제공한다. 센서 모듈은 투영 시스템(PS)의 이미지 평면[즉, 여기에는 생산 시 기판(W)이 놓임] 내에 배치되는 패터닝된 크롬층, 및 상기 크롬층 후면에 약간 떨어져서 배치되는 카메라를 갖는다. 센서 모듈 상의 패터닝된 크롬층은 서로 간섭하여 인터페로그램(interferogram)을 일으키는 수 개의 회절 차수들로 방사광을 회절시킨다. 카메라에 의해 인터페로그램이 측정된다. 투영 렌즈 내의 수차들은 측정된 인터페로그램에 기초하여 소프트웨어에 의해 결정될 수 있다.
파면 수차 센서(31)는 제어 유닛(23)을 향하여 수차 핑거프린트에 대한 정보를 전달하도록 구성된다. 추가적으로, 분석될 패턴의 에어리얼 이미지는 이미지 센서(21)에 의해 관찰될 것이다. 이미지 센서(21)는 이미지에 대한 전자 정보, 즉 이미지 데이터를 제어 유닛(23)에 전달하도록 구성된다. 제어 유닛(23)의 프로세서(27)는 이미지 센서(21)로부터 얻어진 이미지 데이터와 파면 수차 센서(31)로부터 발생한 수차 핑거프린트 정보를 비교하도록 구성된다. 일 실시예에서, 프로세서(27)는 패턴, 모델 및 측정된 수차들에 기초하여 이미지 센서(21)가 검출했어야 하는 에어리얼 패턴을 연산에 의해 재구성하는데 사용된다. 재구성된 패턴은 이미지 센서(21)에 의해 검출된 이미지에 비교된다.
비교를 통해, 선택적으로 제어 유닛(23)의 메모리(29) 내에 저장된 데이터를 이용함으로써 몇몇 경향들이 도출될 수 있다. 결과로서, 예를 들어 수차들의 구조적으로 의존적인 오프셋들이 모니터링될 수 있다. 비교는 이미지 센서(21)에 의해 측정된 에어리얼 이미지를 기판(W)을 노광하기에 바람직한 에어리얼 이미지로 변화시킬 의도로 조명 시스템(IL) 내의 세팅들을 변경하거나, 예를 들어 투영 시스템(PS)의 요소들의 위치들을 변화시켜 리소그래피 장치 내의 세팅들을 변경하는데 사용될 수 있다. 필요에 따라, 개선이 되었는지를 체크하도록 앞선 단계들이 반복될 수 있다.
파면 수차 센서(31)와 이미지 센서(21)를 이용한 측정들 사이에서 리소그래피 장치의 변화들이 더 작을수록, 더 정확한 검증일 것이다. 이는 모든 종류의 구성요소들의 세팅들이 드리프트(drift)되어, 이미지 센서(21)가 파면 수차 센서(31)가 측정한 위치와 약간 상이한 위치에서 측정할 수 있기 때문이다.
어시스트 피처들을 최적화하는 사용예
일 실시예에서, 본 발명에 따른 이미지 센서(1, 21, IAS1, IAS2)는 원하는 에어리얼 패턴이 형성되도록 패터닝 수단을 최적화하는데 사용된다. 바람직한 실시예에서, 프로그램가능한 거울 어레이가 패터닝 디바이스로서 사용된다. 상기 방법은:
- 방사광 빔(B)의 단면에 에어리얼 패턴을 형성하기 위해, 패터닝 수단(MA) 상의 패턴을 이용하는 단계;
- 렌즈(5)를 이용하여 에어리얼 패턴의 검출 이미지를 형성하는 단계; 및
- 이미지 검출기(1, 21, IAS1, IAS2)를 이용하여 상기 검출 이미지를 검출함으로써, 에어리얼 패턴을 측정하는 단계를 포함한다.
상기 방법은:
- 연산에 의해 에어리얼 패턴을 예측하기 위해, 패터닝 수단(MA) 상의 패턴에 대한 정보(예를 들어, 프로그램가능한 거울들의 프로그램된 위치들)를 이용하는 단계; 및
- 예측된 에어리얼 패턴과 측정된 에어리얼 패턴을 비교하는 단계를 더 포함한다.
상기 비교는 측정된 에어리얼 패턴이 예측된 에어리얼 패턴과 다르다는 결론을 초래할 수 있다. 측정된 에어리얼 패턴으로 기판을 노광하는 것은 기판 상에 덜 최적인 패턴들을 초래할 것이다. 이제, 프로그램가능한 거울들의 변화된 위치들을 이용하여 측정될 에어리얼 패턴과 바람직한 에어리얼 패턴 간의 차를 최소화하기 위해, 프로그램가능한 거울들의 프로그램된 위치들의 변화들이 계산될 수 있다. 이러한 변화들은 패터닝 수단(MA) 상의 패턴을 이용하여 에어리얼 패턴을 형성하는 모델로 상기 차를 전달함으로써 계산될 수 있다. 모델은 수차 데이터를 사용할 수 있다. 필요에 따라, 프로그램가능한 거울들은 계산된 변화들에 따라 변화될 수 있으며, 상기 변화들이 실제로 측정된 에어리얼 패턴과 원하는 에어리얼 패턴 간의 차들을 감소시켰는지를 체크하도록 다시 에어리얼 이미지가 측정될 수 있다.
앞선 실시예들에서의 제어 유닛(23)은 도 8에 나타낸 컴퓨터 조립체(60)일 수 있다는 것을 이해하여야 한다. 컴퓨터 조립체(60)는 본 발명에 따른 조립체의 실시예들에서의 제어 유닛의 형태인 전용 컴퓨터이거나, 대안적으로 리소그래피 투 영 장치를 제어하는 중앙 컴퓨터일 수 있다. 컴퓨터 조립체(60)는 컴퓨터 실행가능한 코드를 포함한 컴퓨터 프로그램 제품을 로딩하도록 배치될 수 있다. 이는 컴퓨터 프로그램 제품이 다운로딩되는 경우에 컴퓨터 조립체(60)가 이미지 센서의 실시예들과 함께 앞서 언급된 리소그래피 장치의 사용예들을 제어하게 할 수 있다.
프로세서(27)에 연결된 메모리(29)는 하드 디스크(61), ROM(Read Only Memory: 62), EEPROM(Electrically Erasable Programmable Read Only Memory: 63) 및 RAM(Random Access Memory: 64)와 같은 다수의 메모리 구성요소들을 포함할 수 있다. 앞서 언급된 메모리 구성요소들 모두가 존재해야 하는 것은 아니다. 또한, 앞서 언급된 메모리 구성요소들은 물리적으로 프로세서(27)에, 또는 서로 근접하여 있지 않아도 된다. 그것들은 멀리 떨어져서 위치될 수 있다.
또한, 프로세서(27)는 어떠한 종류의 사용자 인터페이스, 예를 들어 키보드(65) 또는 마우스(66)에 연결될 수 있다. 또한, 터치 스크린(touch screen), 트랙 볼(track ball), 스피치 컨버터(speech converter) 또는 당업자에게 알려져 있는 다른 인터페이스들이 사용될 수도 있다.
프로세서(27)는 판독 유닛(reading unit: 67)에 연결될 수 있으며, 이는 플로피 디스크(68) 또는 CDROM(69)과 같은 데이터 이동 매체로부터 데이터- 예를 들어, 컴퓨터 실행가능한 코드의 형태임 -를 판독하고, 몇몇 상황들에서는 데이터 이동 매체 상에 데이터를 저장하도록 배치된다. 또한, DVD 또는 당업자에게 알려져 있는 다른 데이터 이동 매체들이 사용될 수도 있다.
또한, 프로세서(27)는 종이에 출력 데이터를 프린트하는 프린터(70)뿐만 아 니라, 디스플레이(71), 예를 들어 모니터 또는 LCD(Liquid Crystal Display), 또는 당업자에게 알려져 있는 여하한 다른 형태의 디스플레이에 연결될 수 있다.
프로세서(27)는 입력/출력(I/O)을 담당하는 송신기/수신기(73)에 의해 통신 네트워크(72), 예를 들어 PSTN(public switched telephone network), LAN(local area network), WAN(wide area network) 등에 연결될 수 있다. 프로세서(27)는 통신 네트워크(72)를 통해 다른 통신 시스템들과 통신하도록 배치될 수 있다. 본 발명의 일 실시예에서, 통신 네트워크(72)를 통해 외부 컴퓨터들(도시되지 않음), 예를 들어 조작자들의 개인용 컴퓨터들이 프로세서(27)로 로그(log)할 수 있다.
프로세서(27)는 독립 시스템(independent system)으로서, 또는 병렬로 작동하는 다수의 처리 유닛들로서 구현될 수 있으며, 각각의 처리 유닛은 더 큰 프로그램의 서브-작업들을 실행하도록 배치된다. 또한, 처리 유닛들은 수 개의 서브처리 유닛들을 갖는 1 이상의 주 처리 유닛들로 나누어질 수 있다. 프로세서(27)의 몇몇 처리 유닛들은 심지어 다른 처리 유닛들과 멀리 떨어져서 위치될 수도 있으며, 통신 네트워크(72)를 통해 통신한다.
앞서 설명된 실시예들에서는, 이미지 센서(1, 21, IAS1, IAS2)가 방사광 빔을 이용하여 투영 시스템(PS)에 의해 생성된 패터닝 디바이스(MA)의 이미지를 측정하는데 사용된다. 하지만, 본 발명은 방사광 빔의 단면 내에서 세기 패턴을 검출하는 이미지 센서(1, 21, IAS1, IAS2)도 포함하며, 예를 들어 이 경우 리소그래피 장치에서는 반사 패터닝 수단이 사용되고 기판(W)은 투영 시스템(PS)을 통과하지 않은 반사된 방사광에 노광된다는 것을 이해하여야 한다.
본 명세서에서는, IC 제조에 있어서 리소그래피 장치의 특정 사용예에 대하여 언급되지만, 본 명세서에 서술된 리소그래피 장치는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 평판 디스플레이(flat-panel display), 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조와 같이 다른 적용예들을 가질 수도 있음을 이해하여야 한다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "기판" 또는 "타겟부"라는 좀 더 일반적인 용어와 동의어로 간주될 수도 있음을 이해할 것이다. 본 명세서에서 언급되는 기판은 노광 전후에, 예를 들어 트랙(전형적으로, 기판에 레지스트 층을 도포하고 노광된 레지스트를 현상하는 툴), 메트롤로지 툴 및/또는 검사 툴에서 처리될 수 있다. 적용가능하다면, 이러한 기판 처리 툴과 다른 기판 처리 툴에 본 명세서의 기재 내용이 적용될 수 있다. 또한, 예를 들어 다층 IC를 생성하기 위하여 기판이 한번 이상 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러번 처리된 층들을 포함한 기판을 칭할 수도 있다.
본 명세서에서 사용된 "방사광" 및 "빔"이라는 용어는 (예를 들어, 365, 355, 248, 193, 157 또는 126 nm, 또는 그 정도의 파장을 갖는) 자외(UV)방사광을 포함하는 모든 형태의 전자기 방사광을 포괄한다.
본 명세서가 허용하는 "렌즈"라는 용어는, 굴절, 반사, 자기, 전자기 및 정전기 광학 구성요소들을 포함하는 다양한 형태의 광학 구성요소들 중 어느 하나 또는 그 조합으로 언급될 수 있다.
이상, 본 발명의 특정 실시예가 설명되었지만 본 발명은 설명된 것과 다르게 실시될 수 있다는 것을 이해할 것이다. 예를 들어, 본 발명은 앞서 개시된 바와 같은 방법을 구현하는 기계-판독가능한 명령어의 1 이상의 시퀀스를 포함하는 컴퓨터 프로그램, 또는 이러한 컴퓨터 프로그램이 저장되어 있는 데이터 저장 매체(예를 들어, 반도체 메모리, 자기 또는 광학 디스크)의 형태를 취할 수 있다.
상기 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 본 발명에 대한 변형예가 행해질 수도 있음을 이해할 것이다.

Claims (19)

  1. 기판 상에 방사광 빔에 부여된 패턴을 투영하기 위한 리소그래피 투영 장치에 있어서,
    정렬 마크의 에어리얼 패턴(aerial pattern)의 검출을 위한 이미지 센서 - 상기 에어리얼 패턴은 상기 방사광 빔의 단면 내의 방사광 세기의 공간 차들을 포함함; 및
    상기 방사광 빔에 상기 패턴을 부여하기 위한 패터닝 디바이스를 포함하고;
    상기 이미지 센서는,
    상기 에어리얼 패턴의 검출 이미지를 형성하도록 배치된 렌즈; 및
    상기 검출 이미지 내의 복수의 위치들에서 방사광 세기들을 측정하도록 배치된 이미지 검출기를 포함하고,
    상기 정렬 마크는 상기 기판 상에 부여될 상기 패턴(the pattern to be imparted onto the substrate)의 것들과 동일한 형상과 크기(dimension)를 갖는 패턴들을 포함하는 리소그래피 투영 장치.
  2. 제 1 항에 있어서,
    상기 이미지 센서는, 상기 검출 이미지 내의 방사광 세기의 공간 차들을 증폭시키도록 배치된 증폭 디바이스(amplification device: 8)를 포함하는 리소그래피 투영 장치.
  3. 제 2 항에 있어서,
    상기 증폭 디바이스(8)는 다채널 플레이트(multichannel plate)인 리소그래피 투영 장치.
  4. 제 1 항에 있어서,
    상기 렌즈는 상기 이미지 센서의 위치를 결정하기 위한 기준 마크(11)를 포함하는 리소그래피 투영 장치.
  5. 제 1항에 있어서,
    상기 이미지 센서는 위치 설정기에 배치되어, 상기 패터닝된 방사광 빔 내의 에어리얼 패턴을 측정하도록 위치될 수 있는 리소그래피 투영 장치.
  6. 제 4 항에 있어서,
    상기 기판 상의 정렬 마크의 위치를 측정하기 위한 정렬 센서를 포함하고, 상기 기준 마크의 위치가 상기 정렬 센서에 의해 결정될 수 있도록 상기 기준 마크가 배치되는 리소그래피 투영 장치.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 이미지 센서의 출력부에 연결되고, 상기 이미지 센서에 의한 상기 에어리얼 패턴의 측정들에 기초하여 조정 데이터를 계산하도록 배치된 제어 유닛; 및
    상기 조정 데이터에 기초하여 상기 리소그래피 노광 장치의 적어도 하나의 파라미터를 제어하도록 배치된 파라미터 조정 디바이스를 포함하는 리소그래피 투영 장치.
  8. 제 7 항에 있어서,
    상기 패터닝된 방사광 빔은 패터닝 디바이스를 이용하여 방사광 빔을 패터닝함으로써 형성되고, 상기 파라미터 조정 디바이스(25)는 상기 방사광 빔의 조명 세팅들을 조정하도록 배치된 조명 세팅 조정 디바이스인 리소그래피 투영 장치.
  9. 제 8 항에 있어서,
    상기 적어도 하나의 파라미터는 상기 방사광 빔의 조명 세팅들에 관련되고,상기 기판 상에 상기 패터닝 디바이스(MA)의 이미지를 투영하도록 배치된 투영 시스템의 개구수(numerical aperture),상기 방사광 빔의 각도 분포, 및 상기 방사광 빔에서 사용되는 방사광을 발생시키도록 배치된 소스에 의해 제공된 조명의 형태로 구성된 그룹으로부터 선택되는 리소그래피 투영 장치.
  10. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    패터닝 디바이스의 에어리얼 이미지를 생성하도록 배치된 투영 시스템(PS)을 포함하고, 상기 에어리얼 이미지는 상기 에어리얼 패턴을 구성하는 리소그래피 투영 장치.
  11. 제 10 항에 있어서,
    상기 투영 시스템의 수차들(aberrations)을 측정하도록 배치된 수차 센서를 더 포함하며,
    상기 에어리얼 패턴을 재구성하고, 상기 이미지 센서에 의해 측정된 상기 에어리얼 패턴과 상기 재구성된 에어리얼 패턴을 비교하기 위해, 상기 패터닝 디바이스(MA), 상기 측정된 수차들, 및 상기 에어리얼 패턴에 대한 상기 수차들의 영향의 모델의 정보를 이용하도록 배치된 제어 유닛을 더 포함하는 리소그래피 투영 장치.
  12. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 패터닝 디바이스의 패턴에 포함된 상기 정렬 마크는 기판 레벨에서 1x1 마이크론의 크기를 갖는 리소그래피 투영 장치.
  13. 제 1 항 내지 제 6항 중 어느 한 항에 있어서,
    상기 패터닝 디바이스는, 노광될 상기 패턴들을 포함하는 노광 영역을 포함하고, 상기 노광 영역은 상기 정렬 마크들 중 하나 이상을 포함하는 리소그래피 투영 장치.
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
KR1020097025102A 2007-05-03 2008-04-29 리소그래피용 이미지 센서 KR101192675B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/797,505 US8975599B2 (en) 2007-05-03 2007-05-03 Image sensor, lithographic apparatus comprising an image sensor and use of an image sensor in a lithographic apparatus
US11/797,505 2007-05-03
PCT/NL2008/050264 WO2008136666A2 (en) 2007-05-03 2008-04-29 Image sensor for lithography

Publications (2)

Publication Number Publication Date
KR20100013318A KR20100013318A (ko) 2010-02-09
KR101192675B1 true KR101192675B1 (ko) 2012-10-19

Family

ID=39612571

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097025102A KR101192675B1 (ko) 2007-05-03 2008-04-29 리소그래피용 이미지 센서

Country Status (7)

Country Link
US (2) US8975599B2 (ko)
EP (1) EP2142961B1 (ko)
JP (1) JP5008765B2 (ko)
KR (1) KR101192675B1 (ko)
CN (1) CN101720449B (ko)
TW (1) TWI418924B (ko)
WO (1) WO2008136666A2 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8975599B2 (en) * 2007-05-03 2015-03-10 Asml Netherlands B.V. Image sensor, lithographic apparatus comprising an image sensor and use of an image sensor in a lithographic apparatus
CN102297656A (zh) * 2010-06-23 2011-12-28 昆山意力电路世界有限公司 利用菲林底片与投影仪比对产品快速检验产品对称度的方法
CN112331576A (zh) * 2014-10-03 2021-02-05 科磊股份有限公司 验证计量目标及其设计
US20230314967A1 (en) * 2022-04-04 2023-10-05 Onto Innovation Inc. Low numerical aperture alignment

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030128870A1 (en) * 2002-01-08 2003-07-10 Pease R. Fabian W. System and method for aerial image sensing
US20030215965A1 (en) * 2002-05-20 2003-11-20 Ilya Grodnensky Method and apparatus for position measurement of a pattern formed by a lithographic exposure tool
JP2005322856A (ja) * 2004-05-11 2005-11-17 Nikon Corp 開口数の計測方法、計測装置、調整方法、露光装置、および露光方法
US20060055904A1 (en) * 2004-09-13 2006-03-16 Asml Netherlands B.V. Method and system for aligning a first and second marker

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4454422A (en) * 1982-01-27 1984-06-12 Siemens Gammasonics, Inc. Radiation detector assembly for generating a two-dimensional image
JPH0737958A (ja) 1993-07-16 1995-02-07 Nippon Telegr & Teleph Corp <Ntt> 半導体処理工程監視装置
EP0721608B1 (en) * 1994-08-02 2003-10-01 Koninklijke Philips Electronics N.V. Method of repetitively imaging a mask pattern on a substrate
US5841520A (en) * 1995-08-09 1998-11-24 Nikon Corporatioin Exposure apparatus and method that use mark patterns to determine image formation characteristics of the apparatus prior to exposure
US6545746B1 (en) * 1996-03-04 2003-04-08 Nikon Corporation Projection exposure apparatus
GB9618717D0 (en) * 1996-09-07 1996-10-16 Philips Electronics Nv Image sensor
JPH10300671A (ja) * 1997-04-22 1998-11-13 Yokogawa Electric Corp 微粒子計測装置
US5821532A (en) * 1997-06-16 1998-10-13 Eastman Kodak Company Imager package substrate
US20060060781A1 (en) * 1997-08-11 2006-03-23 Masahiro Watanabe Charged-particle beam apparatus and method for automatically correcting astigmatism and for height detection
CN1122988C (zh) * 1997-08-12 2003-10-01 株式会社三协精机制作所 光传感头装置及其制造方法
US6650421B2 (en) * 1997-08-26 2003-11-18 Nikon Corporation Method and apparatus for inspecting optical device
TW449672B (en) * 1997-12-25 2001-08-11 Nippon Kogaku Kk Process and apparatus for manufacturing photomask and method of manufacturing the same
US6452677B1 (en) * 1998-02-13 2002-09-17 Micron Technology Inc. Method and apparatus for detecting defects in the manufacture of an electronic device
US6628390B1 (en) * 2000-01-24 2003-09-30 Kenneth C. Johnson Wafer alignment sensor using a phase-shifted microlens array
TW550377B (en) * 2000-02-23 2003-09-01 Zeiss Stiftung Apparatus for wave-front detection
US6538721B2 (en) * 2000-03-24 2003-03-25 Nikon Corporation Scanning exposure apparatus
JP3429280B2 (ja) * 2000-09-05 2003-07-22 理化学研究所 画像のレンズ歪みの補正方法
TW552561B (en) * 2000-09-12 2003-09-11 Asml Masktools Bv Method and apparatus for fast aerial image simulation
EP1332510B1 (en) * 2000-09-20 2011-11-16 Fei Company Real time monitoring for simultaneous imaging and exposure in charged particle beam systems
JP2002190443A (ja) * 2000-12-20 2002-07-05 Hitachi Ltd 露光方法およびその露光システム
US6747282B2 (en) * 2001-06-13 2004-06-08 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
US7085431B2 (en) * 2001-11-13 2006-08-01 Mitutoyo Corporation Systems and methods for reducing position errors in image correlation systems during intra-reference-image displacements
US6778275B2 (en) * 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
DE10224363A1 (de) * 2002-05-24 2003-12-04 Zeiss Carl Smt Ag Verfahren zur Bestimmung von Wellenfrontaberrationen
US6828542B2 (en) 2002-06-07 2004-12-07 Brion Technologies, Inc. System and method for lithography process monitoring and control
US7019312B2 (en) * 2002-06-20 2006-03-28 Mapper Lithography Ip B.V. Adjustment in a MAPPER system
US6880942B2 (en) * 2002-06-20 2005-04-19 Nikon Corporation Adaptive optic with discrete actuators for continuous deformation of a deformable mirror system
US6897940B2 (en) * 2002-06-21 2005-05-24 Nikon Corporation System for correcting aberrations and distortions in EUV lithography
US7157703B2 (en) * 2002-08-30 2007-01-02 Ebara Corporation Electron beam system
JP2004111579A (ja) * 2002-09-17 2004-04-08 Canon Inc 露光方法及び装置
US7042550B2 (en) * 2002-11-28 2006-05-09 Asml Netherlands B.V. Device manufacturing method and computer program
KR20040060509A (ko) * 2002-12-30 2004-07-06 동부전자 주식회사 Cmos 이미지 센서
JP4235459B2 (ja) * 2003-01-22 2009-03-11 キヤノン株式会社 アライメント方法及び装置並びに露光装置
US7245356B2 (en) * 2003-02-11 2007-07-17 Asml Netherlands B.V. Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
US7053355B2 (en) * 2003-03-18 2006-05-30 Brion Technologies, Inc. System and method for lithography process monitoring and control
EP1642222A2 (en) * 2003-06-25 2006-04-05 BAE Systems PLC Design optimisation of computationally intensive design problems
TWI237358B (en) * 2003-06-27 2005-08-01 Hon Hai Prec Ind Co Ltd Packaging structure of imaging sensor
US6934930B2 (en) * 2003-07-08 2005-08-23 Texas Instruments Incorporated Generating an optical model for lens aberrations
JP3983206B2 (ja) * 2003-08-21 2007-09-26 日本板硝子株式会社 画像読取装置
TWI263859B (en) * 2003-08-29 2006-10-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US6842247B1 (en) * 2003-09-25 2005-01-11 Asml Netherlands B.V. Reticle independent reticle stage calibration
SG2014014971A (en) * 2003-09-29 2014-04-28 Nippon Kogaku Kk Exposure apparatus, exposure method, and device manufacturing method
JP4466300B2 (ja) 2003-09-29 2010-05-26 株式会社ニコン 露光装置及び露光方法並びにデバイス製造方法、計測装置
JP4515209B2 (ja) 2003-10-02 2010-07-28 株式会社ニコン 露光装置及び露光方法、並びにデバイス製造方法
US7057187B1 (en) * 2003-11-07 2006-06-06 Xradia, Inc. Scintillator optical system and method of manufacture
US20050109916A1 (en) * 2003-11-21 2005-05-26 Eastman Kodak Company Large pixel micro-lens
SG148993A1 (en) * 2003-12-03 2009-01-29 Nikon Corp Exposure apparatus, exposure method, method for producing device, and optical part
JP2005175034A (ja) * 2003-12-09 2005-06-30 Canon Inc 露光装置
WO2005069082A1 (en) * 2003-12-19 2005-07-28 International Business Machines Corporation Differential critical dimension and overlay metrology apparatus and measurement method
SG112969A1 (en) * 2003-12-22 2005-07-28 Asml Netherlands Bv Lithographic apparatus and methods for use thereof
JP4513590B2 (ja) 2004-02-19 2010-07-28 株式会社ニコン 光学部品及び露光装置
US8027813B2 (en) * 2004-02-20 2011-09-27 Nikon Precision, Inc. Method and system for reconstructing aberrated image profiles through simulation
SG116600A1 (en) * 2004-04-09 2005-11-28 Asml Masktools Bv Optical proximity correction using chamfers and rounding at corners.
US7463367B2 (en) * 2004-07-13 2008-12-09 Micron Technology, Inc. Estimating overlay error and optical aberrations
TWM267483U (en) * 2004-07-16 2005-06-11 Kingpak Tech Inc Image sensor module
TWI396225B (zh) * 2004-07-23 2013-05-11 尼康股份有限公司 成像面測量方法、曝光方法、元件製造方法以及曝光裝置
US7331033B2 (en) * 2004-08-27 2008-02-12 Applied Materials, Israel, Ltd. Simulation of aerial images
US20060055704A1 (en) * 2004-09-10 2006-03-16 Kruk James L Empty space reduction for auto-generated drawings
US7308368B2 (en) * 2004-09-15 2007-12-11 Asml Netherlands B.V. Method and apparatus for vibration detection, method and apparatus for vibration analysis, lithographic apparatus, device manufacturing method, and computer program
US7196322B1 (en) * 2004-10-08 2007-03-27 Kingpak Technology Inc. Image sensor package
JP2008523426A (ja) * 2004-12-09 2008-07-03 カール・ツアイス・エスエムテイ・アーゲー マイクロリソグラフィ投影露光装置用の透過光学素子および対物レンズ
US20060139607A1 (en) * 2004-12-23 2006-06-29 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7312852B2 (en) * 2004-12-28 2007-12-25 Asml Netherlands B.V. Polarized radiation in lithographic apparatus and device manufacturing method
JP4652830B2 (ja) * 2005-01-26 2011-03-16 キヤノン株式会社 収差調整方法、デバイス製造方法及び荷電粒子線露光装置
US7282701B2 (en) * 2005-02-28 2007-10-16 Asml Netherlands B.V. Sensor for use in a lithographic apparatus
US20060219947A1 (en) * 2005-03-03 2006-10-05 Asml Netherlands B.V. Dedicated metrology stage for lithography applications
KR20070115860A (ko) * 2005-03-30 2007-12-06 가부시키가이샤 니콘 노광 장치 및 노광 방법, 그리고 디바이스 제조 방법
US7251015B2 (en) * 2005-06-27 2007-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography mask critical dimension metrology system and method
US7749666B2 (en) * 2005-08-09 2010-07-06 Asml Netherlands B.V. System and method for measuring and analyzing lithographic parameters and determining optimal process corrections
US7695876B2 (en) * 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
TWI289365B (en) * 2005-09-29 2007-11-01 Visera Technologies Co Ltd Wafer scale image module
EP1785714B1 (en) * 2005-11-15 2017-02-22 Olympus Corporation Lens evaluation device
US7459669B2 (en) * 2005-12-30 2008-12-02 Asml Netherlands B.V. Sensor and lithographic apparatus
US7433791B2 (en) * 2006-02-17 2008-10-07 Asml Masktools B.V. Method of performing multiple stage model calibration for optical imaging simulation models
US20070201013A1 (en) * 2006-02-28 2007-08-30 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and energy sensor
US7643976B2 (en) * 2006-02-28 2010-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for identifying lens aberration sensitive patterns in an integrated circuit chip
US7638247B2 (en) * 2006-06-22 2009-12-29 Pdf Solutions, Inc. Method for electron beam proximity effect correction
JP4490406B2 (ja) * 2006-10-11 2010-06-23 浜松ホトニクス株式会社 固体撮像装置
JP4707701B2 (ja) * 2006-11-08 2011-06-22 エーエスエムエル マスクツールズ ビー.ブイ. 瞳を有する光学結像システムの結像性能をシミュレーションするモデルを生成する方法およびコンピュータプログラム
US7566854B2 (en) * 2006-12-08 2009-07-28 Advanced Chip Engineering Technology Inc. Image sensor module
US8975599B2 (en) 2007-05-03 2015-03-10 Asml Netherlands B.V. Image sensor, lithographic apparatus comprising an image sensor and use of an image sensor in a lithographic apparatus
JP4694602B2 (ja) * 2008-09-02 2011-06-08 シャープ株式会社 固体撮像装置およびそれを備えた電子機器

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030128870A1 (en) * 2002-01-08 2003-07-10 Pease R. Fabian W. System and method for aerial image sensing
US20030215965A1 (en) * 2002-05-20 2003-11-20 Ilya Grodnensky Method and apparatus for position measurement of a pattern formed by a lithographic exposure tool
JP2005322856A (ja) * 2004-05-11 2005-11-17 Nikon Corp 開口数の計測方法、計測装置、調整方法、露光装置、および露光方法
US20060055904A1 (en) * 2004-09-13 2006-03-16 Asml Netherlands B.V. Method and system for aligning a first and second marker

Also Published As

Publication number Publication date
US8975599B2 (en) 2015-03-10
CN101720449B (zh) 2012-08-08
WO2008136666A2 (en) 2008-11-13
US20100195071A1 (en) 2010-08-05
US9329500B2 (en) 2016-05-03
EP2142961B1 (en) 2019-06-05
JP2010526435A (ja) 2010-07-29
WO2008136666A3 (en) 2009-01-15
TW200907555A (en) 2009-02-16
TWI418924B (zh) 2013-12-11
EP2142961A2 (en) 2010-01-13
KR20100013318A (ko) 2010-02-09
CN101720449A (zh) 2010-06-02
JP5008765B2 (ja) 2012-08-22
US20080273183A1 (en) 2008-11-06

Similar Documents

Publication Publication Date Title
CN102576188B (zh) 用于确定衬底上的对象的近似结构的方法、检验设备以及衬底
US8345265B2 (en) Lithographic apparatus and methods for compensating substrate unflatness, determining the effect of patterning device unflatness, and determining the effect of thermal loads on a patterning device
KR100965616B1 (ko) 투과 이미지 감지를 위한 디바이스 및 방법
JP2007013192A (ja) 測定方法及び較正基板
US8334983B2 (en) Lithographic apparatus and device manufacturing method
KR101132692B1 (ko) 리소그래피 장치 및 디바이스 제조 방법
US20070115452A1 (en) Method of measuring the magnification of a projection system, device manufacturing method and computer program product
KR101129529B1 (ko) 리소그래피 장치 및 디바이스 제조 방법
US7532307B2 (en) Focus determination method, device manufacturing method, and mask
KR20090052284A (ko) 리소그래피 투영 장치의 포커스를 측정하는 방법
US20220350268A1 (en) Metrology mark structure and method of determining metrology mark structure
US7333175B2 (en) Method and system for aligning a first and second marker
KR101192675B1 (ko) 리소그래피용 이미지 센서
US7436502B2 (en) Illumination beam measurement
NL1035629A1 (nl) Device and method for transmission image sensing.
KR20110046355A (ko) 캘리브레이션 방법 및 이러한 캘리브레이션 방법을 이용하는 리소그래피 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20151002

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20181005

Year of fee payment: 7