KR101051243B1 - 기판의 플라즈마 처리장치 및 플라즈마 처리방법 - Google Patents

기판의 플라즈마 처리장치 및 플라즈마 처리방법 Download PDF

Info

Publication number
KR101051243B1
KR101051243B1 KR1020090006307A KR20090006307A KR101051243B1 KR 101051243 B1 KR101051243 B1 KR 101051243B1 KR 1020090006307 A KR1020090006307 A KR 1020090006307A KR 20090006307 A KR20090006307 A KR 20090006307A KR 101051243 B1 KR101051243 B1 KR 101051243B1
Authority
KR
South Korea
Prior art keywords
high frequency
substrate
supply
frequency power
turning
Prior art date
Application number
KR1020090006307A
Other languages
English (en)
Other versions
KR20090084694A (ko
Inventor
우이 아키오
타마오키 나오키
이치카와 타카시
하야시 히사타카
카미나츠이 타케시
히모리 신지
야마다 노리카주
오세 타케시
아베 준
Original Assignee
도쿄엘렉트론가부시키가이샤
가부시끼가이샤 도시바
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤, 가부시끼가이샤 도시바 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20090084694A publication Critical patent/KR20090084694A/ko
Application granted granted Critical
Publication of KR101051243B1 publication Critical patent/KR101051243B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32027DC powered
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32045Circuits specially adapted for controlling the glow discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명에 따른 기판의 플라즈마 처리장치는, 챔버 내에 배치되는 기판 유지 전극 및 대향전극(counter electrode)과, 상기 기판 유지 전극에 50㎒ 이상의 고주파를 인가하는 고주파 발생장치, 상기 고주파와 중첩하도록 DC 네가티브 펄스를 인가하는 DC 네가티브 펄스 발생장치 및, 고주파의 간헐적인 인가를 수행하고 이 고주파의 턴 온 또는 턴 오프의 타이밍에 따라 DC 네가티브 펄스의 간헐적인 인가를 수행하도록 제어하는 제어부를 구비하고 있다.

Description

기판의 플라즈마 처리장치 및 플라즈마 처리방법{SUBSTRATE PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD}
본 발명은, RF 전극과 대향전극이 진공 챔버 내에서 서로 대향하도록 배치되고, RF 전극 상에 유지된 기판이 전극 사이에서 발생된 플라즈마에 의해 처리되는, 소위 평행평판형 기판 플라즈마 처리장치 및 기판 플라즈마 처리방법에 관한 것이다.
배선 등이 반도체 웨이퍼와 같은 기판에 대해 수행될 때, 기판에 대해 미세한 처리(minute processing)가 필요하게 된다. 이러한 목적을 위해, 통상적으로는 플라즈마를 이용한 플라즈마 처리장치가 종종 이용되고 있었다.
도 11은 이러한 종래의 기판 플라즈마 처리장치의 일례에서의 구성을 나타낸 개략도이다.
도 11에 나타낸 기판 플라즈마 처리장치(11)는 소위 평행평판형이라 불리우는 플라즈마 처리장치이다. 기판 플라즈마 처리장치(10)에 있어서는, 고주파(RF) 전극(12)과 대향전극(13)이 챔버(11) 내에서 서로 대향하도록 배치된다. 대향전극(13)과 대향하는 RF 전극의 주면 상에는 처리가 수행되어야 할 기판(S)이 유지된다. 가스 도입 파이프(14)로부터는 플라즈마를 발생시키고 그에 따라 기판(S)을 처리하기 위해 이용되는 가스가 화살표로 나타낸 바와 같이 챔버(11) 내로 도입된다. 이에 따라, 도시하지 않은 진공 펌프가 배출 포트(15)로부터 챔버(11)의 내부를 배기하기 위해 이용된다. 이 때, 챔버(11) 내부의 압력은 예컨대 약 1Pa이다.
다음에, RF(전압)가 13.56MHz의 상업용 RF 전원공급기(17)로부터 정합 장치(16)를 매개로 RF 전극(12)에 인가된다. 이에 따라, RF 전극(12)과 대향전극(13) 사이에 플라즈마가 발생된다.
이 때, 플라즈마(P)의 포지티브 이온이 RF 전극(12) 상에서 발생된 네가티브 셀프-바이어스 전위(Vdc)에 의해 RF 전극(12) 상의 기판(S)으로 고속으로 입사된다. 그 결과, 그때의 기판 입사 에너지는 기판(S) 상의 표면 반응을 유도하기 위해 이용되고, 그에 따라 RIE(reactive ion etching), PCVD(plasma chemical vapor deposition), 스퍼터링, 이온 주입 등과 같은 플라즈마 기판 처리가 수행된다. 특히, 처리 기판의 관점으로부터는 RIE가 주로 이용된다. 따라서, 이하의 설명에서는 특히 RIE를 이용한 기판 처리를 중심으로 하여 상술한다.
도 11에 나타낸 바와 같은 플라즈마 처리장치에 있어서는, Vdc(평균 기판 입사 에너지)는 도 12에 나타낸 바와 같이 RF 전력이 증가함에 따라 증가한다. 따라서, 처리속도를 조정하고 처리 형상을 조정하기 위해 주로 RF 전력에 의한 Vdc의 조정이 수행된다. 또, Vdc는 Vdc가 의존하는 압력이나 전극 형상에 의해서도 부분적으로 조정될 수 있다.
도 13은 이온 에너지 분포를 얻기 위해 연속 모델 플라즈마 시뮬레이터(G. Chen, L. L. Raja, J. Appl. Phys. 96, 6073(2004))에 의해, 13MHz의 주파수, Vrf = 160V, 6.6Pa의 압력, 전극 사이의 거리 30mm 및 300mm 웨이퍼 크기를 갖는 평행평판형 Ar 플라즈마를 시뮬레이션한 결과를 나타낸다.
기판(S)으로의 입사 에너지는 도 13에 나타낸 바와 같은 이온 에너지 분포를 나타낸다. 도 13으로부터 명확해진 바와 같이, 도 11에 나타낸 바와 같은 장치에서 발생된 플라즈마의 이온 에너지는, 저에너지 피크와 고에너지 피크의 2개로 분할되고, 그 에너지폭(△E)은 플라즈마 발생 조건에 따라 수십∼수백 eV로 넓어진다. 따라서, Vdc가 기판 처리에 최적인 에너지로 조정될 때에도, 도 14에 나타낸 바와 같이 기판으로 입사되는 이온 사이에는 상당히 높은 에너지(고에너지 피크)를 갖는 이온과 상당히 낮은 에너지(저에너지 피크)를 갖는 이온이 존재한다.
따라서, 예컨대 RIE에 있어서는, 기판 처리가 고에너지 피크에 상당하는 에너지를 갖는 이온에 의해 수행될 때, 숄더 커팅(shoulder cutting: shoulder dropping)을 유발하여 처리 향상을 악화시키는 경향이 있다. 한편, 기판 처리가 저에너지 피크에 상당하는 에너지를 갖는 이온에 의해 수행될 때는, 표면 반응 임계치 이하에서는 기판 처리에 전혀 기여하지 않거나, 또는 이방성의 열화(이온 입사 각도가 열 속도에 의해 넓어짐)에 따라 처리 형상을 악화시키는 경향이 있다.
이러한 관점에서 현재의 반도체 처리에 있어서는, 더욱 더 축소되고 있는 반도체 장치, 다양한 막 및 복합 막의 RIE에 대응하는 처리 형상을 미세하게 제어하기 위해, 도 14에서 실질적으로 중앙부에 사선으로 나타낸 바와 같이 이온 에너지의 밴드를 좁히고(작은 △E의 실현), 평균 에너지 값을 최적으로 조정(Vdc의 최적화)하는 것이 필요하게 된다.
이온 에너지의 밴드를 좁히기 위해서는, 더 높은 RF 주파수의 이용(예컨대, 일본 특허 공개 제2003-234331호에 언급)과 펄스 플라즈마의 이용(예컨대, J, Appl. Phys. Vol. 88, No. 2, 643 (2000)에 언급)이 검토되고 있다.
더욱이, 플라즈마 발생은 유도결합형(inductive coupling type)과 용량결합형(capacitive coupling type)으로 크게 분류된다. 처리 형상의 미세 제어의 관점에서, 2차 반응을 억제하기 위해 플라즈마 체적을 감소시킴으로써 체류 시간을 짧게 하는 것이 효과적이다. 이러한 관점에서, 용량결합형의 평행평판형 플라즈마는 큰 체적을 갖는 유도결합형 플라즈마에 비해 더욱 유리하다.
더욱이, Vdc와 플라즈마 밀도의 제어성 향상을 목적으로, 고주파(예컨대 100MHz) RF로 플라즈마 밀도를, 저주파(예컨대, 3MHz) RF로 Vdc를 독립적으로 제어하기 위해 평행평판 전극에 2개의 다른 주파수를 갖는 RF를 도입하는 방법도 발명되어 있다(예컨대, 일본 공개 특허 제2003-234331). 이 경우는, 고주파 전원 공급기와 고주파 정합장치에 더하여, 저주파 전원 공급기와 저주파 정합장치가 설치되고, 따라서 RF 전극에 관하여 상기한 고주파 RF와 저주파 RF의 중첩을 허용하고있다.
세정 처리와 처리 안정화의 관점에서, 대향전극이 접지 전위인 것이 유리하다. RF가 대향전극에 인가될 때, 대향전극은 그 대향전극 표면에서 발생되는 Vdc에 의해 부식되어 오염원이나 처리에 대한 불안정성의 원인으로 된다. 따라서, 2개의 RF는 기판이 설치된 RF 전극에 중첩된다.
더욱이, RF의 펄스화(pulsing)에 의해, 전자 온도를 낮추는 것(예컨대 J. Appl. Phys. Vol. 86, No. 9, pp 4813-4820 (1999) 참조), 상기 프로세스를 방해하는 래디컬(예컨대, 불소기(fluorine radical))의 밀도를 억제하는 것(예컨대 Appl. Phys. Lett., Vol. 63. No 15, pp. 2045-2046 (1993) 참조) 및, 플라즈마 에칭(etching)의 선택성(예컨대 산화실리콘/실리콘의 에칭속도의 비율)을 향상시키는 것(예컨대 J. Vac. Sci. Technol. A 13, pp887-893 (1995))이 시도되고 있다.
상기한 바와 같이, 통상적으로는 고주파 RF(HF)의 펄스화나 래디컬 밀도 제어를 위한 고주파 RF(HF)와 저주파 RF(LF)의 중첩 인가 등에 의해 전자 온도를 낮추는 것에 기인하여 플라즈마 손상을 억제하는 것이 시도되고 있다.
더욱이, 본 발명들은 DC 네가티브 펄스 및 고주파 RF(HF)를 중첩해서 인가하는 것을 검토하고 있다. 상기 기술에서, DC 네가티브 펄스를 중첩해서 인가함으로써, 양이온 에너지 밴드가 더 좁아져 처리에 바람직한 에너지 밴드로 쉽게 제어할 수 있기 때문에, 플라즈마 에칭 처리의 정확성을 향상시키고, 플라즈마 손상을 억제하며, 플라즈마 CVD에 내장된 특성을 향상시키게 된다. 반면에, 상기 래디컬 밀도는 HF(RF)의 펄스화에 의하여 제어되고, 전자 온도의 감소에 기인하는 플라즈마 손상의 억제가 기대된다.
상기 DC 네가티브 펄스 및 상기 펄스화된 고주파 RF(HF)를 중첩해서 인가함으로써, 예컨대 CF4 가스에 의한 산화막의 이방성 에칭에 있어서는 불소(F)기의 밀도가 감소하고(등방성 에칭이 감소), CF3 + 등의 이온 래디컬에 의한 이방성 에칭이 증가하며, 게다가 상기 이온 에너지가 좁은 밴드로 제어된다. 더욱이, 측벽 보호막(이방성의 촉진)의 생성원으로 되는 CF2 등의 래디컬 밀도가 증가한다. 상기 래디컬의 종류 선택 작용 및 에너지 선택 작용에 의해, 프로세스 제어성의 향상과 더불어 처리 성능에서의 현저한 향상이 실현된다.
그러나, 후에 설명되는 도 7a 및 7b(펄스화된 HF 및 DC 네가티브 펄스가 중첩되어 인가될 때의 플라즈마 밀도, 전자 온도 및 프로세스의 시간 변화(time variation)를 시뮬레이션한 분석 결과)에 나타낸 바와 같이, 고주파 전력(HF)의 턴 오프와 더불어 전자 온도는 상당히 짧은 시간(5×10-6sec 또는 더 짧은 시간)에 낮아지고, 그리고 전자 충돌 및 이온화에 의한 이온 및 전자의 생성은 정지된다. 이러한, 소위 잔광상태(afterglow state)에 있어서 DC 네가티브 펄스가 인가되면, 상기 플라즈마 중의 전자와 이온이 상기 플라즈마로부터 인출되고, 상기 플라즈마는 불안정하게 되어 소멸된다. 상기 플라즈마의 이러한 소멸은 상기 처리속도의 감소, 재발화 시의 장치 손상 및, 프로세스 불안정화를 유발한다. 더욱이, 도 9에 나타낸 바와 같이 DC 네가티브 펄스를 이용하여 산화막 또는 질화막과 같은 절연체(100)를 처리할 때, 전자 부족에 기인한 차지업(charge-up)이 트렌치(101)의 저부(底部; 102)에서 발생하는 경우가 있다. 이러한 차지업이 발생하면, 이온 편향에 기인한 처리 형상의 악화, 에칭 정지, 또는 충전 전압에 기인한 상기 장치로의 손상을 유발한다.
본 발명은 상술한 종래의 상황을 감안해서 이루어진 것으로, 그 목적은 소위 평행평판형의 플라즈마 처리 장치에 있어서, 회로 기판의 처리에 적합한 래디컬 종류 밀도를 증가시키고, 정교한 처리를 위하여 이온 래디컬 에너지를 회로 기판 처리에 적합한 에너지값 및 좁은 에너지 밴드로 제어할 수 있으며, 나아가 우수한 매립막 성막을 수행할 수 있는 기판의 플라즈마 처리장치 및 기판의 플라즈마 처리 방법을 제공하는 것이다.
본 발명에 따른 기판의 플라즈마 처리장치는, 그 내부를 진공으로 유지할 수 있는 챔버와, 상기 챔버 내에, 그 주표면 상에서 처리해야 할 기판을 유지하는 제1전극, 상기 챔버 내에, 상기 제1전극과 대향하도록 배치된 대향전극, 50㎒ 이상의 소정의 주파수를 갖는 고주파 전력을 상기 제1전극에 인가하도록 구성된 제1공급부, 상기 고주파 전력과 중첩하도록 해서 소정의 DC 네가티브 펄스를 상기 제1전극에 인가하도록 구성된 제2공급부 및, 소정의 타이밍에서 상기 제1공급부의 턴 온 또는 오프를 제어함으로써 고주파 전력의 간헐적인 인가를 수행하고, 상기 제1공급부의 턴 온 또는 오프의 타이밍에 따라 상기 제2공급부의 턴 온 또는 오프를 제어함으로써 상기 DC 네가티브 펄스의 간헐적인 인가를 수행하도록 제어하는 제어부를 구비한 것을 특징으로 한다.
본 발명에 따른 기판의 플라즈마 처리방법은, 그 내부를 진공으로 유지할 수 있는 챔버와, 상기 챔버 내에, 그 주표면 상에서 처리해야 할 기판을 유지하는 제1전극, 상기 챔버 내에, 상기 제1전극과 대향하도록 배치된 대향전극, 50㎒ 이상의 소정의 주파수를 갖는 고주파 전력을 상기 제1전극에 인가하도록 구성된 제1공급부 및, 상기 고주파 전력과 중첩하도록 해서 소정의 DC 네가티브 펄스를 상기 제1전극에 인가하도록 구성된 제2공급부를 포함하는 기판의 플라즈마 처리장치를 이용한 기판의 플라즈마 처리방법으로서, 소정의 타이밍에서 상기 제1공급부로부터의 고주파 전력을 턴 온 또는 오프시킴으로써 고주파 전력의 간헐적인 인가를 수행하는 단계와, 상기 고주파 전력의 턴 온 또는 오프의 타이밍에 따라 상기 제2공급부를 턴 온 또는 오프시킴으로써 상기 DC 네가티브 펄스의 간헐적인 인가를 수행하는 단계를 구비하여 이루어진 것을 특징으로 한다.
이하, 본 발명에 따른 기판 플라즈마 처리장치와 기판 플라즈마 처리방법의 실시예를 도면을 참조하면서 설명한다. 먼저, 기판 플라즈마 처리장치의 실시예를 도 1을 참조하면서 설명한다.
도 1에 나타낸 바와 같이, 본 실시예의 기판 플라즈마 처리장치(20)는 평행평판형이라 불리는 프라즈마 처리장치이다. 소정의 진공도로 진공배기시킬 수 있는 챔버(21) 내에는, 기판보지전극(고주파 전극; 22)과 대향전극(23)이 서로 대향하도록 배치되어 있다. 대향전극(23)과 대향하는 이 기판보지전극(22)의 주표면 상에는 처리에 제공해야 할 기판(S)을 보지할 수 있는 구조로 되어 있다. 챔버(21)에는, 도시하지 않은 진공펌프에 연결된 배기 포트(25)와 가스 도입파이프(24)가 설치되어 있다. 플라즈마를 생성해서 기판(S)을 처리하는데 제공해야 할 가스를 화살표로 나타낸 바와 같이 가스 도입파이프(24)로부터 챔버(21) 내로 도입함과 더불어, 챔버(21)의 내부를 배기포트(25)를 매개로 진공배기하도록 되어 있다.
상기 가스로는, Ar, Kr, Xe, N2, O2, CO, H2 가스 이외에, 예를 들어 SF6, CF4, CH3F, C2F6, C4F8, C5F8, C4F6, Cl2, HBr, SiH4, SiF4 등의 처리가스를 적절히 사용할 수 있다. 또, 챔버(21) 내의 압력은 기판(S)의 처리속도와 사용하는 가스의 종류에 따라서 적절히 설정할 수 있고, 예를 들어 대략 수 Pa로 유지할 수 있다.
기판보지전극(22)에는, 고주파 발생장치(27)와 DC 네가티브 펄스 발생장치(29)가 연결되어 있다. 또, 고주파 발생장치(27)와 DC 네가티브 펄스 발생장치(29)에는 제어기(30)가 연결되어 있는데, 이 제어기(30)는 이들의 동작을 제어한다. 도 1에 그 파형을 개략적으로 나타낸 바와 같이, 고주파 발생장치(27)는 50MHz 이상의 소정 주파수를 가진 펄스화된 고주파 전력(HF)을 발생시키고, DC 네가티브 펄스 발생장치(29)로부터는 펄스화된 DC 네가티브 펄스 전압이 발생된다. 그리고, 이들 신호가 기판보지전극(22)에 중첩되어 인가된다.
도 2는 상술한 고주파 발생장치(27)와 DC 네가티브 펄스 발생장치(29)의 구성을 나타낸다. 이 도면에 나타낸 바와 같이, 고주파 발생장치(27)는 고주파 발진기(270)와 고주파 증폭기(271)와 정합장치(272)와 고역통과필터(High Pass Filter: HPF)(273)를 구비하고 있다. 더욱이, 고역통과필터(273)는 정합장치(272)에 포함될 수 있다. 또, DC 네가티브 펄스 발생장치(29)는 펄스 발진기(290)와 펄스 증폭기(291)와 저역통과필터(Low Pass Filter: LPF)(292)를 구비하고 있다.
제어기(30)는 트리거 신호 발생기로 이루어지는데, 도면에서 화살표로 나타낸 바와 같이 고주파 발생방치(27)용 트리거 신호(A)는 고주파 증폭기(271)에 입력되고, DC 네가티브 펄스 발생장치용 트리거 신호(B)는 펄스 발진기(290)에 입력된다. 그리고, 제어기(30)로부터 출력되는 트리거 신호(A)는 고주파 증폭기(271)가 고주파의 진폭을 펄스화된 방식으로 증폭하여 온/오프 동작을 수행하도록 한다. 또, 제어기(30)로부터 출력되는 트리거 신호(S)는 펄스 발진기(290)가 펄스 발생의 온/오프 동작을 수행하도록 한다. 그리고, 정합장치(272)와 LF 신호를 차단하기 위한 고역통과필터(273)를 통과하는 증폭된 고주파와, 펄스 증폭기(291)에 의해 증폭되어 신호의 역류를 방지하기 위한 저역통과필터(292)를 통과하는 DC 네가티브 펄스는 기판보지전극(22)에 중첩되어 인가된다. 고주파 발생 제어용 트리거 신호(A)와 DC 네가티브 펄스 발생 제어용 트리거 신호(B)는 제어기(30)에서 동기화되고 타이밍이 제어된다.
상술한 바와 같은 제어기(30)에 의해 고주파 및 DC 네가티브 펄스의 온/오프 동작을 제어하는 것은 도 3과 도 4에 나타낸 바와 같이 수행되어도 좋다. 도 3에 나타낸 경우는, 제어기(30)로부터 출력되는 트리거 신호(A)는 고주파 증폭기(271)가 펄스화된 방식으로 고주파의 진폭을 증폭하여 온/오프 동작을 수행하도록 한다. 제어기(30)로부터 출력되는 트리거 신호(B)는 펄스 증폭기(291)가 펄스화된 방식으로 펄스의 진폭을 증폭하여 온/오프 동작을 수행하도록 한다. 도 4에 나타낸 경우는, 제어기(30)로부터 출력되는 트리거 신호(A)는 고역통과필터(273)가 고주파를 통과시키는 동작을 수행하도록 한다. 그리고 제어기(30)로부터 출력되는 트리거 신호(B)는 저역통과필터(292)가 펄스를 통과시키는 동작을 수행하도록 한다. 필터에서의 신호의 차단이나 통과는 필터 내의 캐패시터나 코일과 같은 가변소자의 변동에 의해 수행된다. 이에 따라, 고주파 및 DC 네가티브 펄스의 온/오프 동작의 제어가 수행된다.
그 이외에 비록 도시하지는 않았지만, 제어기(30)로부터 출력되는 트리거 신호(A)에 의해 고주파 발진기(270)와 고주파 증폭기(271)와 고역통과필터(273) 중 하나를 제어하여 고주파 전력의 온/오프 동작을 수행하는 것과, 제어기(30)로부터 출력되는 트리거 신호(B)에 의해 펄스 발진기(290)와 펄스 증폭기(291)와 저역통과필터(292) 중 하나를 제어하여 DC 네가티브 펄스 전압의 온/오프 동작을 수행하는 것의 제어 위치의 조합을 자유롭게 선택할 수 있다.
또, 고주파 발진기(270)와 고주파 증폭기(271)와 고역통과필터(273) 중 하나가 외부 트리거 기능을 가지며 이로부터 출력되는 트리거 신호(B)로 펄스 발진기(290)와 펄스 증폭기(291)와 저역통과필터(292) 중 하나를 제어하거나, 또는 펄스 발진기(290)와 펄스 증폭기(291)와 저역통과필터(292) 중 하나가 외부 트리거 기능을 가지며 이로부터 출력되는 트리거 신호(A)로 고주파 발진기(270)와 고주파 증폭기(271)와 고역통과필터(273) 중 하나를 제어하도록 할 수도 있다. 또, 고주파와 DC 네가티브 펄스들의 오프 동작은 펄스화된 방식으로 온/오프 동작되는 것이 바람직하지만, 오프 동작은 온 동작으로부터 10배 이상으로 다르게 펄스화된 진폭변동 동작이어도 좋다.
기판 플라즈마 처리가 상기 구성을 가진 기판 플라즈마 처리장치(20)에 의해 수행되는 경우, 그 위에 레지스트 마스크가 형성된 기판(S)으로서 예컨대 300mm 웨이퍼를 기판보지전극(22)에 탑재한다. 다음에, 챔버(21)를 진공배기하고, 소정의 처리가스로서 예컨대 CF4 가스를 소정의 유량으로 예컨대 200sccm으로 대향전극(샤워 헤드; 23)으로부터 공급한다. 이에 따라, 챔버(21) 내의 진공도를 도시하지 않은 배기 밸브에 의해 소정의 압력, 예를 들어 2.66Pa로 조정한다. 그리고, 웨이퍼를 탑재한 기판보지전극(22)에는, 고주파 발생장치(27)로부터 출력되는 고주파와 DC 네가티브 펄스 발생장치(29)로부터 출력되는 DC 네가티브 펄스가 도 1의 블록도와 도 5의 타이밍도에 나타낸 바와 같이 중첩하여 인가된다.
고주파 발생장치(27)로부터 출력되는 고주파는 50MHz 이상의 소정의 주파수를 가지며, 예를 들어 100MHz와 100V의 고주파가 사용된다. 도 5에 나타낸 바와 같이, 소정의 주기와 소정의 듀티비 예컨대 10kHz의 주기와 50%의 듀티비를 가진 트리거 신호(A)를 제어기(30)로부터 수신하면 이 고주파는 50㎲의 시간간격으로 온/오프 제어된다. 또, DC 네가티브 펄스 발생장치(29)로부터는, 소정의 반복주기와 소정의 듀티비 예컨대 1MHz의 반복주기와 80%의 듀티비의 -500V의 DC 네가티브 펄스가 발생되고, 이 DC 네가티브 펄스는 트리거 신호(A)와 동기한 트리거 신호(B)를 수신하면 50㎲의 시간간격으로 온/오프 제어된다. 도 5의 최하부에 나타낸 바와 같이, 이 신호들은 기판보지전극(22)에 중첩하여 인가된다. 도 5에 나타낸 타이밍도에서는, 고주파의 턴 온과 동시에 DC 네가티브 펄스가 턴 온되고, 고주파의 턴 오프와 동시에 DC 네가티브 펄스가 턴 오프된다.
도 7a는 상술한 바와 같이 펄스화된 고주파와 DC 네가티브 펄스가 중첩하여 인가된 때의 전자의 밀도와 전자의 온도의 시간적 변화를 나타낸다. 도 7b는 고주파 전력과 네가티브 펄스 전압 및 그 시간축을 확대한 것을 나타내고 있다. 그리고, 도 8a와 도 8b는 불소기(fluorine radical)의 밀도와 실리콘 기판의 에칭속도의 시간적 변화에 대하여 전형적인 고주파 플라즈마의 경우와 상술한 펄스화된 고주파와 DC 네가티브 펄스를 중첩하여 인가하는 경우를 비교한 결과를 나타낸다. 이들 결과는 리액션 디자인 사가 제조한 CHEMKIN을 사용하여 CF4 가스계에 의한 실리콘 산화막의 이방성 에칭속도를 시뮬레이션한 것이다. 화학반응 모델로서는, 폴린 호(Paulin Ho)들에 의한 모델을 사용했다(J, Vac, Sci, Technol. A (2001) 참조).
도 7a에 나타낸 바와 같이, 펄스화된 고주파와 DC 네가티브 펄스를 도 5의 타이밍도와 같이 중첩하여 인가하면, 안정된 플라즈마가 생성된다. 즉, 상술한 바와 같이, 전자의 온도는 고주파 전력(HF)의 턴 오프와 더불어 신속하게(5×10-6sec 또는 더 짧게) 낮아지고, 전자의 충돌과 이온화에 의한 이온과 전자의 발생은 정지된다. 이러한 잔광상태(afterglow state)에 있어서 DC 네가티브 펄스가 인가되면, 플라즈마 중의 전자와 이온은 플라즈마로부터 인출되고, 플라즈마는 불안정하게 되어 소멸된다. 그리고, 플라즈마의 소멸은 처리속도의 감소, 재발화 시의 장치 손상 및 처리의 불안정화를 유발한다. 한편, 도 5의 타이밍도에 나타낸 바와 같이, 본 실시예에서는 DC 네가티브 펄스가 고주파의 턴 온과 동시에 턴 온되고 고주파의 턴 오프와 동시에 턴 오프된다. 따라서, DC 네가티브 펄스는 잔광 상태에서 인가되는 일이 없어 안정된 플라즈마가 생성된다.
또, 도 8a에 나타낸 바와 같이, 고주파가 턴 오프되는 경우의 전자의 온도를 낮추는 것(전자의 평균 온도를 낮춤)에 따라, 등방성 에칭에 의해 처리형상을 악화시키는 중성의 불소기의 밀도가 감소한다. 그리고 도 8b에 나타낸 바와 같이, 고주파 펄스와 DC 네가티브 펄스가 인가되는 경우에만, 균일한 에너지 폭(즉, 그 밴드가 좁음)을 갖는 양이온에 의해 우수한 처리형상을 제공하는 등방 성분이 적은 실리콘 산화막의 이방성 에칭이 실현되었다. 또, 보호막을 형성하도록 에칭하는 시스템에서는, 고주파 펄스와 DC 네가티브 펄스가 턴 오프되는 경우 보호막의 형성이 등방성 에칭과는 전혀 관계없이 발생한다.
더욱이, 도 9에 나타낸 바와 같이, 절연체(insulator; 100)를 DC 네가티브 펄스(negative pulse)를 중첩한 플라즈마로 에칭할 때, 큰 애스팩트비(aspect ratio)를 갖는 트렌치(101)의 저부(102)에서는 등방적으로 쏟아지는 전자의 플럭스가 적기 때문에, 저부(102)의 절연체(100)에 포지티브 전하 체적(positive charge bulid-up: 차지업)이 발생한다. DC 네가티브 펄스를 이용하는 경우에는, 저에너지 이온이 감소하기 때문에, 차지업은 더 증가한다. 게다가, 트렌치(101)의 상부 측벽(103)에는 과잉의 전자 플럭스에 의해 네가티브 차지업(순시적인)이 발생한다. 따라서, 트렌치(101)의 저부(102)에서의 차지업에 의해 입사되는 포지티브 이온의 경로가 구부러져 이방성이 열화된다. 그 결과, 처리 정밀도의 열화, 매립(embedding) 특성의 열화 및 에칭 정지가 발생함으로써, 손상을 발생시킨다. 도 9는 전체가 절연체(100)인 경우에 대해 나타내고 있지만, 저부만이 절연체인 경우에도 마찬가지라는 점에 주의해야 한다. 이러한 차지업은, 고주파가 정지되면 발생하고, 고주파가 존재하면 저에너지를 갖는 이온 및/또는 전자에 의해 완화되거나 제거된다.
도 5의 타이밍 차트에 나타낸 바와 같이, 이 실시예에서는 고주파의 턴 온(turn on)과 동시에 DC 네가티브 펄스가 턴 온되고, 고주파의 턴 오프(turn off)와 동시에 DC 네가티브 펄스가 턴 오프되고 있다. 이 때문에, 고주파가 정지되어 있는 동안에 DC 네가티브 펄스만이 인가되는 일은 일어나지 않아 상술한 바와 같은 차지업의 발생을 억제할 수 있다.
더욱이, 이 경우, DC 네가티브 펄스의 인가가 중지되고 고주파만이 인가되면 이 고주파의 인가에 의한 저에너지를 갖는 이온 및 전자에 의해 차지업이 완화되거나 제거된다. 즉, 도 6의 타이밍 차트에 나타낸 바와 같이, 고주파의 턴 오프 시간보다도 소정의 시간(Tpre) 전에 DC 네가티브 펄스의 인가를 중지함으로써, 트렌치부에서의 차지업은 완화된다.
도 10a 및 도 10b는, 도 10a에 나타낸 바와 같은 DC 네가티브 펄스를 연속적으로 인가하는 경우와 비교해서 DC 네가티브 펄스를 간헐적으로 인가하는 경우에, 도 10b에 나타낸 바와 같이 차지업 완화가 발생하는 것을 나타내고 있다. 이들 도 10a 및 도 10b에 나타낸 바와 같이, 연속적으로 인가되는 DC 네가티브 펄스의 수가 n1이고, 펄스 인가시간이 t1(sec: 초)이며, 펄스 중지시간이 t3(sec: 초)라고 하면, 차지업 손상을 방지하기 위해서는 이하의 것이 필요하게 된다.
(1) 고주파 펄스와 동기되는 일련의 DC 네가티브 펄스 인가시간(n1×t1(sec: 초)) 동안에 형성되는 단위면적당 전하량(Y·n1ZeBNiVbti)은 단위면적당 절연한계 전하량(Qmax) 이하로 억제함과 더불어,
(2) 그 후의 DC 네가티브 펄스 인가 시의 차지업에 의한 전하 축적으로 차지업 손상이 발생하는 것을 방지하기 위해, 고주파만의 인가시간(Tpre(sec: 초)) 동안의 단위면적당 전하완화량(ZeBNiVbTpre)은 Qmax 이상으로 될 필요가 있다. 즉, 다음의 식을 만족시키도록 n1, t1 및 Tpre를 제어할 필요가 있다.
Y·n1ZeBNiVbti ≤ Qmax (1)
Qmax = Vmax * (ε0εs / d) (2)
여기서, ε0는 진공의 유전율이고, εs는 처리해야 할 트렌치 저부 절연재료의 비유전율이며, Z는 이온 원자가(ionic valency)이고, Vb는 Vb=(kTe/Mi)1/2과 같은 보옴 속도(Bohm speed)이며, Te는 전자온도이고, k는 볼츠만 상수이며, Mi는 이온질량이고, d는 저부 절연체 막두께이며, Vmax는 내압(withstand voltage)이고, B는 외장 단부 및 벌크부(bulk portion)의 플라즈마 밀도비(≒0.605)이며, Y는 포지티브 이온이 입사될 때의 전하축적에 관련된 비율이고, e는 단위 전하량(elementary electric charge)이며, Ni는 이온밀도이다.
일례로서 게이트산화막을 처리하는 것을 생각해 보면, 게이트 폭이 50㎚이고, d = 10㎚이며, 산화막의 전형적인 파괴내압(destruction withstand voltage)이 10MV/㎝인 경우는, Vmax=10V 및 Qmax=3.54×10-2[C/㎡]으로 된다. 식 (2)로부터, Tpre≥5.18[㎲]로 된다.
더욱이, 1㎒(t1 = 1㎲)의 반복 주파수 및 80% 듀티비를 갖는 DC 펄스의 경우에는, 듀티비를 부가하면 Y = 0.6이라고 예측되고, 식 (1)에서의 Y·ZeBNiVbti = 3.28×10-3[C/㎡]으로 된다. 구체적으로는, t1×n1≤10.8㎲이고 듀티비 50%의 고주파 펄스의 반복 주기를 50㎑ 이상으로 한 경우에는, 식 (1)을 만족하고, 손상을 발생시키지 않는다.
더욱이, 도 7a에 나타낸 바와 같이, 고주파의 턴 온에 의해 전자 온도가 고주파가 안정하게 인가될 때의 전자 온도의 1/2로 회복되도록 하기 위해서는 대략 2㎲의 회복시간(recovery time)이 필요하게 된다. 전자 온도가 회복되기 전에 DC 네가티브 펄스가 턴 온되는 경우는, 플라즈마가 불안정하게 되어, 플라즈마가 순간적으로 소멸되고, 재발화(reigniting) 시의 돌입 전류 응력은 장치로의 손상을 일으킬 가능성이 있다. 따라서, 도 6에 나타낸 바와 같이, 플라즈마의 회복 및 안정화를 위해, 고주파가 턴 온되고 대략 4㎲(Tpost) 정도 후에 DC 네가티브 펄스를 턴 온시킴으로써, 플라즈마를 더 안정화시킬 수 있다.
더욱이, 플라즈마 기판 처리 공정의 진행 및 스위칭에 따라 상기한 Tpre 시간, Tpost 시간, DC 네가티브 펄스의 펄스시간(T1), 펄스의 수(n1) 및 듀티비, 및 HF 펄스 주파수 및 듀티비의 변화는, 장치로의 손상의 억제, 처리형상 제어, 래디컬 밀도(radical density) 제어 및 처리속도의 증가를 위해 유효하게 된다.
본 발명은 상기한 실시예로 한정되는 것은 아니고, 본 발명의 요지를 벗어나지 않는 범위 내에서 다양하게 변형하여 실시할 수 있음은 물론이다.
도 1은 본 발명의 실시예에 따른 기판 플라즈마 처리장치의 구성을 나타낸 도면,
도 2는 도 1의 기판 플라즈마 처리장치의 주요부 구성을 나타낸 도면,
도 3은 도 1의 기판 플라즈마 처리장치의 주요부 구성의 변형예의 구성을 나타낸 도면,
도 4는 도 1의 기판 플라즈마 처리장치의 주요부 구성의 변형예의 구성을 나타낸 도면,
도 5는 도 1의 기판 플라즈마 처리장치 전압 인가의 타이밍을 나타낸 차트,
도 6은 도 1의 기판 플라즈마 처리장치의 전압 인가의 타이밍을 나타낸 차트,
도 7a는 실시예에서의 전자 밀도와 전자 온도의 시간 변화의 시뮬레이션 결과를 나타낸 차트이고; 도 7b는 고주파 전력과 네가티브 펄스 전압 및 그 시간축을 확대해서 나타낸 차트,
도 8a는 실시예에서의 불소 래디칼 밀도를 나타낸 차트이고; 도 8b는 실시예에서의 에칭속도의 시뮬레이션 결과를 나탄낸 차트,
도 9는 차지업의 발생을 설명하기 위한 도면,
도 10a 및 도 10b는 DC 펄스 전압 인가와 차지업 상태 사이의 관계를 나타낸 차트,
도 11은 평행평판형의 플라즈마 처리장치의 구성을 나타낸 도면,
도 12는 RF 전력 및 주파수와 Vdc 사이의 관계를 나타낸 차트,
도 13은 기판으로의 이온의 입사 에너지의 분포를 나타낸 그래프,
도 14는 기판에 대해 적합한 이온 에너지의 분포 상태를 나타낸 그래프이다.

Claims (7)

  1. 그 내부를 진공으로 유지할 수 있는 챔버와,
    상기 챔버 내에, 그 주표면 상에서 처리해야 할 기판을 유지하는 제1전극,
    상기 챔버 내에, 상기 제1전극과 대향하도록 배치된 대향전극,
    50㎒ 이상의 소정의 주파수를 갖는 고주파 전력을 상기 제1전극에 인가하도록 구성된 제1공급부,
    상기 고주파 전력과 중첩하도록 해서 소정의 DC 네가티브 펄스를 상기 제1전극에 인가하도록 구성된 제2공급부 및,
    상기 제1공급부의 턴 온 또는 오프를 제어함으로써 고주파 전력의 간헐적인 인가를 수행하고, 상기 제1공급부의 턴 온 또는 오프의 타이밍에 따라 상기 제2공급부의 턴 온 또는 오프를 제어함으로써 상기 DC 네가티브 펄스의 간헐적인 인가를 수행하도록 제어하는 제어부를 구비한 것을 특징으로 하는 기판의 플라즈마 처리장치.
  2. 청구항 1에 있어서, 상기 제어부는 상기 제1공급부의 턴 온 또는 오프 시에 상기 제1공급부의 턴 오프와 동시에 또는 그 전에 상기 제2공급부를 턴 오프시킴으로써 고주파 전력의 간헐적인 인가를 수행하는 것을 특징으로 하는 기판의 플라즈마 처리장치.
  3. 청구항 2에 있어서, 절연막 또는 저부에 절연체를 갖는 막을 플라즈마 처리할 때, 상기 제어부가 상기 제1공급부의 턴 오프 전에 미리 설정한 차지업 완화시간(Tpre) 동안 상기 제2공급부를 턴 오프시키는 것을 특징으로 하는 기판의 플라즈마 처리장치.
    여기서, Tpre ≥ Qmax / (ZeBNiVb)이고,
    Qmax는 손상을 일으키지 않는 단위면적당 최대전하량, Z는 이온 원자가, Vb는 Vb=(kTe/Mi)1/2과 같은 보옴 속도, Te는 전자온도, k는 볼츠만 상수, Mi는 이온질량, B는 외장 단부 및 벌크부의 플라즈마 밀도비, e는 단위 전하량, Ni는 이온밀도이다.
  4. 청구항 1에 있어서, 상기 제어부는 상기 제1공급부의 턴 온 또는 오프 시에 상기 제1공급부의 턴 온과 동시에 또는 그 후에 상기 제2공급부를 턴 온시킴으로써 고주파 전력의 간헐적인 인가를 수행하는 것을 특징으로 하는 기판의 플라즈마 처리장치.
  5. 그 내부를 진공으로 유지할 수 있는 챔버와,
    상기 챔버 내에, 그 주표면 상에서 처리해야 할 기판을 유지하는 제1전극,
    상기 챔버 내에, 상기 제1전극과 대향하도록 배치된 대향전극,
    50㎒ 이상의 소정의 주파수를 갖는 고주파 전력을 상기 제1전극에 인가하도록 구성된 제1공급부 및,
    상기 고주파 전력과 중첩하도록 해서 소정의 DC 네가티브 펄스를 상기 제1전극에 인가하도록 구성된 제2공급부를 포함하는 기판의 플라즈마 처리장치를 이용한 기판의 플라즈마 처리방법으로서,
    소정의 타이밍에서 상기 제1공급부로부터의 고주파 전력을 턴 온 또는 오프시킴으로써 고주파 전력의 간헐적인 인가를 수행하는 단계와,
    상기 고주파 전력의 턴 온 또는 오프의 타이밍에 따라 상기 제2공급부를 턴 온 또는 오프시킴으로써 상기 DC 네가티브 펄스의 간헐적인 인가를 수행하는 단계를 구비하여 이루어진 것을 특징으로 하는 기판의 플라즈마 처리방법.
  6. 청구항 5에 있어서, 소정의 타이밍에서 상기 제1공급부의 턴 온 또는 오프 시에 상기 제1공급부의 턴 오프와 동시에 또는 그 전에 상기 제2공급부를 턴 오프시킴으로써 고주파 전력의 간헐적인 인가를 수행하는 것을 특징으로 하는 기판의 플라즈마 처리방법.
  7. 청구항 5에 있어서, 소정의 타이밍에서 상기 제1공급부의 턴 온 또는 오프 시에 상기 제1공급부의 턴 온과 동시에 또는 그 후에 상기 제2공급부를 턴 온시킴으로써 고주파 전력의 간헐적인 인가를 수행하는 것을 특징으로 하는 기판의 플라즈마 처리방법.
KR1020090006307A 2008-02-01 2009-01-23 기판의 플라즈마 처리장치 및 플라즈마 처리방법 KR101051243B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2008023066A JP5224837B2 (ja) 2008-02-01 2008-02-01 基板のプラズマ処理装置及びプラズマ処理方法
JPJP-P-2008-023066 2008-02-01

Publications (2)

Publication Number Publication Date
KR20090084694A KR20090084694A (ko) 2009-08-05
KR101051243B1 true KR101051243B1 (ko) 2011-07-21

Family

ID=40930646

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090006307A KR101051243B1 (ko) 2008-02-01 2009-01-23 기판의 플라즈마 처리장치 및 플라즈마 처리방법

Country Status (5)

Country Link
US (1) US8821684B2 (ko)
JP (1) JP5224837B2 (ko)
KR (1) KR101051243B1 (ko)
CN (1) CN101499399B (ko)
TW (1) TWI402909B (ko)

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
KR101361217B1 (ko) * 2009-09-29 2014-02-10 가부시끼가이샤 도시바 기판 처리 장치 및 기판 처리 방법
KR101214758B1 (ko) * 2010-02-26 2012-12-21 성균관대학교산학협력단 식각 방법
US9117767B2 (en) * 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
US8828883B2 (en) * 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
KR20120022251A (ko) * 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
JP5172928B2 (ja) 2010-09-30 2013-03-27 株式会社東芝 基板処理方法および基板処理装置
JP2012104382A (ja) * 2010-11-10 2012-05-31 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法並びにプラズマ処理のバイアス電圧決定方法
JP5864879B2 (ja) 2011-03-31 2016-02-17 東京エレクトロン株式会社 基板処理装置及びその制御方法
JP5977509B2 (ja) * 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN103632913B (zh) * 2012-08-28 2016-06-22 中微半导体设备(上海)有限公司 等离子体处理装置
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103730316B (zh) * 2012-10-16 2016-04-06 中微半导体设备(上海)有限公司 一种等离子处理方法及等离子处理装置
CN103021783B (zh) * 2012-12-24 2015-12-02 中微半导体设备(上海)有限公司 半导体结构的刻蚀方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
KR102064914B1 (ko) * 2013-03-06 2020-01-10 삼성전자주식회사 식각 공정 장치 및 식각 공정 방법
CN103746674B (zh) * 2013-12-25 2017-01-11 北京长峰广播通讯设备有限责任公司 一种产生自激振荡式的电子管栅极调制脉冲的方法及装置
US10047438B2 (en) * 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6579786B2 (ja) * 2015-04-17 2019-09-25 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9799491B2 (en) * 2015-10-29 2017-10-24 Applied Materials, Inc. Low electron temperature etch chamber with independent control over plasma density, radical composition and ion energy for atomic precision etching
US10779980B2 (en) 2016-04-27 2020-09-22 Synerz Medical, Inc. Intragastric device for treating obesity
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
KR102476353B1 (ko) * 2016-07-26 2022-12-09 삼성전자주식회사 반도체 설비의 설정 파형 발생기, 플라즈마 처리 장치, 플라즈마 처리 장치의 제어 방법 및 반도체 장치의 제조 방법
US10748745B2 (en) 2016-08-16 2020-08-18 Applied Materials, Inc. Modular microwave plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10373804B2 (en) * 2017-02-03 2019-08-06 Applied Materials, Inc. System for tunable workpiece biasing in a plasma reactor
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10707058B2 (en) 2017-04-11 2020-07-07 Applied Materials, Inc. Symmetric and irregular shaped plasmas using modular microwave sources
JP6903375B2 (ja) 2017-04-19 2021-07-14 株式会社ディスコ デバイスチップの製造方法
US11037764B2 (en) 2017-05-06 2021-06-15 Applied Materials, Inc. Modular microwave source with local Lorentz force
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP7045152B2 (ja) 2017-08-18 2022-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10264663B1 (en) * 2017-10-18 2019-04-16 Lam Research Corporation Matchless plasma source for semiconductor wafer fabrication
KR102626357B1 (ko) * 2017-12-07 2024-01-16 램 리써치 코포레이션 반도체 rf 플라즈마 프로세싱을 위한 펄싱 내 rf 펄싱
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US11081317B2 (en) 2018-04-20 2021-08-03 Applied Materials, Inc. Modular high-frequency source
US10504699B2 (en) 2018-04-20 2019-12-10 Applied Materials, Inc. Phased array modular high-frequency source
US11393661B2 (en) 2018-04-20 2022-07-19 Applied Materials, Inc. Remote modular high-frequency source
JP6965205B2 (ja) * 2018-04-27 2021-11-10 東京エレクトロン株式会社 エッチング装置、及びエッチング方法
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7094154B2 (ja) 2018-06-13 2022-07-01 東京エレクトロン株式会社 成膜装置および成膜方法
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN111092008A (zh) * 2018-10-24 2020-05-01 江苏鲁汶仪器有限公司 一种感应耦合等离子体刻蚀设备及刻蚀方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP2020178099A (ja) 2019-04-22 2020-10-29 東京エレクトロン株式会社 整合方法及びプラズマ処理装置
JP7234036B2 (ja) 2019-05-28 2023-03-07 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR20220027141A (ko) * 2019-07-02 2022-03-07 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄서 rf 절연
JP7262375B2 (ja) 2019-11-26 2023-04-21 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20210183622A1 (en) * 2019-12-17 2021-06-17 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
CN113571403A (zh) 2020-04-28 2021-10-29 东京毅力科创株式会社 等离子体处理装置及等离子体处理方法
CN115552571A (zh) * 2020-05-12 2022-12-30 鹰港科技有限公司 高频rf发生器和dc脉冲
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
TW202217958A (zh) 2020-09-16 2022-05-01 日商東京威力科創股份有限公司 蝕刻方法及電漿處理裝置
TW202223995A (zh) 2020-11-05 2022-06-16 日商東京威力科創股份有限公司 電漿處理裝置及電漿處理方法
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
CN112466732B (zh) * 2020-11-25 2024-06-21 北京北方华创微电子装备有限公司 半导体工艺设备和等离子体启辉方法
CN116686070A (zh) 2020-12-10 2023-09-01 东京毅力科创株式会社 等离子体处理方法及等离子体处理装置
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003234331A (ja) 2001-12-05 2003-08-22 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
JP2004095663A (ja) 2002-08-29 2004-03-25 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
JP2006270017A (ja) 2004-06-21 2006-10-05 Tokyo Electron Ltd プラズマエッチング装置およびプラズマエッチング方法、ならびにコンピュータ読み取り可能な記憶媒体
KR20080111627A (ko) * 2007-06-19 2008-12-24 삼성전자주식회사 플라즈마 공정장치 및 그 방법

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
TW335517B (en) * 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
JPH104085A (ja) * 1996-06-18 1998-01-06 Sony Corp ドライエッチング方法および装置
JP3220383B2 (ja) * 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
JP3122618B2 (ja) * 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
JP4013271B2 (ja) * 1997-01-16 2007-11-28 日新電機株式会社 物品表面処理方法及び装置
JP2000306894A (ja) * 1999-04-23 2000-11-02 Nec Corp 基板のプラズマ処理方法
US6465376B2 (en) * 1999-08-18 2002-10-15 International Business Machines Corporation Method and structure for improving electromigration of chip interconnects
JP2001207259A (ja) * 2000-01-25 2001-07-31 Natl Inst Of Advanced Industrial Science & Technology Meti 表面改質方法及び表面改質装置
JP4334723B2 (ja) * 2000-03-21 2009-09-30 新明和工業株式会社 イオンプレーティング成膜装置、及びイオンプレーティング成膜方法。
US20050103441A1 (en) * 2001-11-14 2005-05-19 Masanobu Honda Etching method and plasma etching apparatus
WO2003085717A1 (fr) * 2002-04-08 2003-10-16 Tokyo Electron Limited Procede de gravure au plasma
TWI233644B (en) * 2002-04-08 2005-06-01 Tokyo Electron Ltd Plasma etching method and plasma etching apparatus
JP2004076069A (ja) * 2002-08-13 2004-03-11 Mitsubishi Heavy Ind Ltd 表面処理装置
JP2004238649A (ja) * 2003-02-04 2004-08-26 National Institute Of Advanced Industrial & Technology 炭素系膜被覆部材の製造方法及び装置
JP4653964B2 (ja) * 2003-04-08 2011-03-16 株式会社栗田製作所 Dlc膜の成膜方法およびdlc成膜物
JP2005126257A (ja) * 2003-10-22 2005-05-19 Plasma Ion Assist Co Ltd カーボンナノチューブの製造方法
JP4342984B2 (ja) * 2004-03-10 2009-10-14 Okiセミコンダクタ株式会社 エッチング方法
US7692916B2 (en) 2005-03-31 2010-04-06 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method
JP4704087B2 (ja) 2005-03-31 2011-06-15 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7851367B2 (en) * 2006-08-31 2010-12-14 Kabushiki Kaisha Toshiba Method for plasma processing a substrate
JP2008060429A (ja) * 2006-08-31 2008-03-13 Toshiba Corp 基板のプラズマ処理装置及びプラズマ処理方法
JP4714166B2 (ja) * 2006-08-31 2011-06-29 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
JP4660498B2 (ja) * 2007-03-27 2011-03-30 株式会社東芝 基板のプラズマ処理装置
JP4607930B2 (ja) 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003234331A (ja) 2001-12-05 2003-08-22 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
JP2004095663A (ja) 2002-08-29 2004-03-25 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
JP2006270017A (ja) 2004-06-21 2006-10-05 Tokyo Electron Ltd プラズマエッチング装置およびプラズマエッチング方法、ならびにコンピュータ読み取り可能な記憶媒体
KR20080111627A (ko) * 2007-06-19 2008-12-24 삼성전자주식회사 플라즈마 공정장치 및 그 방법

Also Published As

Publication number Publication date
TW200947546A (en) 2009-11-16
US20090194508A1 (en) 2009-08-06
JP5224837B2 (ja) 2013-07-03
KR20090084694A (ko) 2009-08-05
JP2009187975A (ja) 2009-08-20
TWI402909B (zh) 2013-07-21
CN101499399B (zh) 2012-03-21
CN101499399A (zh) 2009-08-05
US8821684B2 (en) 2014-09-02

Similar Documents

Publication Publication Date Title
KR101051243B1 (ko) 기판의 플라즈마 처리장치 및 플라즈마 처리방법
TWI689986B (zh) 電漿處理方法及電漿處理裝置
US10181412B2 (en) Negative ion control for dielectric etch
JP6002556B2 (ja) プラズマ処理装置およびプラズマ処理方法
KR100908939B1 (ko) 기판의 플라즈마 처리장치 및 플라즈마 처리방법
JP5221403B2 (ja) プラズマエッチング方法、プラズマエッチング装置および記憶媒体
JP5205378B2 (ja) Rf変調によって弾道電子ビームの均一性を制御する方法及びシステム
JP2008060429A (ja) 基板のプラズマ処理装置及びプラズマ処理方法
KR20080087635A (ko) 기판의 플라즈마 처리장치 및 플라즈마 처리방법
US11417501B2 (en) Plasma processing apparatus and plasma processing method
JPH08255782A (ja) プラズマ表面処理装置
JP2000200771A (ja) プラズマ処理方法
US20240162007A1 (en) Reducing aspect ratio dependent etch with direct current bias pulsing
JP4243615B2 (ja) 反応性イオンエッチング装置
KR20240090877A (ko) 플라즈마 반응기 내의 전극들에 대한 이온 에너지 제어

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140701

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150618

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160616

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170616

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190617

Year of fee payment: 9