KR101027090B1 - 임피던스 정합 장치 - Google Patents

임피던스 정합 장치 Download PDF

Info

Publication number
KR101027090B1
KR101027090B1 KR1020087022027A KR20087022027A KR101027090B1 KR 101027090 B1 KR101027090 B1 KR 101027090B1 KR 1020087022027 A KR1020087022027 A KR 1020087022027A KR 20087022027 A KR20087022027 A KR 20087022027A KR 101027090 B1 KR101027090 B1 KR 101027090B1
Authority
KR
South Korea
Prior art keywords
impedance
sources
subcircuit
frequency
variable
Prior art date
Application number
KR1020087022027A
Other languages
English (en)
Other versions
KR20080086556A (ko
Inventor
스티븐 씨 샨논
존 홀랜드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080086556A publication Critical patent/KR20080086556A/ko
Application granted granted Critical
Publication of KR101027090B1 publication Critical patent/KR101027090B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/26Plasma torches
    • H05H1/32Plasma torches using an arc
    • H05H1/34Details, e.g. electrodes, nozzles
    • H05H1/36Circuit arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

듀얼 주파수 캐소드들을 갖는 플라즈마 강화 반도체 처리 챔버들을 위한 듀얼 주파수 정합 회로가 제공된다. 상기 정합 회로는 공통 출력에 결합된 가변 분로들을 가진 2개의 정합 회로들을 포함한다. 상기 정합 회로는 동작 동안 독립적인 RF 소스들의 부하를 처리 챔버의 플라즈마의 부하로 조정한다.

Description

임피던스 정합 장치{IMPEDANCE MATCHING APPARATUS}
본 발명은 일반적으로 반도체 기판 처리 시스템들에 관한 것으로서, 특히 단일 전극에 결합된 다수의 RF 소스들의 임피던스를 플라즈마의 임피던스에 정합(matching)시키기 위한 정합 회로들에 관한 것이다.
플라즈마 강화 반도체 처리 챔버들은 집적 소자들의 제조에 폭넓게 사용된다. 대부분의 플라즈마 강화 반도체 챔버들에서, 다수의 무선 주파수(RF) 발생기들은 플라즈마를 형성 및 제어하는데 사용된다. 몇가지 플라즈마 강화 처리 챔버들은 다수의 소스들로부터 플라즈마에 전력을 결합시키는 단일 전극으로 RF 전력을 공급한다. 그러나, 이러한 실시예들에서, 각각의 RF 소스는 일반적으로 별도의 공급 구조물들(예, 별도의 RF 발생기, 정합 출력, 전극으로의 동축 케이블들 등)을 필요로 한다.
따라서, 다수의 RF 소스들로부터 전극으로 RF 전력을 결합시키도록 단일 공급 구조를 이용하는 반도체 기판 처리를 위한 개선된 장치에 대한 필요성이 있다.
본 발명은 일반적으로 플라즈마 강화 반도체 처리 챔버에서 반도체 기판 처리에 관한 것이다. 보다 구체적으로는, 본 발명은 플라즈마 강화 반도체 처리 챔버에서 단일 공급부(feed)를 통해 2개의 RF 소스들을 전극에 결합시키기 위한 듀얼 주파수 가변 분로(shunt) 정합 회로이다.
상기에서 간단히 요약된 본 발명의 상술한 특징들, 장점들 및 목적들은 본 발명의 특정한 상세한 설명에서 첨부된 도면들에 도시된 실시예들을 참조로 이해될 수 있다. 그러나, 첨부된 도면들은 본 발명의 전형적인 실시예들만을 도시하므로, 본 발명은 그 범주를 제한함이 없이 다른 동등한 효과적인 실시예들에 적용될 수 있음을 유의해야 한다.
도 1은 듀얼 주파수 가변 분로 정합 회로를 갖는 플라즈마 강화 반도체 처리 챔버의 간략화된 블록도를 도시한다. 본 발명에 따른 플라즈마 강화 처리 챔버(100)는 챔버(102), 2개의 RF 전원들(104, 106) 및 듀얼 주파수 정합 회로(108)를 포함한다. 챔버(102)는 전력공급되는 전극(110) 및 접지된 전극(112)을 포함한다. 듀얼 주파수 정합 회로(108)로부터의 단일 공급라인(114)은 RF 전원들(104, 106)을 전력공급되는 전극(110)에 전기적으로 결합시킨다. 챔버(102)는 종래의 플라즈마 강화 처리 챔버와 유사하다.
RF 전원들(104, 106)은 독립적인 주파수-조정 RF 발생기들이다. RF 전원들(104, 106)은 플라즈마의 특성들을 제어하기 위해 임의의 목표된 주파수에서 RF 전력을 챔버(102)에 제공하도록 구성될 수 있다. 두개의 주파수들은 동일한 플라즈마 특성을 제어하도록 선택되거나, 대안적으로 상이한 플라즈마 특성들을 제어하도록 선택될 수 있다. 예를 들어, 일 실시예에서, RF 전원들(104, 106) 중 하나는 플라즈마를 여기(excite)시키고 플라즈마에서 이온들을 분리시키도록 고주파 전력을 제공할 수 있으며, RF 전원들(104, 106) 중 다른 하나는 플라즈마 외피(sheath) 전압을 변조하도록 저주파 전력을 제공할 수 있다. 예를 들어, 일 실시예에서, RF 전원(104)은 연속적인 전력 또는 펄스 전력의 5000W까지에서 약 12.8㎒ 내지 약 14.3㎒ 범위의 주파수를 일반적으로 생성할 수 있다. RF 전원(106)은 연속 전력 또는 펄스 전력의 5000W까지에서 약 1.8㎒ 내지 약 2.2㎒ 범위의 주파수를 일반적으로 생성할 수 있다. 다른 주파수들이 사용될 수 있다는 것이 고려된다.
듀얼 주파수 정합 회로(108)는 일반적으로 2개의 정합 부회로(sub-circuit)들을 포함하며, 직렬 엘리먼트들은 고정되고 분로 엘리먼트들은 가변 임피던스를 접지에 제공한다. 정합 회로(108)는 2개의 개별 주파수들에서 독립적인 주파수 조정되는 RF 전원들(104, 106)에 연결되는 2개의 입력들을 포함하고, 공통의 RF 출력을 처리 챔버(102)에 제공한다. 정합 회로(108)는 RF 전원들(104, 106)의 임피던스(전형적으로 약 50Ω)를 챔버(102)의 임피던스에 정합시키도록 동작한다. 일 실시예에서, 2개의 정합 부회로들은 L-형 회로들이지만, π 및 T 형들과 같은 다른 공통적인 정합 회로 구성들이 사용될 수 있다.
도 2는 듀얼 L-형 정합 토폴로지를 갖는 듀얼 주파수 정합 회로(108)의 일 실시예의 대표적인 회로도이다. 정합 회로(108)는 일반적으로 저주파 조정 제 1 부회로(202), 고주파 조정 제 2 부회로(204), 및 발생기 분리 부회로(206)를 포함한다. 제 1 부회로(202)는 가변 커패시터(C1), 인덕터(L1) 및 커패시터(C2)를 포함한다. 가변 커패시터(C1)는 2㎒ 전원으로부터 입력 단자들(210A, 210B)에 대해 분로되고, 인덕터(L1) 및 커패시터(C2)는 입력 단자들(210A, 210B)에서 공통 출력 단자(212)로 직렬 연결된다. 일 실시예에서, 가변 커패시터(C1)는 약 300pF 내지 약 1500pF으로 공칭적으로 가변될 수 있으며, 인덕터(L1)는 약 30μH이고, 커패시터(C2)는 약 300pF이다.
발생기 분리 부회로(206)는 3개의 인덕터들(L3, L4, L5)과 3개의 커패시터들(C5, C6, C7)을 갖는 사다리형(ladder) 토폴로지를 포함한다. 이러한 부회로는 2㎒ 신호가 13㎒ 전원에 결합되는 것을 차단하도록 조정된다. 인덕터(L5)는 입력 단자들(214A, 214B)에 대해 결합된다. 커패시터들(C7, C6, C5)은 입력 단자(214A)에서 13㎒ 조정 회로(204)에 대한 입력(216A)으로 직렬 연결된다. 인덕터들(L4, L3)은 커패시터들(C7, C6) 및 커패시터들(C6, C5)의 접합부로부터 각각 분로된다. 일 실시예에서, 인덕터들(L4, L5)은 약 2μH이고 인덕터(L3)는 약 1μH이다. 커패시터들(C6, C7)은 약 400pF이고, 커패시터(C5)는 약 800pF이다.
제 2 부회로(204)는 커패시터(C3), 인덕터(L2) 및 가변 커패시터(C4)를 포함 한다. 가변 커패시터(C4)는 발생기 분리 부회로(206)로부터 입력 단자들(216A, 216B)에 대해 분로되고, 인덕터(L2) 및 커패시터(C3)는 입력 단자들(216A, 216B)에서 공통 출력 단자(212)로 직렬 연결된다. 일 실시예에서, 가변 커패시터(C4)는 약 400pF 내지 약 1200pF으로 공칭적으로 가변될 수 있고, 인덕터(L2)는 약 2.4μH이며, 커패시터(C3)는 약 67pF이다.
전형적으로, 임피던스 정합을 위한 종래기술의 현재 상태에서, 직렬 및 분로 엘리먼트들은 가변되거나, 상기 엘리먼트들이 고정되고 전원 주파수가 가변되어 전원과 부하(예, 플라즈마) 사이의 임피던스 정합을 달성한다. 직렬 및 분로 엘리먼트들이 가변되면, 전원 주파수들 중 하나를 정합하기 위한 엘리먼트들은 다른 전원 주파수를 정합하기 위한 엘리먼트들에 나타나는 부하 임피던스에 영향을 줄 수 있다. 예를 들어, 도 3a 및 도 3b는 다른 주파수의 정합 엘리먼트들이 가변될 때 2㎒ 및 13㎒ 시프트에 대해 조정 공간(tune space)이 어떻게 가변되는지를 보여준다. 도 3a에서, 분로 컴포넌트들(예, 도 2의 커패시터들(C1, C4))은 다른 주파수의 조정 공간(중첩되는 라인들(302 및 304, 306 및 308)로 도시됨)에 거의 영향을 주지 않거나 전혀 영향을 주지 않음을 나타낸다. 그러나, 하나의 주파수 소스에 해당하는 직렬 컴포넌트(예, 도 2의 인덕터(L1) 및 커패시터(C2), 또는 인덕터(L2) 및 커패시터(C3))가 가변되는 경우, 다른 주파수에 대한 조정 공간이 시프트된다. 도 3b는 13㎒에서 직렬 컴포넌트를 가변시키는 효과를 도시한다. 13㎒ 직렬 컴포넌트 가 가변될 때, 2㎒ 조정 공간이 시프트된다. 이것은 더 이상 중첩되는 않는 라인들(306, 308)에서 시프트에 의해 나타난다.
도 1 및 도 2를 참조로 상술된 본 발명의 설계는 다른 주파수의 조정 공간에 바람직하지 않은 부작용 없이 분로 컴포넌트 조정에 의해 가변될 수 있는 정합 조정 공간을 형성한다. 결과적으로, 상보적인 주파수 조정 공간은 영향을 받지 않고, 제로 반영되는 전력 조정 공간이 넓은 임피던스 범위에 대해 달성될 수 있다.
예를 들어, 도 4는 도 2의 정합 회로(108)를 이용하여 나타낸 조정 공간의 그래프를 도시한다. 이러한 구성은 컴포넌트 값들이 프로세스 실행 이전에 설정되고 상기 값들이 전체 실행 동안 고정되는 고정된 정합 조건에 포함될 수 있거나, 회로(108)가 주파수/분로 자동조정 정합 구성으로 구현될 수 있으며, 여기서 발생기의 주파수가 조정되어 정합 회로의 방위 조정 방향을 형성하고 가변 분로 컴포넌트들(커패시터들(C2, C4))은 방사형 조정 방향을 설정한다. 이러한 2개의 조정 메커니즘들(주파수 조정 및 분로 조정)은 조정 공간에서 수직 방향들로 동작되고, 자동 조정 알고리즘에 대한 적정 시간 응답이 주어진 최적 조건으로 독립적으로 조정할 수 있다. 상기와 같이, 이러한 형태의 조정은 조정불가능한 조건을 초래할 수 있는 2개의 시스템들간의 불안정한 피드백을 방지한다.
본 발명의 장점이 적용될 수 있는 플라즈마 강화 반도체 처리 챔버들의 예들은 이에 제한됨이 없이, 캘리포니아 산타 클레라의 어플라이드 머티어리얼스사로부터 이용가능한 eMax™, MXP®, 및 ENABLER™ 처리 챔버들을 포함한다. eMax™ 처 리 챔버는 2000년 9월 5일 발행된 Shan 외의 미국특허 번호 6,113,731에 기술되어 있다. MXP® 처리 챔버는 1669년 7월 9일자로 발행된 Qian 외의 미국특허 번호 5,534,108 및 1997년 10월 7일 발행된 Pu 외의 미국특허 번호 5,674,321에 기술되어 있다. ENABLER™ 처리 챔버는 2003년 3월 4일 발행된 Hoffman 외의 미국특허 번호 6,528,751에 기술되어 있다.
도 5는 본 발명에 사용하기 적합한 용량성 결합되는 플라즈마 강화 처리 챔버(500)의 개념적인 부분 단면도를 도시한다. 일 실시예에서, 처리 챔버(500)는 접지된 챔버 몸체(502), 및 챔버 몸체(502)의 외부에 인접하게 배치된 적어도 하나의 코일 세그먼트(518)를 포함한다. 처리 챔버(500)는 또한 챔버 몸체(502)내에 배치되고 가스 입구(532)로부터 이격된 웨이퍼 지지 페디스털(516)을 포함한다. 웨이퍼 지지 페디스털(516)은 캐소드(527), 및 가스 입구(532) 아래에 기판(514)을 유지하기 위한 정전척(526)을 포함한다.
정전척(526)은 척 표면상에 기판을 홀딩하는 정전력을 전개하기 위한 DE 전원(520)에 의해 구동된다. 캐소드(527)는 듀얼 주파수 가변 분로 정합 회로(108)를 통해 한 쌍의 RF 바이어스 소스들(104, 106)에 결합된다. 바이어스 소스들(104, 106)은 일반적으로 약 50㎑ 내지 약 14.2㎒의 주파수를 가진 RF 신호와 약 0 내지 약 5000와트의 전력을 형성할 수 있다. 듀얼 주파수 가변 분로 정합 회로(108)는 RF 바이어스 소스들(104, 106)의 임피던스를 플라즈마 임피던스에 정합시킨다. 단일 공급부(114)는 2개의 소스들로부터 지지 페디스털(516)로 에너지를 결합시킨다.
가스 입구(532)는 하나 이상의 노즐들 또는 샤워헤드를 포함할 수 있다. 가스 입구(532)는 특정 가스 분포 기울기를 이용하여 점화시 플라즈마(510)를 형성하는 다양한 가스들이 챔버 몸체(502)로 공급될 수 있도록 다수의 가스 분포 영역들을 포함할 수 있다. 가스 입구(532)는 지지 페디스털(516)에 대향하는 상부 전극(528)을 형성할 수 있다.
동작시, 기판(514)은 처리 챔버(500)에 배치되고 정전척(526)에 의해 지지 페디스털(516)에 유지된다. 처리 가스는 가스 소스(508)에 의해 가스 입구(532)를 통해 챔버 몸체(502)로 도입된다. 미도시된 진공 펌프는 전형적으로 약 10 mTorr 내지 약 20 Torr의 동작 압력들에서 챔버 몸체(502) 내부의 압력을 유지한다.
RF 소스(104)는 13.56㎒에서 약 5000W의 RF 전력을 듀얼 주파수 가변 분로 정합 회로(108)를 통해 캐소드(527)에 제공함으로써, 챔버 몸체(502) 내부에서 가스를 여기시키고 플라즈마(510)를 형성할 수 있다. RF 소스(106)는 듀얼 주파수 가변 분로 정합 회로(108)를 통해 약 2㎒의 주파수에서 약 5000W의 RF 전압을 캐소드(527)에 제공한다. RF 소스(106)는 기판을 자체적으로 바이어스시키고 플라즈마 외피를 변조시키는 바이어스 전력을 제공한다. 시간 주기 이후, 또는 특정 종점의 검출 이후, 플라즈마는 소멸된다.
전술한 상세한 설명은 본 발명의 예시적인 실시예에 관한 것이지만, 본 발명의 다른 실시예들 및 추가적인 실시예들이 그 기본 범주를 벗어남이 없이 안출될 수 있으며, 그 범주는 이하의 청구범위에 의해 결정된다.
도 1은 본 발명의 RF 구조의 예시적인 블록도를 도시한다.
도 2는 본 발명의 정합 회로의 일 실시예의 개념도이다.
도 3a는 상보적인 주파수 성분들의 분로 가변으로 인한 조정 공간 시프팅을 도시하는 그래프이다.
도 3b는 상보적인 주파수 성분들의 직렬 컴포넌트 가변으로 인한 조정 공간 시프팅을 도시하는 그래프이다.
도 4는 본 발명의 가변 분로 정합 회로의 조정 공간을 나타내는 그래프이다.
도 5는 일 실시예의 듀얼 주파수 정합 회로를 갖는 플라즈마 강화 처리 챔버의 개념도를 도시한다.
이해를 돕기 위해, 도면들에 공통인 동일한 엘리먼트들에 대해서는 가능한 동일한 참조 번호들이 사용되었다.

Claims (14)

  1. 단일 전극에 결합된 한 쌍의 RF 소스들의 임피던스를 반도체 기판 처리 챔버의 플라즈마의 임피던스에 정합(matching)시키기 위한 임피던스 정합 장치로서,
    제 1 RF 소스에 의해 생성되는 제 1 가변 주파수 RF 신호의 임피던스를 상기 플라즈마의 임피던스에 정합시키기 위한 제 1 부회로(sub-circuit); 및
    제 2 RF 소스에 의해 생성되는 제 2 가변 주파수 RF 신호의 임피던스를 상기 플라즈마의 임피던스에 정합시키기 위한 제 2 부회로
    를 포함하고, 상기 제 2 부회로는 상기 단일 전극에 결합된 공통 출력을 형성하기 위해 상기 제 1 부회로에 연결되며,
    상기 제 1 부회로에 의해 규정된 제 1 정합 조정 공간은 상기 제 2 부회로에 의해 규정된 제 2 정합 조정 공간에 영향을 주지 않으면서 가변될 수 있는,
    임피던스 정합 장치.
  2. 제 1 항에 있어서,
    상기 제 1 및 제 2 부회로는 각각, 접지에 연결된 적어도 하나의 가변 분로(shunt) 컴포넌트를 더 포함하고, 상기 제 1 및 제 2 RF 소스의 상기 제 1 및 제 2 정합 조정 공간은 각각의 상기 가변 분로 컴포넌트에 의해 제어가능한,
    임피던스 정합 장치.
  3. 제 1 항에 있어서,
    상기 제 1 및 제 2 RF 소스의 상기 제 1 및 제 2 정합 조정 공간은 상기 제 1 및 제 2 RF 소스에 의해 각각 생성되는 신호의 제 1 주파수 및 제 2 주파수 중 적어도 하나를 가변시킴으로써 제어가능한, 임피던스 정합 장치.
  4. 제 1 항에 있어서,
    상기 제 1 및 제 2 RF 소스는 각각 50 옴(Ω) 출력 임피던스를 갖는, 임피던스 정합 장치.
  5. 제 1 항에 있어서,
    상기 제 1 및 제 2 부회로의 컴포넌트 값들은 상기 반도체 기판 처리 챔버에서 반도체 기판 처리를 수행하기 이전에 설정(set)되는, 임피던스 정합 장치.
  6. 제 1 항에 있어서,
    상기 제 1 및 제 2 부회로는 각각, 접지에 연결된 적어도 하나의 가변 분로 컴포넌트를 더 포함하고, 상기 제 1 및 제 2 RF 소스의 임피던스는,
    상기 반도체 기판 처리 챔버의 동작 동안 상기 제 1 및 제 2 부회로의 상기 가변 분로 컴포넌트 중 적어도 하나의 값을 가변시키거나 상기 제 1 및 제 2 RF 소스 중 적어도 하나의 주파수를 가변시킴으로써, 또는 상기 반도체 기판 처리 챔버의 동작 동안 상기 제 1 및 제 2 부회로의 상기 가변 분로 컴포넌트 중 적어도 하나의 값을 가변시키고 상기 제 1 및 제 2 RF 소스 중 적어도 하나의 주파수를 가변시킴으로써, 상기 반도체 기판 처리 챔버에서 형성되는 플라즈마의 임피던스에 정합될 수 있는, 임피던스 정합 장치.
  7. 제 1 항에 있어서,
    상기 제 1 및 제 2 RF 소스 중 하나로부터 공급되는 전력이 상기 제 1 및 제 2 RF 소스 중 다른 하나에 결합되는 것을 방지하기 위한 분리(isolation) 부회로를 더 포함하는, 임피던스 정합 장치.
  8. 단일 전극에 결합된 한 쌍의 RF 소스들의 임피던스를 반도체 기판 처리 챔버의 플라즈마의 임피던스에 정합시키기 위한 임피던스 정합 장치로서,
    제 1 가변 주파수 RF 소스에 결합하기 위한 제 1 부회로; 및
    제 2 가변 주파수 RF 소스에 결합하기 위한 제 2 부회로
    를 포함하고, 상기 제 2 부회로는 상기 단일 전극에 결합된 공통 출력을 형성하기 위해 상기 제 1 부회로에 연결되며,
    상기 제 1 부회로에 의해 규정된 제 1 정합 조정 공간은 상기 제 2 부회로에 의해 규정된 제 2 정합 조정 공간에 영향을 주지 않으면서 가변될 수 있는,
    임피던스 정합 장치.
  9. 단일 전극에 결합된 한 쌍의 RF 소스들의 임피던스를 반도체 기판 처리 챔버의 플라즈마의 임피던스에 정합시키기 위한 임피던스 정합 장치로서,
    적어도 하나의 제 1 전극을 포함하는 처리 챔버;
    제 1 가변 주파수 RF 소스;
    제 2 가변 주파수 RF 소스; 및
    듀얼(dual) 주파수 정합 회로
    를 포함하고, 상기 듀얼 주파수 정합 회로는,
    상기 제 1 가변 주파수 RF 소스에 결합된 제 1 부회로; 및
    상기 제 2 가변 주파수 RF 소스에 결합되고, 상기 제 1 전극에 결합된 공통 출력을 형성하기 위해 상기 제 1 부회로에 연결된 제 2 부회로
    를 포함하며, 상기 제 1 부회로에 의해 규정된 제 1 정합 조정 공간은 상기 제 2 부회로에 의해 규정된 제 2 정합 조정 공간에 영향을 주지 않으면서 가변될 수 있는,
    임피던스 정합 장치.
  10. 제 9 항에 있어서,
    상기 제 1 및 제 2 부회로는 각각, 접지에 연결된 적어도 하나의 가변 분로 컴포넌트를 더 포함하고, 상기 제 1 및 제 2 가변 주파수 RF 소스의 제 1 및 제 2 정합 조정 공간은 각각의 상기 가변 분로 컴포넌트에 의해 제어가능한,
    임피던스 정합 장치.
  11. 제 9 항에 있어서,
    상기 제 1 및 제 2 가변 주파수 RF 소스의 제 1 및 제 2 정합 조정 공간은 상기 제 1 및 제 2 가변 주파수 RF 소스에 의해 각각 생성되는 신호의 제 1 주파수 및 제 2 주파수 중 적어도 하나를 가변시킴으로써 제어가능한, 임피던스 정합 장치.
  12. 제 9 항에 있어서,
    상기 제 1 및 제 2 부회로의 컴포넌트 값들은 상기 반도체 기판 처리 챔버에서 반도체 기판 처리를 수행하기 이전에 설정되는, 임피던스 정합 장치.
  13. 제 9 항에 있어서,
    상기 제 1 및 제 2 부회로는 각각, 접지에 연결된 적어도 하나의 가변 분로 컴포넌트를 더 포함하고, 상기 제 1 및 제 2 가변 주파수 RF 소스의 임피던스는,
    상기 반도체 기판 처리 챔버의 동작 동안 상기 제 1 및 제 2 부회로의 상기 가변 분로 컴포넌트 중 적어도 하나의 값을 가변시키거나 상기 제 1 및 제 2 가변 주파수 RF 소스 중 적어도 하나의 주파수를 가변시킴으로써, 또는 상기 반도체 기판 처리 챔버의 동작 동안 상기 제 1 및 제 2 부회로의 상기 가변 분로 컴포넌트 중 적어도 하나의 값을 가변시키고 상기 제 1 및 제 2 가변 주파수 RF 소스 중 적어도 하나의 주파수를 가변시킴으로써, 상기 반도체 기판 처리 챔버에서 형성되는 플라즈마의 임피던스에 정합될 수 있는, 임피던스 정합 장치.
  14. 제 9 항에 있어서,
    상기 듀얼 주파수 정합 회로는,
    상기 제 1 및 제 2 가변 주파수 RF 소스 중 하나로부터 공급되는 전력이 상기 제 1 및 제 2 가변 주파수 RF 소스 중 다른 하나에 결합되는 것을 방지하기 위한 분리 부회로를 더 포함하는, 임피던스 정합 장치.
KR1020087022027A 2003-12-18 2004-11-19 임피던스 정합 장치 KR101027090B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US53080703P 2003-12-18 2003-12-18
US60/530,807 2003-12-18
US10/823,371 US7879185B2 (en) 2003-12-18 2004-04-12 Dual frequency RF match
US10/823,371 2004-04-12

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020067014214A Division KR100902435B1 (ko) 2003-12-18 2004-11-19 임피던스 정합 장치

Publications (2)

Publication Number Publication Date
KR20080086556A KR20080086556A (ko) 2008-09-25
KR101027090B1 true KR101027090B1 (ko) 2011-04-05

Family

ID=34681594

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087022027A KR101027090B1 (ko) 2003-12-18 2004-11-19 임피던스 정합 장치

Country Status (5)

Country Link
US (1) US7879185B2 (ko)
JP (1) JP2007515761A (ko)
KR (1) KR101027090B1 (ko)
TW (1) TWI355015B (ko)
WO (1) WO2005066997A2 (ko)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US8366829B2 (en) * 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
CN100362619C (zh) 2005-08-05 2008-01-16 中微半导体设备(上海)有限公司 真空反应室的射频匹配耦合网络及其配置方法
CN100358099C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 等离子体处理装置
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US8674255B1 (en) * 2005-12-08 2014-03-18 Lam Research Corporation Apparatus and method for controlling etch uniformity
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US20090004873A1 (en) * 2007-06-26 2009-01-01 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
CN101478857A (zh) * 2008-01-04 2009-07-08 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理装置
US7811410B2 (en) * 2008-06-19 2010-10-12 Lam Research Corporation Matching circuit for a complex radio frequency (RF) waveform
WO2010011643A2 (en) * 2008-07-21 2010-01-28 Applied Materials, Inc. Apparatus for multiple frequency power application
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
KR101151414B1 (ko) * 2010-02-23 2012-06-04 주식회사 플라즈마트 임피던스 정합 장치
US20120000888A1 (en) * 2010-06-30 2012-01-05 Applied Materials, Inc. Methods and apparatus for radio frequency (rf) plasma processing
CN102480831A (zh) * 2010-11-26 2012-05-30 北京北方微电子基地设备工艺研究中心有限责任公司 下电极装置及半导体设备
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9368329B2 (en) * 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9530620B2 (en) 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9043525B2 (en) * 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
CN104754851B (zh) * 2013-12-31 2017-10-20 北京北方华创微电子装备有限公司 多频匹配器及等离子体装置
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) * 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US9799491B2 (en) * 2015-10-29 2017-10-24 Applied Materials, Inc. Low electron temperature etch chamber with independent control over plasma density, radical composition and ion energy for atomic precision etching
US10553465B2 (en) * 2016-07-25 2020-02-04 Lam Research Corporation Control of water bow in multiple stations
FR3058602B1 (fr) * 2016-11-08 2021-02-12 Centre Nat Rech Scient Circuit d'adaptation d'impedance entre un generateur et une charge a des frequences multiples, ensemble comportant un tel circuit et utlisation liee.
US10395896B2 (en) 2017-03-03 2019-08-27 Applied Materials, Inc. Method and apparatus for ion energy distribution manipulation for plasma processing chambers that allows ion energy boosting through amplitude modulation
US10879044B2 (en) 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
CN110870040B (zh) 2017-07-13 2022-05-03 应用材料公司 衬底处理方法和设备
KR102024185B1 (ko) * 2018-01-11 2019-09-23 (주)이큐글로벌 소스 매처
CN111092008A (zh) * 2018-10-24 2020-05-01 江苏鲁汶仪器有限公司 一种感应耦合等离子体刻蚀设备及刻蚀方法
TW202243549A (zh) * 2021-04-22 2022-11-01 大陸商北京屹唐半導體科技股份有限公司 用於感應耦合電漿(icp)負載的雙頻匹配電路

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US457961A (en) * 1891-08-18 Island
US20030054647A1 (en) 1998-09-16 2003-03-20 Tomoki Suemasa Plasma processing method

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57113599A (en) * 1981-01-06 1982-07-15 Kokusai Electric Co Ltd Automatic matching device for plasma generator
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
EP0149089B1 (en) * 1984-01-06 1989-11-23 Tegal Corporation Single electrode, multiple frequency plasma apparatus
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US5316616A (en) * 1988-02-09 1994-05-31 Fujitsu Limited Dry etching with hydrogen bromide or bromine
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5383019A (en) * 1990-03-23 1995-01-17 Fisons Plc Inductively coupled plasma spectrometers and radio-frequency power supply therefor
US5280154A (en) 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5273610A (en) * 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
JP3251087B2 (ja) * 1993-02-16 2002-01-28 東京エレクトロン株式会社 プラズマ処理装置
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
JPH0897199A (ja) * 1994-09-22 1996-04-12 Toshiba Corp 絶縁膜の形成方法
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US6252354B1 (en) * 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5689215A (en) * 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6500314B1 (en) * 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
JP3220383B2 (ja) * 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
KR100525961B1 (ko) 1996-11-04 2005-12-21 어플라이드 머티어리얼스, 인코포레이티드 플라즈마시스에서발생하는고주파를필터링하는플라즈마처리장치및방법
US5889252A (en) * 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
JP4283360B2 (ja) * 1998-01-29 2009-06-24 キヤノンアネルバ株式会社 プラズマ処理装置
TW434636B (en) * 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
JP2000156370A (ja) * 1998-09-16 2000-06-06 Tokyo Electron Ltd プラズマ処理方法
US6259334B1 (en) * 1998-12-22 2001-07-10 Lam Research Corporation Methods for controlling an RF matching network
US6507155B1 (en) * 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US6887339B1 (en) * 2000-09-20 2005-05-03 Applied Science And Technology, Inc. RF power supply with integrated matching network
JP3897582B2 (ja) * 2000-12-12 2007-03-28 キヤノン株式会社 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置
JP2003073836A (ja) 2001-08-28 2003-03-12 Canon Inc 真空処理方法及び真空処理装置
US6887340B2 (en) 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
JP3905870B2 (ja) * 2003-08-01 2007-04-18 東京エレクトロン株式会社 プラズマ処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US457961A (en) * 1891-08-18 Island
US20030054647A1 (en) 1998-09-16 2003-03-20 Tomoki Suemasa Plasma processing method

Also Published As

Publication number Publication date
JP2007515761A (ja) 2007-06-14
US7879185B2 (en) 2011-02-01
TW200522145A (en) 2005-07-01
TWI355015B (en) 2011-12-21
KR20080086556A (ko) 2008-09-25
WO2005066997A3 (en) 2005-09-29
WO2005066997A2 (en) 2005-07-21
US20050133163A1 (en) 2005-06-23

Similar Documents

Publication Publication Date Title
KR101027090B1 (ko) 임피던스 정합 장치
TWI689986B (zh) 電漿處理方法及電漿處理裝置
US9318304B2 (en) Frequency tuning for dual level radio frequency (RF) pulsing
KR102038617B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
TWI552223B (zh) 電漿處理裝置
JP5129433B2 (ja) プラズマ処理チャンバ
KR100849708B1 (ko) 이중 주파수 rf 소오스를 사용한 플라즈마 생성 및 제어
US20040149221A1 (en) Plasma processor
US11830709B2 (en) Broadband plasma processing systems and methods
US6954033B2 (en) Plasma processing apparatus
TW202329193A (zh) 射頻電漿處理腔室中的失真電流減緩
US20220399184A1 (en) Plasma uniformity control in pulsed dc plasma chamber
CN101630624B (zh) 双频rf匹配
US20040182319A1 (en) Inductively coupled plasma generation system with a parallel antenna array having evenly distributed power input and ground nodes
KR100902435B1 (ko) 임피던스 정합 장치
TWI416995B (zh) A plasma processing chamber having a switchable bias frequency, and a switchable matching network
KR101914902B1 (ko) 플라즈마 발생장치 및 이를 포함하는 기판 처리 장치
TWI442838B (zh) A single matching network, a construction method thereof, and a matching network radio frequency power source system
US10685811B2 (en) Switchable matching network and an inductively coupled plasma processing apparatus having such network
US8445988B2 (en) Apparatus and method for plasma processing
KR100383257B1 (ko) 반도체 식각 용 진공 챔버의 하부전극 정합장치
US20230187175A1 (en) Hybrid matcher and radio frequency matching system including the hybrid matcher
WO2022075975A1 (en) Broadband plasma processing systems and methods
KR20150037621A (ko) 고 주파수 무선 주파수에 대한 전극 임피던스를 튜닝하고 저 주파수 무선 주파수를 접지로 종단하기 위한 장치 및 방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140227

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150227

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 7