KR100961793B1 - 처리 챔버로의 가스 유동을 제어하기 위한 기기 및 그 방법 - Google Patents

처리 챔버로의 가스 유동을 제어하기 위한 기기 및 그 방법 Download PDF

Info

Publication number
KR100961793B1
KR100961793B1 KR1020080016710A KR20080016710A KR100961793B1 KR 100961793 B1 KR100961793 B1 KR 100961793B1 KR 1020080016710 A KR1020080016710 A KR 1020080016710A KR 20080016710 A KR20080016710 A KR 20080016710A KR 100961793 B1 KR100961793 B1 KR 100961793B1
Authority
KR
South Korea
Prior art keywords
gas
flow
semiconductor processing
equipment
processing systems
Prior art date
Application number
KR1020080016710A
Other languages
English (en)
Other versions
KR20080079209A (ko
Inventor
에즈라 로버트 골드
리챠드 챠일즈 포벨
제임스 패트릭 크루즈
자레드 아마드 리
브루노 제오프라이언
더글라스 아더 주니어 부치버거
마틴 제프리 살리나스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080079209A publication Critical patent/KR20080079209A/ko
Application granted granted Critical
Publication of KR100961793B1 publication Critical patent/KR100961793B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • Y10T137/7758Pilot or servo controlled
    • Y10T137/7761Electrically actuated valve
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87249Multiple inlet with multiple outlet

Abstract

반도체 처리 시스템에 가스를 전달하기 위한 방법 및 기기가 제공된다. 일 실시예에서, 반도체 처리 시스템에 가스를 전달하기 위한 기기는 각각 입구 포트를 갖는 다수의 가스 입력 라인 및 각각 출구 포트를 갖는 다수의 가스 출력 라인을 갖는다. 커넥팅 라인이 가스 입력 라인과 가스 출력 라인 쌍을 각각 연결한다. 각각의 커넥팅 라인을 통한 유동 제어를 위해 커넥팅 밸브가 배열된다. 질량 가스 유동 제어기는 각각의 입구 포트 내에 유동을 제어하도록 배열된다. 다른 실시예에서, 방법은, 다수의 출구부 중 하나에 선택적으로 연결될 수 있는 다수의 입구부를 갖는 매니폴드를 제공하는 단계; 검정 회로 전에 또는 처리 전에 처리 챔버를 바이패싱하는 진공 분위기에 매니폴드를 통해 하나 또는 그 이상의 가스를 유동시키는 단계, 및 기판 처리 동안 처리 챔버 내로 하나 또는 그 이상의 가스를 유동시키는 단계를 포함한다.

Description

처리 챔버로의 가스 유동을 제어하기 위한 기기 및 그 방법{METHOD AND APPARATUS FOR CONTROLLING GAS FLOW TO A PROCESSING CHAMBER}
본 발명은 일반적으로 처리 챔버로의 가스 유동을 제어하기 위한 기기 및 방법에 관한 것이다.
가스 유동의 정확한 제어는 다수의 마이크로-전자 장치 제조 처리에 있어서 결정적인 중요한 처리 제어 특성이다. 반도체 처리 챔버 내에서 기판과 기판 지지체 사이에 가스를 제공하는 것은, 기판과 기판 지지체 사이의 열 전달을 증진시키기 위해 공지된 기술이며, 이에 따라 기판 온도 제어 및 균등성의 정확도를 증진시킨다. 추가로, 처리 챔버 내에 유동하는 처리 가스의 정확한 제어는 바람직한 처리 결과, 특히 임계 치수 및 필름 두께 수축을 얻는데 있어서 필요하다. 더욱이, 가스들은 기판 처리 분위기 효과를 완화하는 유출 스트림으로서 처리 챔버에 가해질 수 있다. 유출 스트림에 더해진 가스의 양호한 제어성은 효과적인 비용 및 적정한 개선을 위해 필요하다.
반도체 처리 챔버에 사용된 종래 가스 전달 시스템은 주된 유동 조절 장치로 서 질량 가스 유량계(MFC; mass gas flow meter)를 포함하는 것이 일반적이다. 그러나 MFC의 정확성은 실제 가스 유동의 불확정성에 따른 다수의 인자에 의해 영향을 받는다. 예를 들어, MFC의 정확성은 온도, 라인 압력 및 체적 상의 변화에 따라 전형적으로 변화할 수 있다. MFC 부정확성에 따른 가스 유동 설정 지점으로부터 벗어남에 따라 처리 오염, 불량한 방출 제어 및 가스의 낭비로 인한 비용 문제를 야기할 수 있다.
종래 압력 제어 시스템은 비교적 신뢰성 있는 것으로 여겨지지만, 실제 기술 분야에서는 보다 정확한 유동 측정 요구가 크다. 예를 들어 후면 기판 냉각 적용에 사용되는 가스 유동의 불량한 제어는 불량한 기판 온도 제어를 야기할 수 있어서, 이에 따라 필름 증착 또는 에칭이 불량해지며, 이는 차세대 회로 디자인에 있어서 개선되어야 한다.
더욱이, 종래 가스 전달 시스템은 가스 공급원으로부터 처리 챔버 내로의 가스 경로를 설정하기 위해 고정된 도관을 갖는 것이 일반적이다. 따라서, 처리 가스 중 오직 미리 정해진 조합만이 특정 시간에 처리 챔버에 전달될 수 있다. 이러한 고정된 가스 전달 경로 결정은 처리 유연성을 방해한다. 예를 들어, 고정된 가스 전달 경로를 갖는 처리 챔버는 처리 가스의 상이한 조합을 필요로 하는 새롭거나 개선된 처리 방안을 수용할 수 없다. 추가로, 제 1 처리를 수행하도록 처리 가스의 하나의 조합을 전달하는 고정된 가스 전달 경로를 갖는 처리 챔버는 상이한 조합의 가스를 사용하는 제 2 처리를 수행할 수 없으며, 이에 따라 챔버가 다른 처리를 위해 사용되는 것을 방해하고 FAB 사용자가 고가의 설비 투자를 추가할 것을 강요한다. 따라서, 보다 유연성 있는 가스 전달 시스템을 개발하는 것이 바람직하다.
따라서, 반도체 처리 시스템으로의 가스 전달을 제어하기 위한 개선된 방법 및 기기가 필요하다.
반도체 처리 시스템에 가스를 전달하기 위한 방법 및 기기가 제공된다. 일 실시예에서, 반도체 처리 시스템에 가스를 전달하기 위한 기기는 각각 입구 포트(inlet port)를 갖는 다수의 가스 입력 라인(gas input line) 및 각각 출구 포트(outlet port)를 갖는 다수의 가스 출력 라인(gas output line)을 갖는다. 다수의 커넥팅 라인(connencting line)이 제공되며, 이는 가스 입력 라인과 가스 출력 라인 쌍을 각각 연결한다. 각각의 커넥팅 라인을 통한 유동 제어를 위해 커넥팅 밸브가 배열된다. 다수의 질량 가스 유동 제어기는 각각의 입구 포트 내에 유동을 제어하도록 배열된다.
다른 실시예에서, 포어 라인(foreline)을 통해 설비 배기부(facility exhaust)에 연결된 처리 챔버를 포함하는 처리 시스템으로의 가스 유동을 제어하기 위한 방법은, 제 1, 2, 3, 4 출구부 중 적어도 하나에 선택적으로 연결될 수 있는 적어도 제 1, 2, 3, 4 입구부를 갖는 매니폴드를 제공하는 단계; 검정 회로(calibration circuit) 전에 또는 처리 전에 처리 챔버를 바이패싱(by-bass)하는 진공 분위기에 매니폴드를 통해 하나 또는 그 이상의 가스를 유동시키는 단계, 및 기판 처리 동안 처리 챔버 내로 하나 또는 그 이상의 가스를 유동시키는 단계를 포함한다.
다른 실시예에서, 포어 라인을 통해 설비 배기부에 연결된 처리 챔버를 포함하는 처리 시스템으로의 가스 유동을 제어하기 위한 방법이 제공된다. 상기 방법은 적어도 제 1 출구 포트, 제 2 출구 포트, 제 3 출구 포트 및 제 4 출구 포트를 갖는 매니폴드 내로 제 1 가스 공급원으로부터 제 1 가스를 유동시키는 단계, 매니폴드 내로 제 2 가스 공급원으로부터 제 2 가스를 유동시키는 단계, 매니폴드를 구비한 밸브의 작동 상태를 선택하여 처리 모드 동안 제 1 및 제 2 가스가 제 2 또는 제 3 출구 포트 중 적어도 하나를 통해 진출하도록 하는 밸브 작동 상태 선택 단계, 매니폴드 내의 미리 정해진 가스 상태가 획득되기까지 처리 챔버를 바이패싱하는 포어 라인 내에서 그리고 매니폴드를 통하여 제 1 및 제 2 가스를 유동시키는 단계, 미리 정해진 상태가 획득된 이후 제 1 및 제 2 가스가 매니폴드를 빠져나가도록 하여 처리 챔버로 지향시키는 단계, 및 처리 챔버 내에서 기판을 처리하는 단계를 포함한다.
도 1은, 예시적인 반도체 처리 챔버(114)에 가스를 전달하도록 사용되는 가스 전달 시스템(100)의 개략적인 도면이다. 처리 챔버(114)는 화학적 기상 증착(CVD), 물리적 기상 증착(PVD), 에칭 처리, 이온 주입 공정, 열처리, 에슁(ashing), 가스 제거(degassing), 배향 공정(orientation), 기타 진공 처리 기술을 수행하도록 구성된다. 제어기(150)는 처리 챔버(114)와 가스 전달 시스템(100)을 연결하여 그 작동을 제어한다. 제어기(150)는 일반적으로 프로세서, 지지 회로 및 메모리를 포함한다.
처리 챔버(114)의 외측에 위치한 가스 전달 시스템(100)은 가스 매니폴드(134)에 연결된 다수의 가스 공급원을 포함한다. 매니폴드(134)는 처리 챔 버(114)에 연결된 가스 공급원의 어떤 조합도 가능하게 하는 (후술할) 다수의 밸브를 포함한다. 또한, 매니폴드(134)는 각각의 시스템 구성요소 및 도관의 신속한 진공 및 유동 검사를 가능하게 하도록 이루어진다. 시스템(100)은 어떠한 개수의 가스 공급원과 상호 면하도록 구성될 수 있으며, 도 1에 도시된 실시예에는 6개의 가스 공급원(102A~F)이 도시된다.
각각의 가스 공급원(102A~F)이 각각의 매니폴드 입구 포트(104A~F)에 연결된다. 차단 밸브(142) 및 질량 유동계(MFC)(170)가 각각의 가스 공급원(102A~F)과 각각의 매니폴드 입구 포트(104A~F) 사이에 위치하여 매니폴드(134)를 진입하는 각각의 공급원(102A~F)으로부터의 가스 유동을 제어한다. 매니폴드(134)는 다수의 매니폴드 출구 포트(106A~F)를 포함하며, 이들은 매니폴드 입구 포트(104A~F)를 통해 가스 공급원(102A~F) 중 어느 하나와 선택적으로 연결될 수 있다. 적어도 하나의 출구 포트(106A~F)는 검정 회로(144) 및/또는 정화 라인(purge line)(154)에 연결될 수 있다.
도 1에 도시된 일 실시예에서, 6개의 출구 포트(106A~F)가 제공된다. 제 1 가스 전달 출구 포트(106A)가 가스 유동을 정확히 측정하도록 이루어진 검정 회로(144)와 연결된다. 검정 회로(144)는 초킹(chock)된 유동 조건을 제공하도록 크기가 결정된 오리피스(130)를 포함한다. 일 실시예에서, 오리피스(130)는 처리 챔버(114)의 제한과 실질적으로 동일한 제한을 제공하도록 크기가 결정된다. 오리피스(130)는, 가스가 처리 챔버(114) 내로 유동하는 경우 존재하는 조건과 유사하게 매니폴드(134) 내에 유동 조건을 생성한다. 검정 회로(144)는 MFC 또는 다른 시스 템 구성요소의 유동 검사를 수행하도록 사용될 수 있으며, 실제 처리 챔버(114) 내로의 유동을 필요로 하지 않다. 오리피스(130)는 경험적, 실험적 분석 또는 기타 적정한 방법에 의해 결정될 수 있다. 일 실시예에서, 오리피스(130)는 바람직한 압력이 확보될 때까지 오리피스(130) 하류의 압력을 측정하고 오리피스 크기를 제어함으로써 결정될 수 있다.
일 실시예에서, 검정 회로(144)는 가스 공급원, 전환 밸브, 오리피스, 조절 밸브 및 센싱 회로를 포함한다. 조절 밸브는 가스 공급원과 전환 밸브의 입구 사이에서 유체 연결된다. 오리피스는 전환 밸브의 제 1 출구부에 유체 연결되고 처리 챔버와 실질적으로 동일한 유동 저항을 갖는다. 센싱 회로는 오리피스를 통과하는 가스 유동을 수용하도록 이루어진다. 다른 실시예에서, 검정 회로(144)는 가스 유동을 수용하도록 검정된 체적을 활용한다. 검정된 체적 내의 가스로부터 측정된 특성 및/또는 특징으로부터, 센싱 회로를 진입하는 가스의 유동률 및/또는 압력이 확인될 수 있다. 다른 실시예에서, 검정 회로(144)는 가스 유동을 수용하는 비-검정 체적(non-calibrated volume)을 활용한다. 비-검정 체적 내의 가스의 시간에 따라 측정된 특성 및/또는 특징의 변화로부터, 센싱 회로를 진입하는 가스의 유동률 및/또는 압력이 확인될 수 있다. 또 다른 실시예에서, 검정 회로(144)는 검정된 회로 내에 위치하는 진동 부재(vibrating member)를 포함한다. 다른 실시예에서, 검정 회로(144)는 검정된 체적 내에 위치하는 가스의 적어도 하나의 전기적 또는 자기적 특징을 탐지할 수 있도록 구성된 센서를 포함할 수 있다. 또 다른 실시예에서, 검정 회로(144)는 캔틸레버에 의해 지지되는 탱크를 포함할 수 있다.
검정 회로(144)를 나가는 유동은 검정 회로 출구 라인(142)에 의해 정화 라인(154)에 연결된다. 분리 밸브(140)는 선택적으로 검정 회로(144)를 정화 라인(154)으로부터 분리한다. 정화 라인(154)은 처리 챔버(114)를 진출하여 설비 배기부(136)에 이르는 포어 라인(138)에 연결된다.
출구 포트(106B~E)는 처리 챔버(114)의 하나 또는 그 이상의 입구 포트에 연결되어 가스 공급원(102A~F)으로부터 다양한 처리 가스를 공급한다. 도 1에 도시된 실시예에서, 출구 포트(106B~E)는 각각 처리 챔버(114)의 입구 포트(110A~D)에 연결된다. 다수의 최종 밸브(116)가 각각 매니폴드 출구 포트(106B~E)와 챔버 입구 포트(110A~D) 사이에 연결될 수 있어서 처리 챔버(114) 내에 유동하는 가스의 온/오프 유동 제어 기능을 한다.
제 6 포트(106F)는 분리 밸브(172)를 통해 정화 라인(154)에 연결된다. 분리 밸브(172)가 개방되면 정화 라인(154)은 가스 매니폴드(134)로부터 설비 배기부(136) 내측으로의 효과적인 가스 제거 기능을 하는 신속한 진공 경로를 제공한다. 스로틀 밸브(156)는 매니폴드(134)로부터 정화 라인(154)을 통한 가스 유동 제어에 사용될 수 있다. 신속한 진공 경로는 가스 사이에서 최소의 혼선(cross-talk)으로서 신속한 가스 변경을 가능하게 한다.
또한, 신속한 진공 경로는 선택적으로 매니폴드 출구 포트(106A~E) 및 검정 회로(144)에 연결될 수 있다. 도 1에 도시된 실시예에서, 바이패스 밸브(bypass valve)(108A~E)는 매니폴드 출구 포트(106A~E)와 정화 라인(154) 사이에 위치한다. 바이패스 밸브(108A~E)는 선택적으로 작동하여 포어 라인(138)에 출구 포트(106A~E)를 연결할 수 있다. 예를 들어, 바이패스 밸브(108A)는 검정 회로(144)를 바이패싱함으로써 매니폴드 출구 포트(106A)로부터 정화 라인(154) 내로 진출하는 가스를 지향하도록 선택적으로 작동할 수 있다. 또 다른 실시예에서, 바이패스 밸브(108B~E)는 처리 챔버(114)를 바이패싱함으로써 매니폴드 출구 포트(106B~E)로부터 정화 라인(154) 내로 진출하는 가스를 지향하도록 선택적으로 작동할 수 있다. 분리 밸브(172)는 매니폴드(134)의 제 6 포트(106F)로부터 정화 라인(154) 내로의 유동을 제어한다.
또한, 센서(190)가 가스 전달 시스템(100)의 다양한 위치에서 제공될 수 있어서 시스템(100) 내의 가스 유동의 계측 지시 및/또는 화학물 특성을 제공한다. 센서(190)에 의해 제공된 계측은 제어기(150)에 의해 사용되어 MFC(170)의 출력 또는 가스 전달 시스템(100)의 다른 구성요소의 출력을 제어할 수 있어서 가스의 바람직한 조성, 압력, 비율 또는 체적이 챔버(114)에 제공된다. 센서(190)는 압력 센서, 화학물 센서, 유동률 센서 등일 수 있다.
도 2는, 도 1에 도시된 매니폴드(134)의 일 실시예의 개략적인 다이어그램이다. 각각의 매니폴드 입구 포트(104A~F)는 각각 입구 가스 전달 라인(220A~F)과 연결되어 가스 공급원(102A~F)으로부터 매니폴드(134) 내측으로 가스 전달 기능을 한다. 각각의 매니폴드 출구 포트(106A~F)는 각각 출구 가스 전달 라인(232A~F)과 연결된다. 각각의 가스 전달 라인(232A~F)은 하나 또는 그 이상의 입구 가스 전달 라인(220A~F)과 선택적으로 연결될 수 있다. 매니폴드(134)가 어떠한 개수의 입구 가스 출구 가스 전달 라인과 연결될 수 있으나, 도 2에 도시된 실시예에서는 6개의 가스 전달 라인(102A~F) 및 6개의 출구 가스 전달 라인(232A~F)이 도시된다. 일반적으로 가스 입구 전달 라인의 개수는 가스 공급원의 개수와 상응한다.
입구 가스 전달 라인(220A)은 입구 가스 전달 라인(220A)을 각각의 출구 가스 전달 라인(232A~F)과 연결하는 다수의 커넥팅 라인(250A~F)을 포함한다. 커넥팅 밸브(204A~F)는 커넥팅 라인(250A~F)과 연관되어 위치하며, 밸브(204A~F)의 선택된 작동 상태에 따라 입구 가스 전달 라인(220A)을 입구 가스 전달 라인(220A)을 통해 하나 또는 그 이상의 출구 가스 전달 라인(232A~F)에 유체 연결하도록 작동될 수 있다. 커넥팅 밸브(204A~F)는 가스 공급원(102A)을 선택된 출구 포트(106A~F)에 선택적으로 연결하여, 이에 따라 매니폴드(134)를 통해 공급원(102A)으로부터 제공된 가스의 경로를 제어한다. 예를 들어, 커넥팅 밸브(204A)가 개방 작동 상태이고, 커넥팅 밸브(204B~F)가 폐쇄되어 있다면, 공급원(102A)으로부터의 가스는 출구 포트(106A)를 통해 검정 회로(122)로 경로를 정한다. 다른 실시예에서, 커넥팅 밸브(204B~C)가 개방 작동 상태이고, 커넥팅 밸브(204A, D~F)가 폐쇄되어 있다면, 공급원(102A)으로부터의 가스는 출구 포트(106B~C)를 통하도록 경로를 정한다. 각각의 다른 입구 가스 전달 라인(220B~F)은 커넥팅 라인(250A~F) 및 밸브(204A~F)와 유사하게 구성되어 입구 가스 전달 라인(220B~F)을 각각의 출구 가스 전달 라인(232A~F)에 연결한다. 입구 가스 전달 라인(220B~F)과 연관된 도면 부호(250A~F, 204A~F)는 도 2의 보다 명료한 설명을 위해 생략되었다.
도 3은 가스 매니폴드(334)의 대안적 실시예를 도시한다. 가스 매니폴드(334)는 실질적으로 도 2에 도시된 가스 매니폴드(134)와 유사하나, 가스 매니폴 드(334)가 가스 입구 전달 라인(220A)을 각각의 가스 출구 전달 라인(232A~F)에 연결하는 다수의 가변 커넥팅 밸브(304A~F)를 포함한다는 점이 상이하다. 가변 커넥팅 밸브(304A~F)는 가스 입구 전달 라인(220A)을 통하는 유동의 일부가 각각의 가스 출구 전달 라인에 진입하도록 조절될 수 있다. 가변 커넥팅 밸브(304A~F)는 비례 밸브(proportional valve), 핀치 밸브(pinch valve), 스로틀 밸브, 질량 유동 제어기, 니들 밸브(needle valve) 또는 입구 라인과 출구 라인 사이의 유동을 조절하기에 적합한 다른 유동 제어 장치일 수 있다.
가변 커넥팅 밸브(304A~F)의 작동 상태는 밸브를 바이패싱하는 유동에 대한 밸브를 통하는 유동의 바람직한 비율을 생성하도록 제어될 수 있어서, 밸브(304A~F)가 라인(220A) 내의 유동률 제어기로서 작동한다. 가변 커넥팅 밸브(304A~F)의 작동 상태는 (도 3에 도시되지 않은) 센서(190)에 의해 제공된 계측에 응답하여 제어기(150)에 의해 제어될 수 있다. 이러한 방법으로, 예를 들어, 단일 가스 입력 전달 라인(220A)으로부터 두 개(또는 그 이상)의 가스 출구 전달 라인(232A~F) 내에 제공된 가스의 비율이 센서(190)에 의해 제공된 계측에 응답하여 제어될 수 있어서 타겟 화학물 조성, 유동률 및/또는 압력이 매니폴드(334)의 출구부(106A~F)에서 인지될 수 있다. 각각의 다른 입구 가스 전달 라인(220B~F)은 커넥팅 라인(250A~F) 및 밸브(304A~F)와 유사하게 구성되어, 입구 가스 전달 라인(220B~F)을 각각의 출구 가스 전달 라인(232A~F)에 연결한다. 입구 가스 전달 라인(220B~F)과 연관된 도면 부호(250A~F, 304A~F)는 도 3의 보다 명료한 설명을 위해 생략되었다.
도 2로 돌아와서, 매니폴드(134)는 추가로 브릿징 회로(bridging circuit)(202)를 포함한다. 브릿징 회로(202)는 각각의 선택 밸브(262A~F)에 의해 각각의 출구 라인(232A~F)에 선택적으로 연결된 브릿징 라인(260)을 포함한다. 브릿징 회로(202)를 사용하여, 예를 들어 커넥팅 밸브 중 하나(204)와 같은 유동 구성요소 중 어떠한 것도 검정 회로(144)와 연결될 수 있다. 또한, 브릿징 회로(202)는 예를 들어 공급원(102A)과 같은 유체 공급원과 관련된 MFC(170)가 커넥팅 밸브(204A)의 저항이 있는 제 2 경로를 통해 검정 회로(144)에 연결되도록 한다. 더욱이, 브릿징 회로(202)는 각각의 출력 라인(232A~E)이 (출력 라인(232F)을 통해) 대향 단부로부터 정화 라인(154)에 연결되도록 하며, 이에 따라 매니폴드(134)를 정화하는데 필요한 시간을 감소시킨다.
또한, 다수의 단절부(disconnect)가 다수의 가스 매니폴드(134) 연결을 위해 제공될 수 있다. 도 2에 도시된 실시예에서, 제 1 그룹의 단절부(216A)가 출구 포트(106A~F)에 인접하게 위치하며, 제 2 그룹의 단절부(216B)가 브릿징 라인(260)과 밸브(262A~F) 사이에서 브릿징 회로(202) 내에 위치한다. 예를 들어, 도 4에 도시된 바와 같이, 각각의 매니폴드(434A, 434B)의 단절부(216A, 216B)의 짝이 되는 부분들을 사용하여, 제 2 그룹의 단절부(216B)는 제 1 매니폴드(434A)의 브릿징 라인(260)이 제거되도록 하고, 제 1 매니폴드(434A)의 가스 출구 전달 라인(232A)의 제 1 단부(402)가 제 2 매니폴드(434B)의 출구 라인(232A)의 제 2 단부(404)에 연결되도록 한다. (도 3에 도시되지 않은) 다른 출구 라인(232B~F)은 유사하게 연결된다. 어떠한 개수의 매니폴드도 이러한 방식으로 함께 연결될 수 있음을 주지하 여야 한다.
도 2로 돌아와서, 전술한 하나 또는 그 이상의 센서(190)가 어떠한 라인(220A~F, 232A~F, 260, 154)과도 상호 면할 수 있어서 시스템(100) 내에 가스 유동 계측 지시 및/또는 화학물 특성을 제공한다. 센서(190)에 의해 제공된 계측은 제어기(150)에 의해 사용되어 MFC(170), 밸브(262A~F, 204A~F, 304A~F) 또는 가스 전달 시스템(100)의 다른 구성요소의 작동 상태를 조절하며, 그 결과 가스의 바람직한 조성, 압력, 비율 또는 부피가 챔버(114)에 제공된다. 또한, 계측은 가스 전달 시스템(100) 내의 다양한 부분들 내의 가스 조성을 감지하도록 사용될 수 있어서, 정화, 화학물 혼합, 가스 변화 등의 상태가 실시간으로 탐지되며, 그 결과 시스템 반응 시간이 증진되고 과도한 처리 가스 낭비가 최소화된다.
도 5는 처리 챔버(114)에 가스를 공급하도록 사용되는 가스 전달 시스템(500)의 다른 실시예를 도시한다. 가스 전달 시스템(500)은 전술한 가스 공급원(102A~F), 정화 라인(154), 및 검정 회로(144)에 연결된 매니폴드(134)를 포함한다. 매니폴드(134)의 출구 포트(106B~E)는 처리 챔버(114)의 입구 포트(516, 518)에 선택적으로 연결되어 처리 챔버(114)로의 가스 전달 기능을 한다. 도 5에 도시된 실시예에서, 2개의 구분된 가스 입구 포트(516, 518)는 매니폴드(134)로부터 처리 챔버(114)에 공급된 가스를 전달하도록 사용된다. 일 실시예에서, 입구 포트(516)는 처리 챔버(114)의 중앙에 가스를 제공하고, 입구 포트(518)는 입구 포트(518)의 외측에 위치하여 처리 챔버의 외측 영역(즉, 챔버 내에 위치한 기판 둘레)에 가스를 제공한다. 예를 들어, 입구 포트(516)는 가스를 샤워헤드 중앙 영역 에 제공할 수 있으며, 입구 포트(518)는 가스를 샤워헤드 외측 영역에 제공할 수 있다. 다른 실시예에서, 입구 포트(516)는 처리 챔버의 지붕 내에 위치하여 기판에 하방으로 가스를 제공할 수 있으며, 입구 포트(518)는 처리 챔버의 측벽 내에 위치하여 기판의 외측 영역에 가스를 제공할 수 있다. 또 다른 실시예에서, 가스는 입구 포트(516, 518)를 통해 별도로 제공되어, 처리 챔버(114)의 내측 체적 내에서 오직 한 번만 가스의 혼합이 이루어질 수 있다.
유동률 제어기(FRC; flow rate controller)(502, 504)가 각각의 출구 포트(106D~E)에 연결된다. FRC(502, 504)는 유동을 구분하여 각각의 출구 포트(106D~E)를 진출하며, 그 결과 미리 정해진 유동률이 처리 챔버(114)의 각각의 입구 포트(516, 518) 사이에 제공된다. FRC(502, 504)는 고정된 출력비를 가질 수 있으며 또는 제어 가능한 출력비를 가질 수 있다. 매니폴드 출력 포트(106B~C) 및 FRC(502, 504)의 출력은 공통 전달 라인(522, 524)에 각각 혼합된다. 각각의 전달 라인(522, 524)을 통하는 유동은 처리 챔버(114)의 입구 포트(516, 518)의 바로 상류에 위치하는 최종 밸브(508, 506)에 의해 제어된다.
바이패스 밸브(510, 512)가 각각의 전달 라인(522, 524)에 연결된다. 바이패스 밸브(510, 512)는 개방되어 각각의 전달 라인(522, 524)을 정화 라인(154)에 연결할 수 있다.
전술한 가스 전달 시스템은 종래의 시스템에 비하여 다수의 장점을 갖는다. 시스템 모듈 방식(system's modularity)에 추가하여, 가스 전달 시스템은 사전-유동 경로(pre-flow path), 신속 배출 경로(fast evacuation path), 제어된 화학물 혼합, 보다 유용한 화학물 사용, 순차적으로 감소된 진공 및 충진 시간, 화학물 전달의 미세 조정을 위한 폐쇄 루프 제어 및 유동 확인(flow verification)을 제공하도록 작동될 수 있다.
사전-유동 경로(PRE-FLOW PATH)
하나의 작동 모드에서 사전-유동 경로가 가스 전달 시스템(100)을 통해 한정되며, 화학물 공급원(예를 들어, 공급원(102A~F))으로의 커넥션을 하나 또는 그 이상의 밸브를 통해 처리 챔버(114)로부터 구분된 진공 분위기(예를 들어, 정화 라인(154)을 통한 포어 라인(138))에 제공한다. 가스가 처리 챔버(114) 내에서 필요하기 전에, 실질적으로 가스 조건에 맞는 조건에서 가스들은 처리 챔버(114) 내로 유동함에 따라 가스 전달 시스템(100)의 매니 폴드(134)의 적정 라인에 공급될 수 있다. 이는, 매니폴드(134) 내의 가스들이 가스들 중 하나가 처리 챔버(114) 내로 분기되어 실질적으로 유지되는 안정 상태(steady state) 조건에 빠르게 이르도록 한다. 사전-유동 경로는 가스 전달 시스템(100) 내의 가스들이 처리 챔버(114) 내에 분기되기 전에 "처리 조건(process condition)"에서 안정화되도록 하기 때문에, 전형적으로 압력 강하(drop) 또는 감소된 유동률을 겪는 종래 가스 전달 시스템과 달리, 일단 챔버로의 유동이 시작되면 전달 시스템(100) 내의 유동 조건의 변화가 거의 또는 전혀 없다. 따라서, 사전-유동 경로가 가스가 처리 챔버 내에 유동하는 경우에 대하여 실질적으로 동일한 저항 및 유동 조건을 제공함에 따라 처리 챔버 내에서 가스 전달의 균등성이 빠르게 이루어진다. 또한, 스로틀 밸브(144)는 처리 챔버(114)의 조건과 사전-유동 경로의 조건을 맞추는데 사용될 수 있다.
추가로, 유동률 제어기(502, 504) 또는 밸브(304A~F)와 같은 어떠한 유동 분리 장치도 처리 전에 사전-유동 경로 내로 그 출력 경로를 정하도록 할 수 있어서, 분리 장치의 출력이 유동이 처리 챔버에 전달되기 전에 안정화될 수 있다. 일 실시예에서, 사전-유동 경로는 정화 라인(154) 및 바이패스 라인을 통하고 매니폴드 출구부(106A~B)를 통하고 바이패스 밸브(108A~F)를 통하여 정화 라인(154) 내로 한정된다.
신속 배출 경로(FAST EVACUATION PATH)
다른 작동 모드에서, 신속 배출 경로가 가스 전달 시스템(100) 내에서 한정되고 매니폴드(134)로부터 정화 라인(154)을 통해 포어 라인(138)으로 커넥션을 제공한다. 신속 배출 경로는 하나 또는 그 이상의 밸브를 통하는 처리 챔버 전달 경로를 통해서 처리 챔버(114)로부터 분리된 진공 분위기(예를 들어, 정화 라인(154)을 통한 포어 라인(138))에 화학물 전달 공급원으로부터의 연결부를 제공한다. 신속 배출 경로는 각각의 챔버 커넥션에 연결되어, 유동률 제어기(502, 504), 밸브(304A~F) 또는 다른 유동 제한부와 같은 어떠한 2개의 유동 제한부 사이에서도 적어도 하나의 커넥션이 존재한다. 처리 챔버(114) 내의 화학물이 변화될 필요가 있다면, 진공 분위기로의 분리 밸브(172) 및 바이패스 밸브(108A~E)가 개방되고 과도한 처리 화학물을 화학물 전달 경로로부터 제거한다.
전술한 바와 같이, 매니폴드(134) 내의 다양한 밸브들의 작동 상태가 순차적 으로 이루어져서, 신속 배출 경로를 통해 가스 전달 시스템(100)으로부터 제거되는 화학물의 정화 시간이 최소화된다. 추가로 밸브(204A~F)의 작동 상태가 순차적으로 이루어져서 특정 영역에서 매니폴드(134)가 이전 처리에서 사용된 화학물을 비움에 따라 비워지는 영역들이 새로운 화학물로 채워지고, 그 결과 매니폴드(134) 내의 가스들이 가장 효과적인 방법으로 교체된다. 더욱이, 매니폴드(134)의 특정 영역이 매니폴드의 다른 영역에 비해 보다 빠르게 비워짐에 따라, 밸브(204A~F)의 후속 절차는 이전의 화학물을 교체하는 새로운 화학물이 가능한 짧은 시간 내에 평형점(equilibrium)(예를 들어, 처리 유동 조건)에 이르게 하는 방식으로 이루어진다.
일 실시예에서, 공급원(102A~F)으로부터의 교체 가스(replacement gas)의 유동, 고압 및/또는 체적은 매니폴드(134)의 하나 또는 그 이상의 영역에 제공되어 역전(changeover)을 촉진한다. 매니폴드(134)를 빠져나가는 가스를 교체하는 유동이 바람직한 화학물 혼합, 압력 및/또는 체적에 접근함에 따라, 화학물 전달 시스템(100) 내의 화학물 유동은 매니폴드(134) 밖으로의 바람직한 유동을 유지하는 방식으로 바람직한 레벨로 감소될 수 있다. 화학물 전달 시스템(100)이 보다 빠른 처리로부터 화학물로 채워지는 경우, 화학물 전달 시스템 내로의 유동이 교체되어 (즉, 바람직한 효과에 따라 감소되거나 증가되어) 처리 챔버 내로의 바람직한 유동이 가능한 빠르게 바람직한 값에 이를 수 있다. 매니폴드 밖으로의 화학물 유동이 바람직한 화학물 혼합 및 유동률에 접근함에 따라, 매니폴드(134) 내로의 화학물 유동은 처리 챔버(114) 내로의 바람직한 유동을 유지하는 방식으로 바람직한 유동 률을 향해 제어된다.
다른 실시예에서, 화학물 변화는 밸브(204A~F)를 순차적으로 진행함에 따라 촉진되어, 그 결과 아직 교체되지 않은 가스를 포함하는 오직 가스 전달 라인(232A~F)만이 정화 라인(154)에 연결되어 유지된다. 일단 교체 가스가 가스 전달 라인(232A~F) 중 하나로부터 또는 다른 미리 정해진 위치로부터 매니폴드(134)를 빠져나가는 것이 탐지되면, 가스 전달 라인(232A~F)이 정화 라인(154)으로부터 연결이 끊기고 그 결과 교체 가스가 낭비되지 않는다. 일 실시예에서, 교체 가스는 역전이 완성될 때까지 처리 챔버(114) 내에서 정화 라인(154)으로부터 분기될 수 있다. 이러한 방식으로 정화 라인(154)의 펌핑 능력은 오직 가스 제거를 필요로 하는 라인에만 할당되며, 이에 따라 처리 가스의 역전이 매우 효과적 방식으로 이루어진다. 또한, 가장 신속한 배출 경로는 적어도 일부의 역전 시간을 위해 정화 라인(154)으로의 브릿징 회로(202)를 통한 가스 경로를 포함할 수 있음을 주지하여야 한다.
화학물 혼합 및 폐쇄 루프 제어(CHEMICAL MIXING AND CLOSED LOOP CONTROL)
다른 작동 모드에서, 가스 전달 시스템(100)은 증진된 화학물 혼합을 사용할 수 있다. 일 실시예에서, 화학물 혼합은 밸브(304A~F)를 사용하여 매니폴드(134) 내에서 이루어질 수 있으며 또는 둘 또는 그 이상의 공급원(102A~F)을 단일 가스 출구 전달 라인(232A~F)에 연결함으로써 이루어질 수 있다.
다른 실시예에서, 센서(190)는 가스 전달 시스템 내의 화학물 혼합의 폐쇄 루프 제어를 제공한다. 챔버(114)를 진입하는 화학물을 모니터링함으로써, 매니폴드(134)를 진출함으로써 및/또는 가스 전달 시스템(100) 내의 어떠한 다른 지점에서 센서(190)를 사용함으로써, 바람직한 조성(예를 들어, 가스 혼합), 비율 및/또는 압력과 같은 화학물 파라미터의 실시간 제어가 인지될 수 있다. 예를 들어, 센서가 포트(106C)에서 매니폴드를 진출하는 공급원(102A~B)으로부터의 화학물의 부적당한 유동률을 탐지한 경우, 입구 전달 라인(220A~220B)을 출구 전달 라인(232C)에 연결하는 밸브(304C)의 작동 상태는 화학물 유동이 바람직한 타겟 비율에 이르도록 제어될 수 있다. 동일한 절차가 다른 밸브 또는 유동률 제어기를 사용하여 이루어진다. 센서(190)로부터의 정보는 공급원(102A~F)으로부터 제공된 가스의 MFC 설정, 유동률 및/또는 압력을 제어하도록 사용될 수 있다.
가스/화학물 보전(CONSERVATION OF GASES/CHEMISTRIES)
다른 작동 모드에서, 가스 전달 시스템(100)은 화학물의 효과적 사용을 증진시킨다. 예를 들어, 순서는 밸브(204A~F, 172, 108A~E, 262A~F)의 작동 상태가 매니폴드(134)로부터의 가스를 가장 효과적으로 가스 혼합을 최소화하며 제거하는 방식으로 배열되며, 이에 따라 보다 빠른 반응 시간 및 감소된 처리 시간을 허용한다. 따라서, 가스 변화 동안 가스가 (예를 들어, 출구 라인(232A~F)을 통한) 전달 경로를 통해 그리고 정화 라인(154) 내측으로 들어오는 비율은 스로틀 밸브(156)를 사용하여 제어될 수 있어서, 매니폴드(134)를 통해 가스를 빠르게 전진시킨다. 더욱이, 정화 라인(154)이 매니폴드(134)를 통해 가스가 들어오게 하는 동안, 새롭게 유입된 가스, 정화 가스 및/또는 매니 폴드 내에 이전에 포함된 가스의 남은 양과 같이 다음 처리 절차를 위해 준비된 가스를 포함하는 라인은 정화 라인(154)으로부터 분기되어 처리 챔버(114) 내로 유동된다. 이는, 정화 라인(154)에 연결된 남은 라인이 보다 빠르게 배출되도록 한다. 일 실시예에서, 정화 라인(154)으로부터 챔버(114)로의 분기가 이루어지는 예를 들어, 가스 조성, 유동률, 또는 라인 내의 가스의 압력이 변화하거나 또는 변동됨에 따라서 경우 센서(190)에 의해 제공된 계측이 지시되도록 사용될 수 있다.
유동 확인(FLOW VERIFICATION)
다른 작동 모드에서, 가스 전달 시스템(100)은 검정 회로(144)를 사용하여 시스템 내의 조성물의 어떠한 유동률을 확인하도록 사용될 수 있다. 예를 들어, 시스템(100)의 밸브는 입구 포트 중 어떠한 하나로부터 검정 회로(144)로 유동을 제공할 수 있다. 다른 실시예에서, 시스템의 밸브에 의해 분기된 유동은 분기된 유동의 각각의 브랜치를 따라 유동률을 확인할 수 있다.
도 6은, 가스 전달 시스템(600)의 다른 실시예에 연결된 반도체 처리 챔버(114)의 간략화된 도면이다. 가스 전달 시스템(600)은 실질적으로 전술한 가스 전달 시스템과 유사하게 이루어지지만, 시스템(600)이 시스템 매니폴드(134)의 출력 포트(106A~F) 중 적어도 하나에 연결된 저장 탱크(630)를 포함하는 점이 상이하다. 저장 탱크(630)는 적어도 하나 또는 그 이상의 처리 챔버(114), (점선으로 도시된) 제 2 처리 챔버(614)의 가스 전달 시스템(100)(500 또는 600), 검정 회 로(144) 또는 설비 배기부(136)에 연결될 수 있다. 센서(190)가 제공될 수 있어서 탱크(630) 내의 가스의 계측 지시를 제공한다. 일 실시예에서, 계측은 가스압, 가스 조성(예를 들어, 화학물), 온도 및 다른 특성을 지시한다.
일 실시예에서, 각각의 출력 포트(106A~F)는 각각 탱크(630)에 연결될 수 있다. 다른 실시예에서, 탱크(630)는 (점선으로 도시된 바와 같이) 구분되어 각각(106A~F)이 혼합 없이 탱크(630) 내에 유지될 수 있어서 각각 처리 챔버(114)에 연결된다. 대안적으로 분리된 탱크(630)가 사용될 수 있다. 또한, 탱크(630)의 입구부는 처리 챔버(114)로 가스를 전달하도록 사용될 수 있다.
따라서, 가스 전달 시스템은, 처리 가스가 안정적 가스 유동 및 최소한의 진동(fluctuation)으로서 가스 전달 시스템으로부터 처리 시스템 내로 바람직하게 공급되도록 하는 신속 배출 경로를 갖는 것이 바람직하다. 신속 배출 경로는 가스 전달 시스템으로부터의 가스 유동을 검정하고 및/또는 확인하는 대안적 방법을 제공하도록 사용될 수 있어서, 처리 시스템에 공급되는 가스 유동의 양호한 제어를 제공한다.
발명의 실시예들이 설명되었으나, 본 발명의 다른 추가적인 실시예도 본 발명의 범위 내에 있을 수 있으며, 이는 아래의 청구범위에서 결정될 것이다.
본 발명의 전술한 특징, 전술한 간단한 설명 및 본 발명의 보다 상세한 특징들이 실시예를 참고하여 이하에서 상술하며, 소정의 실시예들은 첨부된 도면에 도시될 것이다. 그러나 첨부된 도면들은 오직 본 발명의 특정 실시예만을 도시하는 것이며, 따라서 본 발명의 범위가 이에 제한되어서는 안되며 다른 균등한 실시예에도 본 발명의 범위에 포함될 것이다.
도 1은, 본 발명의 가스 전달 시스템의 일 실시예에 전달된 반도체 처리 챔버의 개략적인 도면이다.
도 2는, 도 1의 가스 전달 시스템의 혼합 매니폴드의 일 실시예의 개략적인 다이어그램이다.
도 3은, 혼합 매니폴드의 다른 실시예의 개략적인 다이어그램이다.
도 4는, 상호 연결된 2개의 혼합 매니폴드의 일 실시예의 개략적인 다이어그램이다.
도 5는, 가스 전달 시스템의 다른 실시예에 연결된 반도체 처리 챔버의 개략적인 도면이다.
도 6은, 가스 전달 시스템의 다른 실시예에 연결된 반도체 처리 챔버의 개략적인 도면이다.
이해를 돕기 위해, 가급적 도면들에 걸쳐서 공통되는 동일한 부재에 동일한 도면 부호가 사용되었다. 일 실시예의 특징들은 다른 인용 없이도 다른 실시예에서 유익하게 채택 가능함을 주지하여야 한다.
* 도면 부호 *
100 가스 전달 시스템
102A 가스 공급원 102B 가스 공급원
102C 가스 공급원 102D 가스 공급원
102E 가스 공급원 102F 가스 공급원
104A 입구 포트 104B 입구 포트
104C 입구 포트 104D 입구 포트
104E 입구 포트 104F 입구 포트
106A 출구 포트 106B 출구 포트
106C 출구 포트 106D 출구 포트
106E 출구 포트 106F 출구 포트
114 처리 시스템 116 최종 밸브
134 매니폴드 136 배기부
138 포어 라인 142 차단 밸브
144 검정 회로 146 회로 출구 라인
150 제어기 154 정화 라인
156 스로틀 밸브 170 질량 유동 제어기
172 분리 밸브 190 센서
202 브릿징 회로 220 입구 가스 전달 라인
232 출구 가스 전달 라인 250 커넥팅 라인
260 브릿징 라인 262 선택 밸브
304A 밸브 304B 밸브
304C 밸브 304D 밸브
304E 밸브 304F 밸브
402 제 1 단부 434A 매니폴드
434B 매니폴드 500 시스템
502 유동률 제어기 504 유동률 제어기
516 포트 518 포트

Claims (15)

  1. 반도체 처리 시스템에 가스를 전달하는 기기로서, 상기 기기는,
    입구 포트(inlet port)를 각각 갖는 다수의 가스 입력 라인(gas input line);
    출구 포트(outlet port)를 각각 갖는 다수의 가스 출력 라인(gas output line);
    다수의 커넥팅 라인(connecting line)으로서, 각각의 상기 커넥팅 라인이 각각의 가스 입력 및 가스 출력 라인 쌍과 연결된, 다수의 커넥팅 라인,
    다수의 커넥팅 밸브로서, 각각의 상기 커넥팅 밸브가 각각의 커넥팅 라인을 통하는 유동을 제어하도록 배열된, 다수의 커넥팅 밸브; 및
    다수의 질량 가스 유동 제어기로서, 각각의 질량 가스 유동 제어기가 각각의 입구 포트 내에서의 유동을 제어하도록 배열된, 다수의 질량 가스 유동 제어기를 포함하며,
    상기 다수의 커넥팅 밸브에 의하여 각각의 입구 포트가 각각의 출구 포트에 선택적으로 연결되는,
    반도체 처리 시스템에 가스를 전달하는 기기.
  2. 제 1 항에 있어서,
    상기 커넥팅 밸브들이 격자 패턴에 배열되며, 상기 입구 포트들은 상기 격자의 제 1 축을 따라 배열되고 상기 출구 포트들은 상기 격자의 제 2 축을 따라 배열 되는,
    반도체 처리 시스템에 가스를 전달하는 기기.
  3. 제 1 항에 있어서,
    상기 다수의 커넥팅 라인은 하나의 모놀리식부(monolithic part)로서 구현되는,
    반도체 처리 시스템에 가스를 전달하는 기기.
  4. 제 3 항에 있어서,
    상기 기기는,
    상기 제 1 모놀리식부에 연결된 제 2 모놀리식부로서, 상기 제 2 모놀리식부는 격자 패턴으로 배열된 커넥팅 밸브들을 갖고, 상기 제 2 모놀리식부의 입구 포트들은 상기 격자의 제 1 축을 따라 배열되고 상기 제 2 모놀리식부의 출구 포트들은 상기 격자의 제 2 축을 따라 배열되는, 제 2 모놀리식부를 더 포함하는,
    반도체 처리 시스템에 가스를 전달하는 기기.
  5. 제 1 항에 있어서,
    상기 기기는,
    하나 이상의 출력 포트에 연결된 검정 회로(calibration circuit)를 더 포함하는,
    반도체 처리 시스템에 가스를 전달하는 기기.
  6. 제 1 항에 있어서,
    상기 기기는,
    상기 가스 출력 라인들, 상기 가스 입력 라인들 또는 상기 커넥팅 라인들 중 하나 이상과 상호 면하는 하나 이상의 센서로서, 상기 하나 이상의 센서는 유동, 압력 또는 화학물의 계측 지시를 제공하도록 배열되는,
    반도체 처리 시스템에 가스를 전달하는 기기.
  7. 제 1 항에 있어서,
    상기 커넥팅 밸브들 중 하나 이상은, 둘 또는 그 이상의 상기 출구 포트 사이에서 상기 입력 포트 중 어느 하나로부터 유동을 분기하도록 이루어진 둘 이상의 제어 가능 밸브를 더 포함하는,
    반도체 처리 시스템에 가스를 전달하는 기기.
  8. 제 7 항에 있어서,
    상기 기기는,
    상기 밸브들을 통하여 임계 (초음파) 유동(critical (supersonic) flow)이 유지되는 동안, 상기 밸브들을 통하는 유동을 측정함으로써 상기 제어 가능 밸브들의 효과적 개방 영역을 검정하도록 이루어진 검정 회로를 더 포함하는,
    반도체 처리 시스템에 가스를 전달하는 기기.
  9. 제 1 항에 있어서,
    상기 출구 포트들 중 하나 이상은 상기 처리 시스템을 바이패싱(by-pass)하는 설비 배기부(facility exhaust)에 연결되는,
    반도체 처리 시스템에 가스를 전달하는 기기.
  10. 제 5 항에 있어서,
    상기 검정 회로는, 상기 처리 시스템의 유동 제한성과 유사한 유동 제한성을 갖는 유동 제한부를 더 포함하는,
    반도체 처리 시스템에 가스를 전달하는 기기.
  11. 제 1 항에 있어서,
    상기 기기는,
    상기 출력 포트 중 하나 이상에 연결된 저장 베슬(storage vessel)을 더 포함하는,
    반도체 처리 시스템에 가스를 전달하는 기기.
  12. 제 11 항에 있어서,
    상기 기기는,
    상기 저장 베슬 내의 유동, 압력 또는 화학물 계측을 제공하도록 배열된 하나 이상의 센서를 더 포함하는,
    반도체 처리 시스템에 가스를 전달하는 기기.
  13. 제 11 항에 있어서,
    상기 저장 베슬은, 상기 처리 시스템에 연결된 하나 이상의 출구 포트를 더 포함하는,
    반도체 처리 시스템에 가스를 전달하는 기기.
  14. 제 11 항에 있어서,
    상기 저장 베슬의 하나 이상의 출구 포트는 제 2 격자 패턴 내에 배열된 제 2 그룹 커넥팅 밸브를 통해 상기 처리 시스템에 연결되며,
    상기 제 2 격자 패턴의 입구 포트들은 상기 제 2 격자 패턴의 제 1 축을 따라 배열되고 상기 제 2 격자 패턴의 출구 포트들은 상기 제 2 격자 패턴의 제 2 축을 따라 배열되는,
    반도체 처리 시스템에 가스를 전달하는 기기.
  15. 제 11 항에 있어서,
    상기 기기는,
    저장 탱크와 설비 배기부 사이에 유체 연결된 2점 밸브(two position valve)를 더 포함하며, 상기 2점 밸브는 완전 폐쇄, 프리셋 제한(preset restriction), 및 완전 개방 사이에서 스위칭될 수 있는,
    반도체 처리 시스템에 가스를 전달하는 기기.
KR1020080016710A 2007-02-26 2008-02-25 처리 챔버로의 가스 유동을 제어하기 위한 기기 및 그 방법 KR100961793B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/678,621 US8074677B2 (en) 2007-02-26 2007-02-26 Method and apparatus for controlling gas flow to a processing chamber
US11/678,621 2007-02-26

Publications (2)

Publication Number Publication Date
KR20080079209A KR20080079209A (ko) 2008-08-29
KR100961793B1 true KR100961793B1 (ko) 2010-06-08

Family

ID=39312971

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080016710A KR100961793B1 (ko) 2007-02-26 2008-02-25 처리 챔버로의 가스 유동을 제어하기 위한 기기 및 그 방법

Country Status (7)

Country Link
US (1) US8074677B2 (ko)
EP (1) EP1961836A1 (ko)
JP (1) JP5582684B2 (ko)
KR (1) KR100961793B1 (ko)
CN (1) CN101256936B (ko)
SG (1) SG145669A1 (ko)
TW (1) TWI365948B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150044934A (ko) * 2012-08-21 2015-04-27 어플라이드 머티어리얼스, 인코포레이티드 향상된 가스 유량 제어를 위한 방법들 및 장치

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5372353B2 (ja) * 2007-09-25 2013-12-18 株式会社フジキン 半導体製造装置用ガス供給装置
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
US20090236447A1 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus for controlling gas injection in process chamber
JP5216632B2 (ja) * 2009-03-03 2013-06-19 東京エレクトロン株式会社 流体制御装置
US8328980B2 (en) * 2009-09-04 2012-12-11 Lam Research Corporation Apparatus and methods for enhanced fluid delivery on bevel etch applications
US8707754B2 (en) * 2010-04-30 2014-04-29 Applied Materials, Inc. Methods and apparatus for calibrating flow controllers in substrate processing systems
JP3184355U (ja) * 2010-07-05 2013-06-27 ソルヴェイ(ソシエテ アノニム) フッ素供給用のパージボックス及びパージシステム
CN101989068B (zh) * 2010-11-05 2012-07-18 北京七星华创电子股份有限公司 基于质量流量控制器的模拟工艺系统和方法
US8931512B2 (en) * 2011-03-07 2015-01-13 Applied Materials, Inc. Gas delivery system and method of use thereof
TWI489054B (zh) * 2011-06-21 2015-06-21 Au Optronics Corp 閥箱模組
JP5433660B2 (ja) * 2011-10-12 2014-03-05 Ckd株式会社 ガス流量監視システム
US9238865B2 (en) * 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
CN102537661A (zh) * 2012-02-27 2012-07-04 无锡超科食品有限公司 液态物料进料系统
CN104167345B (zh) * 2013-05-17 2016-08-24 中微半导体设备(上海)有限公司 等离子处理装置及其气体输送装置、气体切换方法
US9335768B2 (en) * 2013-09-12 2016-05-10 Lam Research Corporation Cluster mass flow devices and multi-line mass flow devices incorporating the same
US9478390B2 (en) * 2014-06-30 2016-10-25 Fei Company Integrated light optics and gas delivery in a charged particle lens
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US11357966B2 (en) 2015-04-23 2022-06-14 B. Braun Medical Inc. Compounding device, system, kit, software, and method
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
CN108121370B (zh) * 2017-12-23 2020-06-02 东北大学 一种真空环境气体流量的测控方法及测控系统
US11327510B2 (en) * 2018-05-23 2022-05-10 Hitachi Metals, Ltd. Multi-chamber rate-of-change system for gas flow verification
US11029297B2 (en) * 2018-08-08 2021-06-08 Applied Materials, Inc. Method of gas composition determination, adjustment, and usage
KR20210111349A (ko) * 2019-01-31 2021-09-10 램 리써치 코포레이션 고급 반도체 애플리케이션들을 위한 멀티-채널 액체 전달 시스템
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
WO2021098982A1 (en) * 2019-11-19 2021-05-27 Linde Gmbh Smart gas mixer
JP7200166B2 (ja) * 2020-04-03 2023-01-06 大陽日酸株式会社 混合ガス供給装置及び方法
CN113867434B (zh) * 2021-11-22 2024-01-12 北京七星华创流量计有限公司 气体质量流量控制器
CN115948727A (zh) * 2023-01-10 2023-04-11 江苏微导纳米科技股份有限公司 气体流量校准装置及方法
US11940819B1 (en) * 2023-01-20 2024-03-26 Applied Materials, Inc. Mass flow controller based fast gas exchange

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62143427A (ja) 1985-12-18 1987-06-26 Hitachi Ltd 処理ガス供給装置
WO2002008487A1 (en) * 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
JP2004214591A (ja) 2002-11-15 2004-07-29 Renesas Technology Corp 半導体製造装置
US20040163590A1 (en) * 2003-02-24 2004-08-26 Applied Materials, Inc. In-situ health check of liquid injection vaporizer

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5292788A (en) * 1976-01-30 1977-08-04 Standard Technology Gas diluting apparatus
JPS61254242A (ja) * 1985-05-01 1986-11-12 Sumitomo Electric Ind Ltd 原料供給装置
US4590790A (en) * 1985-05-16 1986-05-27 American Meter Company Method for determining the accuracy of a gas measurement instrument
US4687020A (en) 1985-05-17 1987-08-18 Doyle James H Fluid mass flow controller
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4911101A (en) * 1988-07-20 1990-03-27 General Electric Company Metal organic molecular beam epitaxy (MOMBE) apparatus
JP2888253B2 (ja) * 1989-07-20 1999-05-10 富士通株式会社 化学気相成長法およびその実施のための装置
JPH03156509A (ja) 1989-11-14 1991-07-04 Stec Kk マスフローコントローラ
US5062446A (en) 1991-01-07 1991-11-05 Sematech, Inc. Intelligent mass flow controller
US5141021A (en) 1991-09-06 1992-08-25 Stec Inc. Mass flow meter and mass flow controller
US5254210A (en) * 1992-04-27 1993-10-19 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for growing semiconductor heterostructures
US5303731A (en) 1992-06-30 1994-04-19 Unit Instruments, Inc. Liquid flow controller
US5190068A (en) 1992-07-02 1993-03-02 Brian Philbin Control apparatus and method for controlling fluid flows and pressures
JPH06295862A (ja) * 1992-11-20 1994-10-21 Mitsubishi Electric Corp 化合物半導体製造装置及び有機金属材料容器
US5293778A (en) 1993-05-27 1994-03-15 General Electric Company Fluid flow measuring system
WO1995019549A1 (en) 1994-01-14 1995-07-20 Unit Instruments, Inc. Flow meter
US5524084A (en) 1994-12-30 1996-06-04 Hewlett-Packard Company Method and apparatus for improved flow and pressure measurement and control
US5653807A (en) * 1996-03-28 1997-08-05 The United States Of America As Represented By The Secretary Of The Air Force Low temperature vapor phase epitaxial system for depositing thin layers of silicon-germanium alloy
US5662143A (en) * 1996-05-16 1997-09-02 Gasonics International Modular gas box system
US5944048A (en) 1996-10-04 1999-08-31 Emerson Electric Co. Method and apparatus for detecting and controlling mass flow
US5911238A (en) 1996-10-04 1999-06-15 Emerson Electric Co. Thermal mass flowmeter and mass flow controller, flowmetering system and method
JPH10240356A (ja) 1997-02-21 1998-09-11 Anelva Corp 基板処理装置の基板温度制御法と基板温度制御性判定法
KR100251645B1 (ko) * 1997-03-21 2000-04-15 윤종용 반도체 공정용 가스 평가장치에 결합되는 샘플가스 분배 장치 및 구동방법
US5966499A (en) 1997-07-28 1999-10-12 Mks Instruments, Inc. System for delivering a substantially constant vapor flow to a chemical process reactor
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6269692B1 (en) 1999-02-01 2001-08-07 Dxl Usa Inc. Mass flow measuring assembly having low pressure drop and fast response time
WO2000063756A1 (fr) 1999-04-16 2000-10-26 Fujikin Incorporated Dispositif d'alimentation en fluide du type derivation parallele, et procede et dispositif de commande du debit d'un systeme de pression du type a fluide variable utilise dans ledit dispositif
US6210482B1 (en) 1999-04-22 2001-04-03 Fujikin Incorporated Apparatus for feeding gases for use in semiconductor manufacturing
US6733590B1 (en) * 1999-05-03 2004-05-11 Seagate Technology Llc. Method and apparatus for multilayer deposition utilizing a common beam source
US6119710A (en) 1999-05-26 2000-09-19 Cyber Instrument Technologies Llc Method for wide range gas flow system with real time flow measurement and correction
US6343617B1 (en) 1999-07-09 2002-02-05 Millipore Corporation System and method of operation of a digital mass flow controller
US6389364B1 (en) 1999-07-10 2002-05-14 Mykrolis Corporation System and method for a digital mass flow controller
US6138708A (en) 1999-07-28 2000-10-31 Controls Corporation Of America Mass flow controller having automatic pressure compensator
US6799603B1 (en) * 1999-09-20 2004-10-05 Moore Epitaxial, Inc. Gas flow controller system
US6772781B2 (en) * 2000-02-04 2004-08-10 Air Liquide America, L.P. Apparatus and method for mixing gases
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
WO2002016885A1 (en) 2000-08-22 2002-02-28 Fugasity Corporation Fluid mass flow meter with substantial measurement range
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6814096B2 (en) 2000-12-15 2004-11-09 Nor-Cal Products, Inc. Pressure controller and method
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6439253B1 (en) * 2000-12-28 2002-08-27 International Business Machines Corporation System for and method of monitoring the flow of semiconductor process gases from a gas delivery system
US20020173166A1 (en) * 2001-04-11 2002-11-21 Kurt Christenson Method and apparatus to quickly increase the concentration of gas in a process chamber to a very high level
DE60207609T2 (de) 2001-04-24 2006-08-03 Celerity Group, Inc., Santa Clara Verfahren zur Bestimmung einer Ventilöffnung für einen Massenflussregler
JP2002349797A (ja) * 2001-05-23 2002-12-04 Fujikin Inc 流体制御装置
JP4209688B2 (ja) * 2001-05-24 2009-01-14 セレリティ・インコーポレーテッド 決定された比率のプロセス流体を供給する方法および装置
KR101040446B1 (ko) 2002-04-19 2011-06-09 맷슨 테크놀로지, 인크. 저증기압 가스 전구체를 이용하여 기판 상에 막을증착하기 위한 시스템
US20040040664A1 (en) * 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
US6810308B2 (en) 2002-06-24 2004-10-26 Mks Instruments, Inc. Apparatus and method for mass flow controller with network access to diagnostics
US7136767B2 (en) 2002-06-24 2006-11-14 Mks Instruments, Inc. Apparatus and method for calibration of mass flow controller
US7004191B2 (en) 2002-06-24 2006-02-28 Mks Instruments, Inc. Apparatus and method for mass flow controller with embedded web server
US7552015B2 (en) 2002-06-24 2009-06-23 Mks Instruments, Inc. Apparatus and method for displaying mass flow controller pressure
US6712084B2 (en) 2002-06-24 2004-03-30 Mks Instruments, Inc. Apparatus and method for pressure fluctuation insensitive mass flow control
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
AU2003253991A1 (en) 2002-07-19 2004-02-09 Celerity Group, Inc. Methods and apparatus for pressure compensation in a mass flow controller
CN100422616C (zh) 2002-08-28 2008-10-01 霍里巴斯特克公司 高精度基于压力的流量控制器
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US7137400B2 (en) * 2003-09-30 2006-11-21 Agere Systems Inc. Bypass loop gas flow calibration
US20050120805A1 (en) 2003-12-04 2005-06-09 John Lane Method and apparatus for substrate temperature control
US7418978B2 (en) * 2004-01-30 2008-09-02 Applied Materials, Inc. Methods and apparatus for providing fluid to a semiconductor device processing apparatus
US7072743B2 (en) * 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20060068098A1 (en) * 2004-09-27 2006-03-30 Tokyo Electron Limited Deposition of ruthenium metal layers in a thermal chemical vapor deposition process
US20060124169A1 (en) * 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7743670B2 (en) * 2006-08-14 2010-06-29 Applied Materials, Inc. Method and apparatus for gas flow measurement
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62143427A (ja) 1985-12-18 1987-06-26 Hitachi Ltd 処理ガス供給装置
WO2002008487A1 (en) * 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
JP2004214591A (ja) 2002-11-15 2004-07-29 Renesas Technology Corp 半導体製造装置
US20040163590A1 (en) * 2003-02-24 2004-08-26 Applied Materials, Inc. In-situ health check of liquid injection vaporizer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150044934A (ko) * 2012-08-21 2015-04-27 어플라이드 머티어리얼스, 인코포레이티드 향상된 가스 유량 제어를 위한 방법들 및 장치
KR102104883B1 (ko) 2012-08-21 2020-04-27 어플라이드 머티어리얼스, 인코포레이티드 향상된 가스 유량 제어를 위한 방법들 및 장치

Also Published As

Publication number Publication date
CN101256936B (zh) 2011-07-13
TW200844361A (en) 2008-11-16
JP2008252073A (ja) 2008-10-16
CN101256936A (zh) 2008-09-03
US20080202609A1 (en) 2008-08-28
JP5582684B2 (ja) 2014-09-03
US8074677B2 (en) 2011-12-13
KR20080079209A (ko) 2008-08-29
TWI365948B (en) 2012-06-11
EP1961836A1 (en) 2008-08-27
SG145669A1 (en) 2008-09-29

Similar Documents

Publication Publication Date Title
KR100961793B1 (ko) 처리 챔버로의 가스 유동을 제어하기 위한 기기 및 그 방법
KR100975441B1 (ko) 처리 챔버로의 가스 유동을 제어하기 위한 기기 및 그 방법
KR100975442B1 (ko) 처리 챔버로의 가스 유동을 제어하기 위한 기기 및 그 방법
KR100855935B1 (ko) 유동분할시스템과 방법
TWI463287B (zh) 流量比率控制裝置
US7743670B2 (en) Method and apparatus for gas flow measurement
US20080017105A1 (en) Substrate Processing Device
TWI434161B (zh) Flow ratio variable fluid supply device
CN104460706B (zh) 群集的质量流装置和包含该装置的多管线质量流装置
KR20090027687A (ko) 차압 측정에 의한 가스 유동 제어
KR100875333B1 (ko) 반도체 제조 장치 및 반도체 제조 방법
KR20220063258A (ko) 저온 열 유동 비율 제어기
CN107782418B (zh) 流量计检查系统和流量计检查方法
JP7216192B2 (ja) ガスアナライザを線形化または校正するためのガス混合装置
JP2004280788A (ja) ガス分流システム
JP2023144425A (ja) ガス分析装置及び校正ガス供給方法
JPH10325834A (ja) ガスクロマトグラフ装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130429

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140430

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee