KR100950115B1 - 플라즈마 에칭 챔버용 실리콘 카바이드제 가스 공급판 및rf 전극 - Google Patents

플라즈마 에칭 챔버용 실리콘 카바이드제 가스 공급판 및rf 전극 Download PDF

Info

Publication number
KR100950115B1
KR100950115B1 KR1020070138668A KR20070138668A KR100950115B1 KR 100950115 B1 KR100950115 B1 KR 100950115B1 KR 1020070138668 A KR1020070138668 A KR 1020070138668A KR 20070138668 A KR20070138668 A KR 20070138668A KR 100950115 B1 KR100950115 B1 KR 100950115B1
Authority
KR
South Korea
Prior art keywords
cvd
showerhead
sic
coating layer
bulk
Prior art date
Application number
KR1020070138668A
Other languages
English (en)
Other versions
KR20080079584A (ko
Inventor
니 투킹
우 로버트
Original Assignee
어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드 아시아
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드 아시아 filed Critical 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드 아시아
Publication of KR20080079584A publication Critical patent/KR20080079584A/ko
Application granted granted Critical
Publication of KR100950115B1 publication Critical patent/KR100950115B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

본 발명은, 용량 결합형 플라즈마 챔버에 이용되고, CVD SiC로 코팅된 저저항 벌크층으로 만들어진 샤워헤드에 관한 것이다. 저저항 벌크재는, 예를 들면, 그래파이트, 실리콘 카바이드(SiC), 변성 그래파이트, SiC + C 등이어도 좋다. 소결(sintered) SiC가, 용량 결합형 플라즈마 챔버에 이용하기에 적합한 샤워헤드를 제공하기 위하여 CVD SiC로 코팅된 벌크재로서 이용될 수 있다.
플라즈마 챔버, 용량 결합형, 샤워헤드, 소결 SiC, CVD SiC 코팅

Description

플라즈마 에칭 챔버용 실리콘 카바이드제 가스 공급판 및 RF 전극 {SILICON-CARBIDE GAS DISTRIBUTION PLATE AND RF ELECTRODE FOR PLASMA ETCH CHAMBER}
본 발명은 플라즈마 챔버(plasma chambers)에 관한 것이며, 더욱 상세하게 는, 플라즈마 에칭 챔버 내의 일반적으로 '샤워헤드(showerhead)'라 불리는 SiC(실리콘 카바이드)제 가스 공급판(gas distribution plate), 및 전극(RF 활성전극 또는 접지전극)에 관한 것이다.
플라즈마 챔버는, 예를 들면, 반도체 웨이퍼와 같은 시편의 다양한 층의 에칭 및 증착(deposition)을 위한 반도체 제조 공정에 이용된다. 이러한 챔버에서 플라즈마를 생성하기 위해서는, 챔버의 내부는 배기되고, 전구 가스(precursor gas)가 챔버 내로 주입되며, 플라즈마를 생성하기 위해 챔버의 내부로 RF 에너지가 결합된다. 일반적으로 에칭 플라즈마 챔버에는 유도 결합형(inductive-coupled)과 용량 결합형(capacitive-coupled) 플라즈마 챔버의 두 가지 형태가 있다. 유도 결합형 플라즈마 챔버에서는, RF 에너지가 플라즈마 생성을 위해 대부분 유도적으로 플라즈마에 결합된다. 반면에 용량 결합형 플라즈마 챔버에서는, RF가 대부분 샤워 헤드나 캐소드(cathode)와 같은 RF 활성 표면을 통한 용량 방전에 의하여 플라즈마에 결합된다.
도 1a는, 예를 들면, 반도체 제조에서 웨이퍼를 에칭하는데 이용될 수 있는 유도 결합형 플라즈마 챔버의 일례를 나타낸다. 코일(105)은, 비록 다른 변형예에서는 측벽(120) 주위에 제공될 수 있지만, 본 예에서는 챔버의 루프 섹션(roof section)(115) 위에 제공된다. RF 소스(110)로부터의 RF 에너지를 결합하기 위해, 루프 섹션(115)은 전형적으로 높은 비저항을 갖는 유전 물질로 만들어지는데, 이는 효과적인 RF 에너지의 침투 및 결합을 가능하게 한다. RF 소스(110')는 캐소드에 접속되어 바이어스 전력을 제공한다. 다음으로, 챔버의 측면이나, 절연체 루프의 중앙부(125')로부터, 또는 챔버의 바닥 아래로부터 제공된 주입기(125)에 의해 가스가 주입된다. 도 1b는 전형적인 용량 결합형 플라즈마 챔버를 도시한다. RF 소스로부터의 RF 에너지는, RF 소스(130)로 나타낸 바와 같이 (상부 전극(140) 및 온도 제어부(141)를 포함하는) 상부 전극 어셈블리(145)로, 또는 RF 소스(130')로 나타낸 바와 같이 캐소드(135)로, 또는 양쪽 모두로 인가된다. RF가 상부 전극 어셈블리(145)에 인가되면 캐소드(135)는 130에 대해 접지로서 작용한다. 반대로, RF 소스(130')가 캐소드(135)로 인가되면 상부 전극 어셈블리(145)는 130'에 대해 접지로서 작용한다. 보통 130 및 130'은 다른 RF 주파수를 가질 수 있고, 어떤 경우에 130'은 2 또는 그 이상의 주파수를 가질 수 있다. 두 경우 모두 챔버 벽이 또한 접지로서 작용한다. 가스 주입은 일반적으로 루프 섹션(145)을 통하여 행해지는데, 여기에서 가스 공급판(샤워 헤드)(140) 및 온도 제어부(141)는 일반적으로 공정 가스를 공급하는데 이용된다. 현재, 대다수의 샤워헤드는, 도핑된 단결정 또는 다결정 실리콘으로 만들어져, 플라즈마 침식(plasma erosion)의 대상이 되는 소모품이 된다.
그것의 전기적 특성, 순도 및 기계적 강도로 인해, 유도적으로 결합된 플라즈마 챔버의 루프 또는 측벽을 만드는 훌륭한 대안 물질로서, 실리콘 카바이드(SiC)를 이용하는 것이 제안되었다. 코일과 챔버의 내부 사이에 놓여지는 부분, 즉 코일이 루프 위에 위치할 때의 루프, 코일이 측벽 주위의 측면에 제공될 때의 측벽은 CVD SiC로 코팅된 소결된 SiC로 만들어져야 한다고 제안되었다. 더욱 상세하게는, 코일로부터의 RF 결합이 플라즈마를 생성하도록 하기 위해, 소결된 SiC는 높은 비저항을 갖도록 만들어져야 한다고 제안되었다. 나아가 CVD SiC 코팅은 낮은 비저항, 즉 전도성 물질로 만들어져, 캐소드로부터의 RF 결합에 의해 야기된 바이어스 전류를 제거하기 위해 접지될 수 있도록 제안되었다. 그밖의 관련 정보는, 예를 들면, 미국 특허 제5,904,778호 및 그에 인용된 다른 특허에서 알 수 있으며, 그들 모두는 전체적으로 참조에 의해 본 출원에 편입된다.
공교롭게도, 많은 산업계에서는 반도체 웨이퍼의 유전체, 폴리실리콘 및 금속 에칭 어플리케이션과 같은 특정한 어플리케이션을 위해, 용량 결합형 플라즈마 챔버를 채택해 왔다. 그러나, 이전에 제시된 소결되고 CVD SiC 코팅된 SiC(이하, 소결 SiC/CVD SiC라 함)는 유도 결합형 플라즈마 챔버에 더 적합한 특성들을 갖고 있기 때문에, 캐소드 에지 커버 링(147, 도 1b)을 제외하고는, 용량 결합형 챔버에 널리 이용되지는 않고 있었다. 사실, 선행 기술인 소결 SiC/CVD SiC 구조의 특성은, 용량 결합형 플라즈마 챔버의 루프 섹션에서 요구되는 것과는 반대이다. 예를 들면, 선행 기술인 소결된 SiC 기재(base material)는 높은 비저항을 갖는데, 이는 플라즈마로의 RF 에너지의 효과적이고 효율적인 용량 결합을 상당히 감소시키거나 저해할 수 있다. 더욱이, 집적된 소결 SiC/CVD SiC 공정을 이용하여 샤워헤드를 상업적으로 제조하고 활용될 수 있다고 제안된 바는 전혀 없었다.
본 발명은, CVD SiC로 코팅된 저저항의 벌크재로 만들어진 새로운 가스 공급판(샤워헤드) 및 RF 활성 또는 접지 전극 조합을 제공한다. 저저항의 벌크재는, 예를 들면 고순도 그래파이트(graphite), 실리콘 카바이드(SiC), 탄소 변성 그래파이트(carbon-converted graphite), 실리콘 함침 그래파이트(silicon impregnated graphite) 등일 수 있다. 한 실시예에 의하면, 고순도 소결 SiC가 이용되고 CVD SiC로 코팅되어 용량 결합형 플라즈마 챔버에 이용하기에 적합한 샤워헤드를 제공한다.
본 발명의 일 측면에 따르면, 용량 결합형 플라즈마 챔버에 이용하기에 적합한 샤워헤드가 제공된다. 이 샤워헤드는, 낮은 전기 저항을 갖는 소결된(열간 프레스된) SiC, 즉 전도성의 SiC로 만들어진 벌크부를 포함한다. 다음으로 벌크부는, 저저항 또는 고저항을 갖는 CVD SiC로 코팅된다. 그리고 나서 벌크부 및 CVD 코팅을 관통하여 가스 홀(gas hole)을 뚫는다.
본 발명의 일 측면에 따르면, 상기 가스 홀은 하나 또는 두 단계의 공정을 이용하여 천공되게 된다. 후자의 경우, 먼저 제1 직경(전형적으로 0.8mm 내지 1.2mm)을 갖는 홀이 벌크 SiC 부분을 관통하여 천공된다. 그리고 나서 제2 단계로, 제1 직경보다 작은 직경(전형적으로 0.4mm 내지 0.6mm)을 갖고 CVD 코팅을 관통하여 연장된 제2 홀의 동축 천공이 이루어진다. 본 발명의 일 측면에 따르면, 초음파 천공 머신을 이용하여 천공이 이루어진다. 본 발명의 일 측면에 따르면, 고체 시트(solid sheet)가 천공에 앞서 CVD 코팅에 부착되고, 일단 천공이 완료되면 제거된다.
본 발명의 일 측면에 따르면 용량 결합형 플라즈마 챔버가 제공되는데, 이 플라즈마 챔버는, 챔버 몸체, 그 안에 제1 전극이 위치하는 시편 홀더(specimen holder), 및 샤워헤드와 RF 링을 포함하는 루프 섹션을 포함한다. 샤워헤드는, 저저항을 갖는 소결된 SiC 벌크부 및 샤워헤드의 (플라즈마에 대향하는) 내측면 상에 제공된 CVD SiC 코팅을 포함한다. 본 발명의 일 측면에 의하면, 소결된 SiC 벌크 는 RF 전원 공급기의 접지 전위에 결합된다. 본 발명의 다른 측면에 따르면, 소결된 벌크 SiC는, 대략 0.1 ohm-cm보다 낮은 비저항을 갖도록 만들어진다. 다른 측면에 따르면, CVD SiC는 0.01 ohm-cm 내지 1E8 ohm-cm 중 임의의 비저항을 갖는다.
본 발명의 일 측면에 따르면, 용량 결합형 플라즈마 챔버에 이용되는 샤워헤드가 제공되는데, 이는 저저항 물질로 만들어진 벌크층; 벌크의 하면에 제공된 CVD SiC 코팅; 및 벌크와 CVD SiC 코팅을 관통하여 제공된 복수의 가스 주입홀을 포함한다. 구체적인 일례에 따르면, 벌크는 그래파이트로 만들어진다. 다른 예에 따르면, 벌크는 실리콘 변성 그래파이트로 만들어진다. 다른 예에 따르면, 벌크는 소결된 SiC로 만들어진다. 일례에 의하면, 벌크는 대략 0.1 ohm-cm보다 낮은 비저항을 나타낸다. 다른 예에 의하면, CVD SiC 코팅은 0.01 ohm-cm 내지 1E8 ohm-cm의 비저항을 나타낸다. 또 다른 예에 의하면, 각각의 가스 홀은 제1 직경을 갖고 벌크를 관통하여 연장된 부분을 형성하는 제1 섹션, 및 제1 직경보다 작은 직경을 갖고 제1 섹션으로부터 CVD SiC 코팅을 관통하여 동축으로 연장된 제2 섹션을 포함한다.
본 발명의 다른 측면에 따르면, 용량 결합형 플라즈마 챔버가 제공되는데, 이 플라즈마 챔버는, 챔버 몸체; 챔버 몸체의 내부에 제공되며, 그 안에 전극을 갖는 시편 홀더; 챔버 몸체의 상부에 제공되는 샤워헤드; 및 상부 전극과 샤워헤드에 연결된 RF 전원을 포함하고, 상기 샤워헤드는, 저저항 물질로 만들어진 벌크 플레이트; 벌크의 하면에 제공된 CVD SiC 코팅; 벌크 및 CVD SiC 코팅을 관통하여 제공된 복수의 가스 주입홀;을 포함한다. 일례에 의하면, 샤워헤드의 벌크는 소결된 SiC로 만들어진다. 다른 예에 따르면, 벌크는 대략 0.01 ohm-cm보다 낮은 비저항을 나타낸다. 또 다른 예에 따르면, CVD SiC 코팅은 0.1 ohm-cm 내지 1E8 ohm-cm의 비저항을 나타낸다. 다른 예에 따르면, 각각의 가스 홀은 제1 직경을 갖고 벌크를 관통하여 연장된 부분을 형성하는 제1 섹션, 및 제1 직경보다 작은 직경을 갖고 제1 섹션으로부터 CVD SiC 코팅을 관통하여 동축으로 연장된 제2 섹션을 포함한다.
본 발명의 다른 측면에 따르면, 샤워헤드를 재사용하는 방법이 제공되는데, 여기에서 샤워헤드는 벌크 플레이트 및 CVD SiC 코팅층을 포함하고, 이 코팅층은 플라즈마 침식에 민감하다. 이 방법은 다음의 단계들을 포함한다.
a. 어플리케이션마다 CVD층의 최대 침식 허용치를 설정하는 단계;
b. 플라즈마 챔버 상으로 샤워헤드를 장착하는 단계;
c. 플라즈마 챔버에서 플라즈마 공정을 실행하는 단계;
d. 최대 침식에 도달했는지 결정하여, 도달했다면 단계 e로 진행하고, 도달하지 않았다면 단계 c로 되돌아가는 단계;
e. 챔버로부터 샤워헤드를 제거하는 단계;
f. 남아있는 CVD 코팅층을 제거하는 단계;
g. 새로운 CVD 코팅층을 증착하고 가스 홀을 천공하는 단계;
h. 단계 b로 되돌아가는 단계.
일례에 따르면, 단계 g는, CVD SiC 코팅층을 증착하는 단계 및 작은 직경을 갖는 가스 홀을 천공하는 단계를 포함한다. 본 발명의 또 다른 측면에 따르면, 에 칭 챔버용 상부 전극 어셈블리가 제공되는데, 이는 세라믹 샤워헤드; 샤워헤드 위에 제공된 온도 제어부; 및 샤워헤드와 온도 제어부 사이에서 열에 의한 상대적인 움직임(thermally-induced relative movement)을 가능하게 하기 위하여, 온도 제어부와 샤워헤드 사이에 제공된 열전도성 필름을 포함한다.
본 발명의 다른 측면에 따르면, CVD층은 접착제를 이용하여 벌크층에 부착된다. 접착제는, 예를 들면 중합체(polymer material)와 같은 탄성중합체 결합부(elastomeric joint)를 형성하는 임의의 적절한 접착제이면 된다.
도 2 및 3a는, 본 발명의 일실시예에 따른 용량 결합형 챔버를 개략적으로 도시한다. 챔버는 상부 전극(250)으로서 새로운 SiC 샤워헤드를 채용한 점, RF 링(245), 및 온도 제어부(251)를 제외하고는, 도 1b에 도시한 것과 어느 정도 유사하다. 샤워헤드/전극(250)은, 챔버 내로 공정 가스를 주입하기 위하여, 또한 캐소드(235)와 마주하여 놓인 전도성 전극으로서 기능하는데, 본 예에서, 캐소드(235)와 척(chuck)(237)은 반도체 웨이퍼를 지지한다. 새로운 샤워헤드/RF 전극 조합은 CVD SiC(260)으로 코팅된, 저저항 즉 전도성의 벌크층(255)으로 만들어진다(도 3b 및 도 3c). 저저항의 벌크재는, 예를 들면, 그래파이트, 실리콘 카바이드(SiC), 변성 그래파이트(converted graphite), SiC + C 등으로 만들어진다. 이 특정예에서 벌크층은 고순도의 저저항을 갖는, 열간 프레스되거나 소결된 SiC로 만들어진다.
벌크재가 챔버 내로 흐르는 가스와 접촉할 가능성이 있을 때, 고순도 소결 SiC나 실리콘 함침 그래파이트(silicon impregnated graphite)를 이용하는 것이 바람직하다. 예를 들면, 벌크를 관통하여 가스 주입홀이 뚫리는 곳에는, 고순도 소결 SiC나 실리콘 함침 그래파이트를 이용하는 것이 바람직하다. 벌크가 가스와 접촉하지 않는 어플리케이션에서는, 벌크재의 선택 범위가 더 넓어진다. 다음 표는 구체적인 어플리케이션에 적합한 물질의 선택예를 제공한다.
추천 기재 표면 물질 RF
플로우 가스와 접촉 고순도 저저항 소결 SiC, CVD SiC 가스 공급판 핫(hot) 또는 접지
가스와 접촉하지 않음 고순도 그래파이트, 변성 그래파이트, Si-함침 그래파이트, 고순도 소결 SiC CVD SiC RF 활성 또는 접지 링 핫 또는 접지
도 3b 및 3c는, 도 3a에 도시된 3B-3B 선을 따른 샤워헤드/전극(250)의 부분단면도이다. 도 3b 및 3c에 도시된 바와 같이, 특정예에서 샤워헤드는 소결 SiC 벌크층(255), 및 CVD SiC 코팅층(260)을 포함한다. 본 발명의 측면들에 따르면, 소결 SiC 벌크층(255)은 저저항을 갖는 SiC 벌크를 포함한다. 어떤 실시예에 따르면, 소결 SiC층(255)의 비저항은 10 ohm-cm 이하이고, 약 6~10mm의 두께를 갖는다. 본 발명의 다른 실시예에 따르면, 벌크층(255)은 고순도 저저항 열간 프레스 SiC를 포함하는데, 이는 0.05 ohm-cm보다 낮은 비저항을 갖는다. 그러나, 벌크는 약 10 ohm-cm보다 낮은 비저항을 갖는 다른 물질을 이용하여 만들어져도 좋다. 이러한 방식으로, 샤워헤드(250)는 또한 RF 에너지를 더 효율적으로 플라즈마 챔버에 결합하는 전극으로서 기능할 수 있다. 이 특정예에서는, 샤워헤드(250)는 접지되고, RF 소스(230)의 핫(hot) RF 출력은 상대 전극(237)으로 공급된다. 그러나, 또한 반대로도 될 수 있다는 점을 인식해야 하는데, 즉, 샤워헤드로 핫 RF 출력이 결합되고 상대 전극(237)은 RF 핫 또는 접지될 수 있다.
CVD층(260)은, 임의의 알려진 CVD 기술을 이용하여 형성될 수 있는데, 이 실시예의 목적에 따라 전도성이나 절연성 중 어느 것도 될 수 있다. 특히, 고저항의 소결 SiC를 이용하는 선행 기술과는 달리, 여기에서는 벌크가 저저항 물질로 만들어지기 때문에, CVD SiC는 전도성이나 절연성 중 어느 것도 될 수 있다. 이 특정예에서, CVD SiC층(260)은 저저항을 가지며 약 2mm의 두께를 갖는다. 다른 실시예에 따르면, CVD층(260)은 비저항을 제어하기 위하여 불순물이 도핑된 β타입 큐빅 CVD SiC를 포함한다. 이러한 실시예에 의하면, 비저항은 약 1~100 ohm-cm로 유지될 수 있다. 저저항 CVD층(260)에 대한 다른 선택은 α타입 CVD SiC이다. α타입 CVD SiC는 더 비정질의 구조를 갖는데, 다시 말해 결정 구조가 더 랜덤하며, 이는 전자가 물질을 통해 더욱 자유롭게 이동하도록 하여 물질이 더 전도성이 되도록 한다. 반면에 고저항이 바람직하다면, 일반적으로 약 1E4~5 ohm-cm의 높은 비저항을 나타내는 β타입의 진성 CVD SiC를 이용해도 좋다.
가스 주입홀을 형성하기 위하여, 도 3c의 실시예에서는, 제1 홀(262)을 초음파 천공을 이용하여 벌크(255)를 관통하여 부분적으로 천공한다. 다음으로 제1 홀(262)보다 작은 직경을 갖는 제2 홀(264)을, 역시 초음파 천공을 이용하여 천공한다. 이 실시예에서, 두 홀은 상측으로부터 천공되므로 "자기 정렬" 된다. 이러한 천공 공정은 작은 직경의 홀을 갖는 것과 시간이 걸리는 높은 종횡비의 천공을 피한다. 물론, 샤워헤드는, 도 3b에 도시된 바와 같이, 단일 직경으로 한 번에 홀을 천공하거나, 양 방향으로부터 즉 CVD와 벌크측으로부터 홀을 천공함으로써 만들 수도 있다.
CVD층(260)을 관통하여 홀을 천공할 때, 어떠한 균열이나 흠이 없이 "깨끗한" 출구 홀을 갖는 것이 중요하다. 도 4는 출구 홀이 깨끗하다는 것을 확인해 주는 실시예를 나타낸다. 도 4에 도시된 바와 같이, 벌크층(455)를 관통하는 제1 홀(462)의 천공이 완료되고, 보다 작은 직경의 홀(464)이 천공된다. 출구 계면(460)에 흠이 생기는 것을 피하기 위하여, 플레이트(465)가 CVD층(460)에 부착된다. 천공은 부분적으로 플레이트(465) 안까지 계속된다. 모든 홀의 천공이 완료되면, 플레이트(465)는 제거된다.
본 발명의 일 측면에 따르면, 본 발명의 실시예에 따라 제조된 샤워헤드를 재활용하기 위하여 새로운 방법이 이용된다. 다시 말하면, 불소 가스종을 이용한 플라즈마 에칭과 같은 소정의 플라즈마 공정에서는, SiC가 플라즈마의 불소나 산소에 의해 소모될 수 있다. 종래의 실리콘 샤워헤드를 갖는 종래의 플라즈마 챔버에서도 유사한 현상이 일어난다. 이 현상은 일반적으로 플라즈마 침식으로 지칭된다. 선행 기술에서는, 일단 실리콘 샤워헤드가 소정의 범위까지 소모되면 그 샤워헤드를 버리고 새로운 샤워헤드로 교체한다. 그러나, 본 발명의 새로운 방법에 따르면, 샤워헤드를 버릴 필요가 없고 재사용할 수 있어 실질적인 비용 절감을 달성할 수 있다.
도 5는, 본 발명의 일실시예에 따른 공정을 도시한 흐름도이다. 도 5에 나타난 바와 같이, 단계 500에서 최저 한계(lower tolerance)가 정해진다. 최저 한계는 샤워헤드가 챔버로부터 제거되어야 하기 전에 플라즈마 침식에 의해 소모될 수 있는 CVD층의 최대 두께를 의미한다. 일실시예에 따르면, 최저 한계는 샤워헤드에 0.5mm의 코팅이 남아 있도록 설정된다. 그리고 나서, 샤워헤드는 챔버에 장착되어 플라즈마 공정에 이용된다(단계 510). 단계 520에서, 한계치에 도달했는지 판단된다. 이는, 예를 들면, 평균 플라즈마 침식 속도나 플라즈마 RF 시간에 의해 CVD 소모 속도를 미리 계산하고, 한계치에 도달하기 전에 소모될 수 있는 CVD층의 양을 결정하며, 또한 샤워헤드의 이용 시간을 조절하여 정지점(stopping point)을 결정함으로써 행할 수 있다. 다른 방법은, 단순히 플라즈마 시간을 조절하여 샤워헤드의 표면을 재처리할 때까지의 사전 설정(pre-set) 플라즈마 시간의 주기를 결정하는 단계를 포함할 수도 있다. 다른 방안으로, 또는 이에 부가하여, 샤워헤드 표면 구조의 변화로 야기된 공정 드리프트(process drift)에 대해, 플라즈마 공정을 모니터링할 수 있다. 일단 한계치에 도달하면, 단계 530에서 샤워헤드는 제거된다. 그리고 나서, 단계 540에서 남아 있는 CVD 코팅 부분이 제거되고, 단계 550에서 새로운 CVD SiC층이 벌크층에 증착되며, 단계 555에서 (이 경우에서는 단지 CVD층만) 가스 홀 천공이 이루어진다. 다음으로 샤워헤드는 챔버에 재장착되어 재사용된다.
한편, 본 발명자들은, 비록 벌크층(즉, 도 3b 및 3c의 층(255))이 소결된 물질일지라도, 샤워헤드는 장착 후 초기 사용시에 파티클을 방출한다는 점을 관찰해 왔다. 조사 결과, 발명자들은 파티클들이 천공 조작으로 인한 것이라고 판단했다. 즉, 천공 조작은, 천공된 홀에서 벌크 및/또는 CVD층의 표면을 손상시킨다. 따라서, 천공 후에 샤워헤드를 재가열 또는 어닐링하는 새로운 공정이 테스트되었다. 예를 들면, 한 테스트에서는 천공 후에 샤워헤드를 약 2000℃에서 어닐링하였다. 이 열처리는 유익한 것으로 입증되었으며, 샤워헤드의 초기 제조시 및/또는 CVD SiC층의 매번 재증착 후에 이용될 수 있다. 도 5에서, 이는 선택적 열처리 또는 어닐 단계 565로 예시되어 있다.
도 6은, 본 발명의 다른 실시예에 따른 플라즈마 챔버를 나타낸다. 도 7a는, 도 6의 실시예에서 사용된 샤워헤드(650), (RF 활성 또는 접지 목적용) 전도성 링(670), 및 온도 제어부(TCU)(610) 조합을 나타낸다. 도 7b 및 7c는, 도 7a에 나타난 7B-7B 선을 따른 샤워헤드 및 전도성 링의 횡단면을 나타낸다. 도 6에 도시된 플라즈마 챔버는, 전도성 링(670)이 샤워헤드(650)와의 조합에 이용된다는 점을 제외하고는, 도 2에 도시된 것과 매우 유사하다. 도 7a에 나타난 바와 같이, 샤워헤드(650)는, 도 3a에 나타난 것과 같은 방식으로 다수의 가스 홀을 갖고 있다. 샤워헤드(650)는, 가스 홀을 갖고 있지 않는 전도성 링(670)에 둘러싸이고 동축이 된다. 도 7c에 나타난 바와 같이, 샤워헤드의 구성은 도 3c의 것과 유사하다. 그러나, 전도성 링(670)의 구성은 다르다. 즉, 도 7b에 나타난 바와 같이, 전도성 링(670)은 가스 홀을 갖고 있지 않다. 게다가, 이 실시예에서 전도성 링은 전도성 벌크층(655') 및 CVD 코팅층(660')으로 이루어진다. 이 특정 실시예에서, 벌크층(655')은 그래파이트로 만들어지는 반면, CVD층(660')은 CVD SiC로 만들어진다. 이 특정 실시예에서는 전도성 링(670) 및 샤워헤드(650)가 같은 두께를 갖는데, 이는 필수적인 것은 아니다.
도 6은, 또한 플라즈마 챔버의 작동을 제어하는데 이용되는 컨트롤러(680)를 도시한다. 컨트롤러(680)는, 맞춤식의 장치여도 되고 플라즈마 챔버를 제어하는 동작을 실행하도록 프로그래밍된 PC와 같은 범용 컴퓨터이어도 된다. 본 발명의 한 형태에 따르면, 컴퓨터는 최소 한계값을 갖도록 프로그래밍된다. 일실시예에 따르면, 이것은 동작 시간, 즉 RF 전력 생성기(630)가 작동하는 시간량의 측면에서 행해진다. 이해될 수 있는 바와 같이, 이는 도 5에 관해 설명한 것처럼, CVD층의 플라즈마 침식 속도를 결정함으로써 계산될 수 있다. 컨트롤러는 새로운 샤워헤드가 장착될 때마다 카운터를 리셋한다. 카운터는 RF 생성기(630)가 작동할 때마다 실행된다. 다음으로, 최소 한계치에 도달할 때, 예를 들면 RF 시간에 도달할 때, 컨트롤러는 도 5의 선택적 단계 560에 나타난 바와 같이, 샤워헤드가 플라즈마 챔버로부터 제거될 필요가 있다는 점을 오퍼레이터에 통지한다.
이 실시예에서, 전도성 링은 전위, 이 경우에는 접지 전위로의 RF의 결합을 향상시킨다. 따라서, 전도성 링(670)의 벌크(655')는, 샤워헤드(650)의 벌크(655)보다 더 전도성을 띠는 물질로 만들어진다. 이해될 수 있는 바와 같이, 전도성 링이 플라즈마에 노출되어 플라즈마 공정이 수행되는 환경에서는, 도 5와 관련하여 설명한 재사용 공정이 전도성 링을 재활용하는 데에 동일하게 이용될 수 있다.
에칭의 일관성을 달성하기 위해서는, 플라즈마 에칭 공정 중에 샤워헤드 및 전도성 링의 표면 온도를 안정적으로 제어하고 유지할 필요가 있다. 도 6의 실시예에 의하면, 온도 제어부 TCU(610)는, 샤워헤드(650) 및 전도성 링(670) 위에 바로 놓인다. 그러나, TCU(610)가 금속성 물질로 만들어지는 반면, 샤워헤드는 금속과는 다른 열팽창 계수를 갖는 세라믹으로 만들어진다. 본 발명의 한 특징은 TCU(610)와 샤워헤드(650) 및 전도성 링(670) 사이에 선택적으로 열전도성이지만 전기적으로 절연성인 층(615)을 갖는 것이다. 전형적으로 10~30 um 두께인 이 전도성 층은, 이 예에서, 테프론(Teflon®)이나 캡톤(Kapton®)층, 또는 열 전도성을 가지며 온도 변동으로 인한 TCU(610)와 샤워헤드(650)및 전도성 링(670) 사이의 상대적인 움직임을 허용하는 유사한 필름일 수 있다. 테프론과 캡톤은 Delaware의 E. I. du Pont de Nemours and Company of Wilmington의 등록 상표이다. 도 6의 예에서, 이 층은 TCU(610)의 바닥면상에 간단히 용사되어 형성된다.
도 8은, 본 발명의 다른 실시예를 나타내는데, 여기에서 CVD층은 벌크 CVD에 접착된다. 더욱 상세하게는, 도 8은 도 3a의 샤워헤드(250)나 도 7a의 샤워헤드(650)와 같은 상술한 실시예 중 어디에도 이용될 수 있는 샤워헤드의 횡단면을 나타낸다. 도 8에 나타난 샤워헤드는, 접착제(995)로 벌크 SiC(955)에 접착된 CVD SiC층(960)을 갖는다. 접착제는, 예를 들면 중합체와 같은 탄성중합체 결합부를 형성하는 접착제와 같이, 임의의 적절한 접착제이어도 좋다. 이용될 수 있는 물질의 구체적인 예로는, 폴리이미드(polyimide), 폴리켑톤(polykeptone), 폴리에테르켑톤(polyetherkeptone), 폴리에테르 설폰(polyether sulfone), 폴리에틸렌 테레프탈레이트(polyethylene terephthalate), 플루오로에틸렌 프로필렌 혼성중합체(fluoroethylene propylene copolymers), 셀룰로오스(cellulose), 트리아세테이트(triacetates), 실리콘(silicone), 및 고무(rubber)를 포함한다. 이용될 수 있는 고순도 탄성중합체 물질의 예로는, RTV 133 및 RTV 167과 같이 General Electric사로부터 구할 수 있는 일액형 실온 경화 접착제(one-component room temperature curing adhesives), TSE 3221과 같이 General Electric사로부터 구할 수 있는 일액형 유동성 열경화(예를 들면 100℃ 이상) 접착제(one-component flowable heat-curable adhesive), 및 "SILASTIC"과 같이 Dow Corning사로부터 구할 수 있는 이액형 경화 탄성중합체(two-part addition cure elastomer)를 포함한다. 특히 적절한 탄성중합체는 250℃ 및 그 이상의 온도에서 안정적인 탄성중합체인, V217과 같이 Rhodia사로부터 구할 수 있는 촉매 경화, 예를 들면 Pt-경화 탄성중합체와 같은 탄성중합체를 포함하는 폴리디메틸실록산(polydimethylsiloxane)이다. 탄성중합체 물질은, 전기적으로 및/또는 열적으로 전도성인 입자의 충전재(filler), 또는 와이어메쉬(wire mesh), 전도성 직물(woven conductive fabric) 또는 전도성 부직포(non-woven conductive fabric) 등과 같은 다른 형태의 충전재를 선택적으로 포함할 수 있다.
도 8에 나타난 실시예는 도 3c에 나타난 것과 같이 두 가지의 직경 홀의 가스 주입홀을 도시하나, 도 3b에 나타난 바와 같은 단일 직경의 홀을 이용할 수 있다. 또한, 이 실시예를 이용할 때, 도 5의 공정은, 단계 540에서 구 CVD층을 제거하는 동작은 구 접착제층을 제거하는 동작을 포함하도록 수정된다. 나아가, 단계 550은, 신 CVD층을 증착이 아닌 부착하는 동작을 지칭하는 것으로 수정된다.
본 발명은 특정한 실시예와 관련하여 설명되었으나, 이는 전반적으로 한정이 아닌 예시를 하기 위한 것이다. 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자는, 하드웨어, 소프트웨어, 및 펌웨어의 많은 다른 조합이 본 발명을 실시하는데 적절할 것이라는 점을 인식할 것이다. 더욱이, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에게는, 본 명세서의 고찰 및 여기에 개시된 본 발명의 실시예로부터, 본 발명의 다른 구현이 명백할 것이다. 설명된 실시예의 다양한 측면 및/또는 구성은 플라즈마 챔버 기술에서, 단독으로 또는 임의의 조합으로써 이용될 수 있다. 본 명세서 및 실시예들은 단지 예시일 뿐이며, 본 발명의 보호범위 및 기술적 사상은 다음의 특허청구범위에 의해 정해진다.
본 명세서에 편입되어 그 일부를 구성하는 첨부 도면은, 본 발명의 바람직한 실시예를 예시하는 것이며, 또한 발명의 상세한 설명과 함께 본 발명의 기술사상을 설명하고 도시하는 역할을 한다. 첨부 도면은 도식적 방법으로 바람직한 실시예의 주요 형태를 설명하기 위한 것이다. 첨부 도면은 실제 실시예의 모든 특징이나 도시된 구성 요소의 상대적 치수를 나타내도록 한 것이 아니며, 일정한 비율로 그려진 것 또한 아니다.
도 1a 및 1b는 선행 기술인 유도 및 용량형의 플라즈마 챔버를 각각 개략적으로 나타낸다.
도 2는 본 발명의 일실시예에 따른 용량 결합형 챔버를 개략적으로 도시한다.
도 3a는 본 발명의 일실시예에 따른 샤워헤드를 개략적으로 도시한다.
도 3b 및 3c는 도 3a에 도시된 3B-3B 선을 따른 샤워헤드/전극(250)의 부분적인 횡단면을 도시한다.
도 4는 동축 가스 홀의 천공 후에 출구 홀(exit hole)이 깨끗하다는 것을 확인해 주는 일실시예를 나타낸다.
도 5는 본 발명의 일실시예에 따른 공정을 도시하는 흐름도이다.
도 6은 본 발명의 다른 실시예에 따른 플라즈마 챔버를 나타낸다.
도 7a는 도 6의 실시예에서 사용된 샤워헤드/전도성 링 조합을 나타낸다.
도 7b 및 7c는 도 7a에 도시된 7B-7B 선을 따른 샤워헤드 및 전도성 링의 횡 단면을 나타낸다.
도 8은 본 발명의 다른 실시예를 나타내며, 여기에서 CVD층은 벌크 CVD에 접착된다.

Claims (25)

  1. 용량 결합형 플라즈마 챔버(capacitive-coupled plasma chamber)에 이용되는 샤워헤드(showerhead)에 있어서,
    10 ohm-cm보다 낮은 비저항을 나타내는 소결된 SiC 또는 열간 프레스된 SiC로 이루어진 벌크층;
    상기 벌크층의 하면에 코팅된 CVD SiC 코팅층; 및
    상기 벌크층 및 상기 CVD SiC 코팅층을 관통하여 제공된 복수의 가스 주입홀을 포함하는 샤워헤드.
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 제1항에 있어서,
    상기 CVD SiC 코팅층이 0.1 ohm-cm 내지 1E8 ohm-cm의 비저항을 나타내는 샤워헤드.
  7. 제1항에 있어서,
    상기 복수의 가스 주입홀의 각각이, 제1 직경을 갖고 상기 벌크층을 관통하여 연장된 부분을 형성하는 제1 섹션, 및 상기 제1 직경보다 작은 직경을 갖고 상기 제1 섹션으로부터 상기 CVD SiC 코팅층을 관통하여 동축으로 연장된 제2 섹션을 포함하는 샤워헤드.
  8. 제1항에 있어서,
    상기 가스 주입홀이 상기 벌크층 및 상기 CVD 코팅층을 관통하는 홀을 천공하고, 상기 천공 후에 상기 샤워헤드를 어닐링함으로써 만들어지는 샤워헤드.
  9. 용량 결합형 플라즈마 챔버에 있어서,
    챔버 몸체;
    상기 챔버 몸체 내부에 제공되며, 그 안에 전극이 제공된 시편 홀더;
    상기 챔버 몸체의 상부에 제공되며, 10 ohm-cm보다 낮은 비저항을 나타내는 소결된 SiC 또는 열간 프레스된 SiC로 이루어진 벌크층; 상기 벌크층의 하면에 코팅된 CVD SiC 코팅층; 및 상기 벌크층 상기 CVD SiC 코팅층을 관통하여 제공된 복수의 가스 주입홀을 포함하는 샤워헤드; 및
    상기 전극과 상기 샤워헤드 사이에 결합된 RF 전원을 포함하는 플라즈마 챔버.
  10. 삭제
  11. 삭제
  12. 제9항에 있어서,
    상기 CVD SiC 코팅층이 0.1 ohm-cm 내지 1E8 ohm-cm의 비저항을 나타내는 플라즈마 챔버.
  13. 제9항에 있어서,
    상기 샤워헤드 위에 설치된 온도 제어부, 및 상기 온도 제어부와 상기 샤워헤드 사이에 제공된 열전도성 필름을 더 포함하는 플라즈마 챔버.
  14. 제9항에 있어서,
    상기 복수의 가스 주입홀의 각각이, 제1 직경을 갖고 상기 벌크층을 관통하여 연장된 부분을 형성하는 제1 섹션, 및 상기 제1 직경보다 작은 직경을 갖고 상기 제1 섹션으로부터 상기 CVD SiC 코팅층을 관통하여 동축으로 연장된 제2 섹션을 포함하는 플라즈마 챔버.
  15. 벌크층, 및 플라즈마 침식(plasma erosion)에 민감한 CVD 코팅층을 포함하는 샤워헤드를 재사용하는 방법에 있어서,
    a. 상기 CVD 코팅층의 최대 침식 허용치를 가리키는 최저 한계치를 설정하는 단계;
    b. 플라즈마 챔버 상에 상기 샤워헤드를 장착하는 단계;
    c. 상기 플라즈마 챔버에서 플라즈마 공정을 실행하는 단계;
    d. 상기 최저 한계치에 도달했는지 결정하여, 도달했다면 단계 e로 진행하고, 도달하지 않았다면 단계 c로 되돌아가는 단계;
    e. 상기 챔버로부터 상기 샤워헤드를 제거하는 단계;
    f. 남아있는 CVD 코팅층을 제거하는 단계;
    g. 새로운 CVD 코팅층을 증착하고, 증착된 CVD 코팅층을 관통하여 가스 홀을 천공하는 단계;
    h. 단계 b로 되돌아가는 단계를 포함하는 샤워헤드의 재사용 방법.
  16. 제15항에 있어서,
    상기 단계 g는 CVD SiC 코팅층을 증착하는 단계를 포함하는 샤워헤드의 재사용 방법.
  17. 제15항에 있어서,
    상기 단계 d는 상기 최저 한계치에 도달할 때마다 이를 사용자에게 통지하는 단계를 더 포함하는 샤워헤드의 재사용 방법.
  18. 제15항에 있어서,
    상기 단계 d에서 상기 최저 한계치에 도달했는지 여부를 결정하는 단계는, 평균 침식 속도, 표면 구조 변화로 인한 공정 시프트(process shift), 또는 미리 정해진 플라즈마 시간(plasma hours)으로부터 CVD 코팅층의 수명을 평가함으로써 실현되는 샤워헤드의 재사용 방법.
  19. 제15항에 있어서,
    상기 단계 g는 상기 가스 홀을 천공하는 단계 후에 상기 샤워헤드를 어닐링하는 단계를 더 포함하는 샤워헤드의 재사용 방법.
  20. 에칭 플라즈마 챔버의 샤워헤드 어셈블리용 전도성 링에 있어서,
    10 ohm-cm보다 낮은 비저항을 가지는 소결된 SiC 또는 열간 프레스된 SiC로 이루어진 벌크부; 및
    상기 벌크부 상에 코팅된 CVD SiC 코팅층을 포함하는 전도성 링.
  21. 삭제
  22. 에칭 챔버용 상부 전극 어셈블리에 있어서,
    10 ohm-cm보다 낮은 비저항을 나타내는 소결된 SiC 또는 열간 프레스된 SiC로 이루어진 벌크층 및 상기 벌크층의 하면에 코팅된 CVD SiC 코팅층을 포함하는 샤워헤드;
    상기 샤워헤드에 인접하여 위치한 온도 제어부; 및
    상기 온도 제어부와 상기 샤워헤드 사이에 제공된 열전도성 필름을 포함하는 상부 전극 어셈블리.
  23. 제22항에 있어서,
    상기 열전도성 필름은 상기 샤워헤드와 접촉하는 상기 온도 제어부의 표면에 제공된 용사층(sprayed layer)을 포함하는 어셈블리.
  24. 제23항에 있어서,
    상기 열전도성 필름이 테프론(Teflon) 및 캡톤(Kapton) 중 하나인 어셈블리.
  25. 제1항에 있어서,
    상기 벌크층과 상기 CVD 코팅층 사이에 제공된 접착제를 더 포함하는 샤워헤드.
KR1020070138668A 2007-02-27 2007-12-27 플라즈마 에칭 챔버용 실리콘 카바이드제 가스 공급판 및rf 전극 KR100950115B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN200710037701A CN100577866C (zh) 2007-02-27 2007-02-27 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
CN200710037701.2 2007-02-27

Publications (2)

Publication Number Publication Date
KR20080079584A KR20080079584A (ko) 2008-09-01
KR100950115B1 true KR100950115B1 (ko) 2010-03-30

Family

ID=39714547

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070138668A KR100950115B1 (ko) 2007-02-27 2007-12-27 플라즈마 에칭 챔버용 실리콘 카바이드제 가스 공급판 및rf 전극

Country Status (4)

Country Link
US (1) US7992518B2 (ko)
JP (2) JP2008211183A (ko)
KR (1) KR100950115B1 (ko)
CN (1) CN100577866C (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101593922B1 (ko) * 2014-12-30 2016-02-15 하나머티리얼즈(주) 화학기상증착법에 의한 반도체 공정용 다결정 탄화규소 벌크 부재 및 그 제조방법

Families Citing this family (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7810487B2 (en) * 2005-12-01 2010-10-12 W.C. Bradley Company Apparatus and methods for providing an improved cooking grate for an outdoor cooking grill
US8216419B2 (en) * 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
CN101736326B (zh) * 2008-11-26 2011-08-10 中微半导体设备(上海)有限公司 电容耦合型等离子体处理反应器
US20100180426A1 (en) * 2009-01-21 2010-07-22 Applied Materials, Inc. Particle reduction treatment for gas delivery system
JP5595795B2 (ja) * 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
JP5528773B2 (ja) * 2009-11-06 2014-06-25 三井造船株式会社 シャワーヘッド、シャワーヘッド製造方法、およびシャワーヘッド再生方法
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US8709551B2 (en) * 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
JP2012049220A (ja) * 2010-08-25 2012-03-08 Mitsui Eng & Shipbuild Co Ltd 耐プラズマ部材およびその再生方法
CN101974739B (zh) * 2010-11-19 2012-07-04 理想能源设备有限公司 等离子体增强化学气相沉积装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102355792B (zh) * 2011-10-19 2016-04-06 中微半导体设备(上海)有限公司 改进等离子均匀性和效率的电感耦合等离子装置
CN102352492A (zh) * 2011-11-10 2012-02-15 中微半导体设备(上海)有限公司 一种带冷却系统的气体注入装置
CN103177912B (zh) * 2011-12-20 2016-05-25 中微半导体设备(上海)有限公司 一种用于等离子反应室的层叠型组件及其制造方法
TWI565527B (zh) * 2011-12-26 2017-01-11 鴻海精密工業股份有限公司 電漿成膜裝置
KR101282157B1 (ko) * 2011-12-29 2013-07-04 엘아이지에이디피 주식회사 기판 처리 장치
KR101300127B1 (ko) * 2011-12-29 2013-08-26 엘아이지에이디피 주식회사 샤워헤드 및 이의 제작 방법
US9165788B2 (en) 2012-04-06 2015-10-20 Novellus Systems, Inc. Post-deposition soft annealing
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140097752A1 (en) * 2012-10-09 2014-04-10 Varian Semiconductor Equipment Associates, Inc. Inductively Coupled Plasma ION Source Chamber with Dopant Material Shield
CN103789747B (zh) * 2012-10-26 2016-03-09 中微半导体设备(上海)有限公司 一种气体喷淋头及制作该气体喷淋头的方法
JP2014149983A (ja) * 2013-02-01 2014-08-21 Toshiba Corp プラズマ処理装置用電極とその製造方法、及びプラズマ処理装置
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US8895415B1 (en) 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
US9384937B2 (en) * 2013-09-27 2016-07-05 Varian Semiconductor Equipment Associates, Inc. SiC coating in an ion implanter
CN104701125A (zh) * 2013-12-05 2015-06-10 中微半导体设备(上海)有限公司 气体分布板
JP2016022561A (ja) * 2014-07-22 2016-02-08 三井造船株式会社 SiC部材の穿孔方法
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
CN105722294B (zh) * 2014-12-01 2019-04-30 无锡源清天木生物科技有限公司 常压放电冷等离子体发生器
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
TW201737297A (zh) * 2014-12-26 2017-10-16 A Sat股份有限公司 用於電漿蝕刻裝置的電極
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN106920726B (zh) * 2015-12-24 2018-10-12 中微半导体设备(上海)有限公司 等离子体处理装置及其清洗方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
JP6853456B2 (ja) * 2017-07-10 2021-03-31 三菱マテリアル株式会社 プラズマ処理装置用電極板の再生方法及びプラズマ処理装置用電極板
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN109427527B (zh) * 2017-08-24 2021-02-26 中微半导体设备(上海)股份有限公司 一种等离子体刻蚀设备及用于该设备的喷头
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP2021523292A (ja) 2018-05-03 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation 3d nand構造内にタングステンおよび他の金属を堆積させる方法
TWI764008B (zh) * 2018-06-19 2022-05-11 美商應用材料股份有限公司 高品質間隙填充的高偏壓沉積
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11970776B2 (en) 2019-01-28 2024-04-30 Lam Research Corporation Atomic layer deposition of metal films
CN113490765A (zh) * 2019-03-08 2021-10-08 应用材料公司 用于处理腔室的多孔喷头
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
US11332827B2 (en) 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
CN112885691B (zh) * 2019-11-29 2024-05-14 中微半导体设备(上海)股份有限公司 等离子体处理装置及其稳定性优化的方法
KR20210077060A (ko) * 2019-12-16 2021-06-25 삼성디스플레이 주식회사 잉크젯 프린트 장치 및 쌍극자 정렬 방법
KR102188258B1 (ko) * 2020-04-27 2020-12-09 주식회사 바이테크 일체형 다층 구조의 다결정 탄화규소 성형체 제조방법과 다결정 탄화규소 성형체 및 플라즈마 공정장비용 샤워헤드
CN114790543A (zh) * 2021-01-26 2022-07-26 Asm Ip私人控股有限公司 用于沉积层的方法和系统
WO2024024803A1 (ja) * 2022-07-28 2024-02-01 東京エレクトロン株式会社 製造方法、および部品

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010080530A (ko) * 1999-09-23 2001-08-22 리차드 에이치. 로브그렌 반도체 처리를 위한 가스 분산장치
JP2003051485A (ja) * 2001-08-03 2003-02-21 Mitsubishi Materials Corp プラズマエッチング用被覆シリコン電極板
JP2003059903A (ja) * 2001-08-10 2003-02-28 Ibiden Co Ltd プラズマエッチング装置のガス吹き出し板及びその製造方法
KR20030066770A (ko) * 2000-12-29 2003-08-09 램 리서치 코포레이션 플라즈마 공정을 위한 전극 및 이의 제조 방법과 사용 방법

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3175177A (en) * 1961-01-16 1965-03-23 Gen Motors Corp Electrical resistance device
JPS6329522A (ja) * 1986-07-23 1988-02-08 Hitachi Ltd ガス処理方法および反応管
EP0445319B1 (en) * 1990-03-05 1995-11-08 International Business Machines Corporation Process for fabricating silicon carbide films with a predetermined stress
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
TW337513B (en) * 1992-11-23 1998-08-01 Cvd Inc Chemical vapor deposition-produced silicon carbide having improved properties and preparation process thereof
JP2996162B2 (ja) * 1995-12-25 1999-12-27 松下電器産業株式会社 プラズマ装置、薄膜形成方法及びエッチング方法
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
JPH1192972A (ja) * 1997-09-22 1999-04-06 Shin Etsu Chem Co Ltd プラズマ装置用電極の製造方法及びプラズマ装置用電極
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP2005285846A (ja) * 2004-03-26 2005-10-13 Ibiden Co Ltd プラズマエッチング装置のガス吹き出し板
JP2006140238A (ja) * 2004-11-10 2006-06-01 Tokyo Electron Ltd 基板処理装置用部品及びその製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010080530A (ko) * 1999-09-23 2001-08-22 리차드 에이치. 로브그렌 반도체 처리를 위한 가스 분산장치
KR20030066770A (ko) * 2000-12-29 2003-08-09 램 리서치 코포레이션 플라즈마 공정을 위한 전극 및 이의 제조 방법과 사용 방법
JP2003051485A (ja) * 2001-08-03 2003-02-21 Mitsubishi Materials Corp プラズマエッチング用被覆シリコン電極板
JP2003059903A (ja) * 2001-08-10 2003-02-28 Ibiden Co Ltd プラズマエッチング装置のガス吹き出し板及びその製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101593922B1 (ko) * 2014-12-30 2016-02-15 하나머티리얼즈(주) 화학기상증착법에 의한 반도체 공정용 다결정 탄화규소 벌크 부재 및 그 제조방법

Also Published As

Publication number Publication date
JP2008211183A (ja) 2008-09-11
CN100577866C (zh) 2010-01-06
KR20080079584A (ko) 2008-09-01
JP3178150U (ja) 2012-09-06
CN101255552A (zh) 2008-09-03
US20080202688A1 (en) 2008-08-28
US7992518B2 (en) 2011-08-09

Similar Documents

Publication Publication Date Title
KR100950115B1 (ko) 플라즈마 에칭 챔버용 실리콘 카바이드제 가스 공급판 및rf 전극
KR102098698B1 (ko) 플라즈마 처리 장치
JP3209624U (ja) 容量結合型プラズマ処理装置のエッジリングのrf振幅の制御
JP3168600U (ja) 傾斜上面を有するホットエッジリング
KR102183029B1 (ko) 단일-본체 정전 척
US10497597B2 (en) Electrostatic chuck assembly and substrate processing apparatus including the same
KR100803253B1 (ko) 결합 전극을 구비한 플라즈마 챔버 지지 부재
TW201501171A (zh) 具有電容耦合電漿反應器用嵌入式射頻電極之陶瓷噴淋頭
TWI343590B (ko)
CN109994352B (zh) 用于等离子体处理室的电极
KR101870051B1 (ko) 텅스텐카바이드 벌크로 이루어진 플라즈마 장치용 부품
JP7332614B2 (ja) 分離した裏側ヘリウム供給システム
TW202117799A (zh) 防止約束環發生電弧損傷的等離子體處理器和方法
KR101976538B1 (ko) 온도 가변형 정전척 및 이를 포함하는 기판 처리 장치
US11984306B2 (en) Plasma chamber and chamber component cleaning methods
KR102039798B1 (ko) 텅스텐카바이드로 이루어진 플라즈마 장치용 부품의 제조방법
US20230143049A1 (en) Substrate processing apparatus and method of manufacturing semiconductor device using the same
CN112992631B (zh) 一种下电极组件,其安装方法及等离子体处理装置
KR102039799B1 (ko) 텅스텐옥사이드 벌크로 이루어진 플라즈마 장치용 부품
TW201538038A (zh) 用於等離子體蝕刻的電極元件及其製造方法
KR20120009572A (ko) 세라믹 히터

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121227

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140314

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150313

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160317

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170310

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180309

Year of fee payment: 9