KR100794864B1 - 붕소 확산 배리어 층을 포함하는 반도체 소자 및 반도체 소자의 제조 방법 - Google Patents

붕소 확산 배리어 층을 포함하는 반도체 소자 및 반도체 소자의 제조 방법 Download PDF

Info

Publication number
KR100794864B1
KR100794864B1 KR1020017013408A KR20017013408A KR100794864B1 KR 100794864 B1 KR100794864 B1 KR 100794864B1 KR 1020017013408 A KR1020017013408 A KR 1020017013408A KR 20017013408 A KR20017013408 A KR 20017013408A KR 100794864 B1 KR100794864 B1 KR 100794864B1
Authority
KR
South Korea
Prior art keywords
substrate
gas
plasma
exposing
oxide film
Prior art date
Application number
KR1020017013408A
Other languages
English (en)
Other versions
KR20020063108A (ko
Inventor
이마드 마하윌리
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20020063108A publication Critical patent/KR20020063108A/ko
Application granted granted Critical
Publication of KR100794864B1 publication Critical patent/KR100794864B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/06Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising selenium or tellurium in uncombined form other than as impurities in semiconductor bodies of other materials
    • H01L21/08Preparation of the foundation plate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/512Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being parallel to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

반도체 소자의 제조 방법은 반도체 기판을 제공하는 단계, 기판에 산화막을 형성하는 단계, 및 확산 배리어를 형성하도록 산화막의 노출 표면의 상부에 질소 가스를 부가하는 단계를 포함한다.
반도체 소자, 확산 배리어, 산화물, 반도체 기판, 질소 가스

Description

붕소 확산 배리어 층을 포함하는 반도체 소자 및 반도체 소자의 제조 방법{CHEMISTRY FOR BORON DIFFUSION BARRIER LAYER AND METHOD OF APPLICATION IN SEMICONDUCTOR DEVICE FABRICATION}
본 발명은 붕소 확산 배리어 층의 화학적 작용 및 반도체 소자 제조시 응용 방법에 관한 것이다.
고체 상태 트랜지스터와 같은 반도체 소자의 제조시, 수 개의 박막 프로세스가 관련된다. 간단하게 예를 들면, 실리콘 웨이퍼가 먼저 수용 세척되고나서, 상승된 온도의 산소 환경에서 웨이퍼를 가열함으로써 실리콘 산화막이 형성된다. 실리콘 산화막이 형성된 후, 실리콘 질화막이 산화막 위에 피착된다. 이러한 실리콘 질화막의 피착은 저압 화학적 증착 시스템에서 암모니아와 실란을 이용하여 이루어진다. 그리고나서, 여러 가지 장비 세트를 이용하여 피착된 레지스트의 건식 에칭 제거 공정, 수용 세척 공정, 산화 공정 및 최종 평탄화 공정의 일련의 수 개의 단계들 이후에 필드 산화물이 피착된다. 회로 패턴은 사용될 트랜지스터 설계의 수 개의 리소그래픽 프로세스들에 의해 형성되기 시작한다. 그리고 나서, 트랜지스터의 소스 및 드레인을 형성하는 N-웰 및 P-웰이 분리 이온 주입 공정, 레지스트 애싱 공정, 및 수용 세척 공정에 의해 생성된다.
그리고 나서, 통상 불화수소산(hydrofluoric acid)과 같은 무기산의 여러 가지 농도를 이용하여 웨이퍼에 대한 정밀한 습식 선-세척이 이어진다. 그리고 나서, 웨이퍼가 산소, 디클로로실란(dichlorosilane) 및 수증기를 포함하는 산소, 또는 산소와 수소와 같은 환경 하에서 600℃ 내지 1050℃의 범위의 높은 온도에서 산화된다. 그리고 나서, 트랜지스터 게이트 산화물이라 불리는 이러한 산화물이 통상 암모니아 또는 일산화질소를 포함하는 질소 환경에서 1000℃ 근처의 고온에서 어닐링된다. 이러한 최종 어닐링 단계는 게이트 산화물내에 통상 특정 양의 질소를 포함하는 실리콘 질화물층을 형성한다. 실리콘 질화물은 뛰어난 확산 배리어일 뿐만 아니라 양호한 도전체이다. 통상 붕소 또는 인을 포함하는 폴리실리콘 층이 부가된 경우, 붕소 또는 인 원자가 게이트 산화물 내로 이동될 수 있다. 그러나, 실리콘 질화물층은 붕소 또는 인의 게이트로의 이동(migration)에 대한 배리어로서 작용한다. 그러나, 게이트가 20Å 수준 정도로 얇게 됨에 따라, 산화물 층에 존재하는 질소 원자는 게이트의 성능에 영향을 미친다. 그러므로, 질소 이동은 많은 소자의 속도를 증가시키는데 장애물이 되었다. 소자 제조 기하학적 배열이 더 작아지고, 라인 폭이 0.13미크론에 근접함에 따라, 확산 배리어로서 게이트 산화물내에 포함된 질소는 이들 소자 속도의 이득을 더욱 제한하였다.
결과적으로, 폴리실리콘 층에 위치된 인 또는 붕소 원자들이 게이트 산화물내부로 이동하면서도 게이트 산화물 두께를 최소화할 수 있는 방법이 필요하게 되었다.
본 발명에 따르면, 반도체 소자를 제조하는 방법은 소자의 산화막-폴리실리콘 층 계면에서 질소 원자를 집속하는 것을 유도한다. 본 발명은 전체는 아니더라도 대부분의 질소 원자들이 산화막 내부가 아닌 산화막 표면 위에 존재하는 방식으로 질소 원자를 집속시키는 프로세스를 제공한다. 이것은 폴리실리콘 층으로부터 붕소 원자 확산 방지를 최대화시켜, 산화막이 8 내지 15Å에 근접하는 매우 작은 두께에서 가장 바람직한 전기적 형태로 기능하게 함으로써, 소자의 속도를 증가시킨다.
본 발명의 한 형태에 있어서, 반도체 소자는 반도체 기판에 산화막을 형성하고, 이어서 질소 원자가 산화막을 투과하지 않는 확산 배리어를 형성하도록 반도체 기판의 노출된 표면의 상부 상에 질소 원자를 부가함으로써 제조된다.
하나의 양태에서, 질소 원자는 질소 가스를 포함하는 플라즈마에 기판을 노출시킴으로써 부가된다. 예를 들면, 기판은 25℃ 내지 800℃의 온도 범위 또는 약 25℃의 온도에서 플라즈마에 노출될 수 있다. 또 다른 태양에서, 기판은 10mTorr 내지 1000mTorr의 압력 범위, 더 바람직하게는 약 150mTorr 압력의 질소 플라즈마에 노출된다.
다른 양태에서, 기판은 또한 헬륨 가스에 노출된다. 양호하게는, 기판은 질소 가스와 헬륨 가스를 포함하는 플라즈마에 노출된다.
또 다른 양태에서, 기판은 실란 가스의 존재하에서 질소 가스를 포함하는 플라즈마에 노출되고, 질소 및 실란은 산화막의 상부 상에 실리콘 질화막을 형성한다. 예를 들면, 기판은 약 50mTorr 내지 750mTorr의 압력 범위 또는 약 250mTorr의 압력에서 실란 가스의 존재하에서 질소 가스를 포함하는 플라즈마에 노출될 수 있다.
본 발명의 또 다른 형태에 따르면, 반도체 소자는 노출 표면과 기판과의 계면을 갖는 산화막을 기판에 형성함으로써 제조된다. 노출된 표면은 실란 가스에 노출되어, 노출된 표면상에 실란 분자를 형성한다. 질소 원자는 산화막의 노출된 표면의 상부에 부가되고, 질소 원자는 실란 분자와 반응하여 확산 배리어를 형성한다.
하나의 양태에서, 질소 원자는 질소 가스를 포함하는 플라즈마에 기판을 노출시킴으로써 부가된다. 예를 들면, 기판은 25℃ 내지 800℃ 온도의 온도 범위 또는 약 25℃의 온도에서 질소 가스를 포함하는 플라즈마에 노출될 수 있다.
본 발명의 또 다른 형태에서, 반도체 소자는 반도체 기판에 산화막을 형성함으로써 처리 장치의 처리 챔버에서 제조된다. 질소 가스를 포함하는 플라즈마가 실란 가스의 존재하에서 처리 챔버에 주입되어, 산화막의 상부에 확산 배리어를 형성한다.
하나의 양태에서, 플라즈마는 약 50mTorr 내지 750mTorr의 압력 범위, 또는 더 바람직하게는 약 250mTorr의 압력하에서 처리 챔버에 주입된다.
또 다른 양태에서, 실란 가스는 약 5 내지 50 cm3/min의 가스 유속 범위로 처리 챔버에 주입된다. 양호하게는 실란 가스는 약 25cm3/min의 가스 유속 범위로 처리 챔버에 주입된다.
다른 양태에 따르면, 플라즈마는 약 5 내지 50 MHz의 무선 주파수 범위, 더 바람직하게는 약 13.56MHz의 무선 주파수를 갖는 플라즈마 발생기에서 발생된다. 또한, 플라즈마 발생기의 전력 입력은 약 50 내지 1000와트의 범위이고, 더 바람직하게는 약 400와트이다.
본 발명의 다른 형태에 따르면, 반도체 소자는 반도체 기판의 표면 상에 질소 원자를 부가함으로써 제조된다. 그런 후, 산화막이 기판에서 질소 원자 상에 형성되고, 질소 원자가 산화막내로 집속되어 확산 배리어를 형성한다.
본 발명의 다른 형태에서, 반도체 소자는 반도체 기판, 기판에 형성된 산화막, 및 산화막의 노출 표면의 상부에 형성된 확산 배리어를 포함하고, 확산 배리어를 형성하는 분자는 산화막을 투과하지 않는다.
하나의 양태에서, 확산 배리어는 질소 원자를 포함한다.
다른 양태에서, 산화막은 10 내지 50Å의 두께 범위를 갖는다.
또 다른 양태에 따르면, 산화물층은 트랜지스터의 게이트 산화막을 형성한다.
또 다른 양태에서, 확산 배리어는 질소 및 실란 원자를 포함한다. 또한, 확산 배리어는 5 내지 30Å, 더 바람직하게는 15 내지 20Å의 두께 범위를 갖는다.
본 발명의 또 다른 형태에 따르면, 반도체 소자는 반도체 기판; 기판에 형성되고, 고 유전체 물질을 포함하는 막; 그리고 막의 노출 표면 상부에 형성되는 확산 배리어를 포함하고, 확산 배리어를 형성하는 분자는 막을 투과하지 않는다.
예를 들면, 반도체 기판은 실리콘 웨이퍼를 포함하는 것이 바람직하고, 막은 지르코늄 산화물 및 하프늄(hafnium) 산화물을 포함하는 족(group)에서 적어도 하나의 물질을 포함한다.
또한, 확산 배리어는 질소 원자를 포함하고, 질소와 실란 원자를 모두 포함할 수 있다.
또 다른 양태에서, 확산 배리어는 5 내지 30Å의 두께 범위, 더 양호하게는 15 내지 20Å의 두께 범위를 갖는다.
본 발명의 이들 및 다른 목적, 장점, 목표 및 특징들은 첨부된 도면을 참고로 한 이하의 상세한 설명을 통해 더욱 명백하게 될 것이다.
도 1은 처리 장치의 사시도.
도 2는 도 1의 라인 Ⅱ-Ⅱ에 따른 단면도.
도 3은 도 2의 Ⅲ-Ⅲ으로 표시된 부분의 확대 단면도.
도 4는 도 1 및 도 2의 장치의 가스 주입기 튜브의 확대도.
도 5는 도 1의 장치의 커버의 확대된 상부 평면도.
도 6은 도 5의 커버의 바닥 평면도.
도 7은 도 1 내지 6의 장치의 원격 플라즈마 발생기의 확대도.
도 8은 본 발명의 방법을 이용하여 형성된 소자의 단면의 확대된 모식도.
도 9는 확산 배리어의 두께를 시간 함수로 나타낸 그래프.
도 1을 참조해보면, 참조 부호(10)는 반도체 기판을 처리하기 위한 처리 장치를 전체적으로 지칭한다. 장치(10)는 반도체 소자 제조시, 반도체 웨이퍼와 같은 반도체 기판(12)에, 예를 들면 열적 어닐링, 붕소-인 가스의 열적 리플로우(re-flow)와 같은 열적 처리 및 산화막, 질화막, 도핑 및 비도핑된 폴리실리콘 막, 실리콘 에피택셜 및 텅스텐 금속 및 텅스텐 실리사이드 막 등과 같은 박막 도포의 추가적인 화학적 증착을 포함하는 여러 가지 제조 및 처리 프로세스를 수행하는데 적합하다. 이하에서 더 상세하게 설명되는 바와 같이, 장치(10)는 질소 원자의 소자 자체로의 확산을 (제거할 수 없다면) 최소한 제한하도록 함으로써 소자 속도를 증가시키는 방식으로 질소 원자가 부가되어 붕소 또는 인 원자 확산 배리어를 형성하는 반도체 소자를 제조하는데 이용된다.
도 2를 참조해보면, 장치(10)는 기판(12)을 처리하기 위한 처리 챔버(18)에 하나 이상의 가스를 주입하는 가스 주입 시스템(16)을 포함한다. 가스 주입 시스템(16)은 양호하게는 처리 챔버로의 주입 전에 가스의 에너지 레벨을 상승시키기 위한 플라즈마 발생기(14)를 포함한다. 플라즈마 발생기(14)는 처리 챔버(18)로의 주입을 위해 가스를 이온화하는 것이 바람직하고, 더 바람직하게는 가스를 플라즈마로 이온화하며, 이것은 전자 온도를 완화시킨다. 또한, 가스를 플라즈마로 이온화함으로써, 일부 경우에는 대부분의 화학적 증착 처리와 관련된 가열을 줄이거나 생략할 수 있다. 게다가, 가스를 이온화함으로써, 이미 피착된 막의 안정성이 향상된다. 또한, 플라즈마 발생기(14)가 처리 챔버(18)의 외부에 위치하고 있으므로, 처리 챔버로의 주입 전에, 플라즈마 발생기(14)가 가스 또는 가스들을 이온화함으로써 기판, 통상 반도체 기판을 플라즈마 필드의 높은 전자 에너지로부터 분리시킴으로서, 높은 전자 에너지에 기판이 노출되는 경우 통상적으로 발생되는 소자 손상(damage) 및/또는 고장과 같은 기판의 변형을 방지한다.
도 2를 다시 참조해보면, 장치(10)는 양호하게는 일정하게 열을 기판으로 전달하는 히터 어셈블리(20)와 방사율(emissivity) 측정 어셈블리(22)를 포함한다. 적절한 히터의 추가적인 세부 내용에 대해서는 Grand Rapids, Mich.의 Micro C Tehchnologies, Inc.에 공동 양도된 미국 특허 제5,951,896호를 참조하라. 또한, 적절한 방사율 측정 어셈블리의 추가적인 세부 내용에 대해서는 Grand Rapids, Mich.의 Micro C Tehchnologies, Inc.에 공동 양도된 미국 특허 제5,814,365호를 참조하라.
장치(10)는 처리 챔버(18)를 형성하는 하우징(24)을 포함한다. 실리콘 카바이드 코팅 그래파이트(silicon carbide coated graphite), 수정, 순수 실리콘 카바이드, 알루미나, 지르콤, 알루미늄, 강철 등과 같은 적절한 물질로 만들어지는 기판(12)은 처리 챔버(18) 내의 플랫폼(26) 상에서 지지된다. 기판(12)은 그 소자측(12a)이 하우징(24)의 상부벽 또는 커버(28)에 대해 위쪽으로 향하도록 지지된다. 적절한 플랫폼의 예에 대해서는 본원에 참고로 첨부한 Grand Rapids, Mich.의 Micro C Tehchnologies, Inc.에 공동 양도된 미국 특허 제6,090,212호와 제6,007,635호를 참조하라.
플랫폼(26)은 양호하게는 제2 회전가능 하우징(32)의 상부 벽(30)에 제공된 오목형 중앙 개구(30a)에 설치되어 지지된다. 하우징(32)은 양호하게는 하우징(24) 내의 베이스(34) 상에서 회전 가능하게 지지된다. 베이스(34) 및 제2 하우징(32)은 하우징(24)의 베이스 벽(36)상에서 회전가능하게 지지되고, 진공 실(seal)을 통해 베이스(34)를 분리 회전시킬 수 있는 종래의 자기적으로 결합된 구동 메카니즘(38) 또는 다른 적절한 구동 장치를 이용하여 회전되는 것이 바람직하다. 이러한 방식으로, 기판(12)은 하우징(24) 내에서 회전가능하게 지지된다. 또한, 기판(12)을 회전시킴으로써, 장치(10)는 기판 전체 표면상에 열과 가스를 일정하게 제공할 수 있다. 예를 들면, 베이스(34) 및 하우징(32)의 분당 회전수(rpm)는 특정 프로세스에 따라 예를 들면 5 내지 60rpm의 범위로 미리 설정될 수 있다.
히터 어셈블리(20)는 하우징(32)과 플랫폼(26)에 의해 둘러싸여지고, 플랫폼(26)은 하우징(32)의 상부에 얹혀져 하우징(32)내에서 히터 어셈블리(20)를 둘러싼다. 플랫폼(26)은 커버(28)의 하부 표면(28a)로부터 기판(12)을 이격시켜 지지하고, 그 사이 공간이 처리 챔버(18)를 한정한다. 처리 챔버(18)는 양호하게는 진공 배기 포트를 통해 배기된다. 진공 배기 포트는 이하에 더 충분히 설명되는 가스 주입 시스템(16)에 제공될 수도 있다. 기판(12)은 챔버 밸브(39)를 통해 챔버(18)내에 배치되고, 자동 이송 로봇과 같은 통상의 웨이퍼 이송 장치에 의해 플랫폼(26) 상에 배치된다.
도 5 및 도 6을 참조해보면, 가스 주입 시스템(16)은 하나 이상의 가스가 기판(12) 상의 처리 챔버(18)내로 주입되는 매니폴드(40, manifold)를 포함한다. 매니폴드(40)는 기판(12)으로 확장되어 이격 관계로 배열되고 커버(28) 내에 지지되는 복수의 가스 주입기 튜브(46)로 형성된다. 양호하게는, 튜브(46)는 예를 들면 수정이나 알루미나 튜브 등과 같은 비반응성 가스 튜브를 포함한다. 각 가스 주입 튜브(46)는 인접하는 가스 주입 튜브와 분리되고, 매니폴드(40)가 박막 피착 프로세스가 발생하는 기판(12)의 국부 영역 또는 개별 영역으로 가스 또는 가스들을 주입하도록 정렬된다. 게다가, 각 튜브(46)는 하나 이상의 튜브(46)가 세척이나 대체를 위해 제거될 수 있도록 커버(28)에서 제거 가능하도록 지지되는 것이 바람직하다.
매니폴드(40)는 튜브(46) 주위로 그리고 배기 매니폴드(44)로 주위로 확장하는, 마찬가지로 내부 가스 주입 링(41)의 내부측 방사 방향으로 튜브(46) 주위로 확장하는 가스 주입 링(41)을 포함한다. 주입 링(41)은 질소 등의 불활성 가스를 처리 챔버(18)에 주입하는데 통상 이용되고, 비활성 가스를 기판의 주위로 전달하여 가스 배리어를 형성함으로써, 반응성 가스가 가스 주입 튜브(46)를 통해 주입된 경우, 가스 주입 튜브(46)에 인접한 진공 배기 매니폴드(44)의 배치로 인해 반응성 가스들이 각 튜브(46) 바로 아래의 기판 영역으로 제한된다. 배기 매니폴드(44)는 가스 주입 튜브(46)를 따라 확장되고 이것에 인접하여, 배기 매니폴드(44) 아래 영역 근처에서 이동하는 임의의 표유(stray) 가스 분자가 처리 챔버(18)로부터 배기될 것이다. 그러므로, 가스 주입 튜브(46)에 의해 주입된 가스 또는 가스들은 처리 챔버(18)의 개별 공간으로 제한되고, 또한 기판(12)의 개별 영역으로 제한된다.
도 3 및 도 4를 참조해보면, 각 튜브(46)는 예를 들면 레이저 드릴링(drilling)을 포함하는 드릴링에 의해 형성될 수 있는 복수의 구멍 또는 홀(hole)(48)을 포함한다. 홀(48)은 튜브내의 가스를 기판(12) 상으로 전달 또는 산포시키기 위한 것이다. 각 구멍 또는 홀의 크기, 위치, 및 피치는 설명된 바와 같이 가변되어 가스의 흐름을 가변시키고, 또한 튜브(46)의 길이를 따라 가변되어 기판(12) 상의 가스 분포를 최적화하도록 주입 가스의 프로파일을 조정한다. 도 3을 참조해보면, 매니폴드(40)는 양호하게는 3개의 튜브, 즉 중앙 튜브(46a) 및 2개의 외측 튜브(46b, 46c)를 포함한다. 선택적으로는, 각 튜브(46a, 46b, 46c)는 각 가스와 연관되어 각 가스를 기판(12) 상으로 주입할 수도 있다. 예를 들면, 중앙 튜브(46a)는 제1 가스를 주입하는데 이용되고, 튜브(46b, 46c)는 제2 가스를 주입하는데 이용될 수 있다. 뿐만 아니라, 각 튜브(46)의 흐름은 개별적으로 제어되어, 모두가 함께 턴 온되거나 하나씩 턴 온되거나, 또는 각 온/오프 사이클간의 다양한 시간 간격으로 랜덤한 시퀀스로 턴 온될 수 있다. 또한, 하나의 튜브로부터 가스가 턴 오프된 경우, 다른 가스가 튜브내로 주입되어 웨이퍼 표면에서의 반응 조건을 제어하고, 가스의 임의의 역류 오염을 방지할 수 있다. 또한, 각 튜브들(46a, 46b, 46c) 각각은 특정 가스의 주입과 연관될 수 있다. 예를 들면, 수소, 아르곤, 텅스텐, 헥사플로라이드, 질소, 헬륨 등의 다양한 종류의 가스들이 이용될 수 있고, 구멍(48)을 통해 선택적으로 주입되어, 기판(12)을 처리한다.
도 4를 참조해보면, 각 튜브(46a, 46b, 46c)는 커버(28)를 통해 튜브(46a, 46b, 46c)로부터 확장하는 전달 튜브(50a, 50b, 50c)를 포함하거나 또는 전달 튜브(50a, 50b, 50c)와 각각 통하는 상태에 있다. 각 전달 튜브(50a, 50b, 50c)는 양호하게는 챔버(18) 외부의 커버(28) 상에 위치된 더 큰 직경 공급 튜브(52a, 52b, 52c)에 각각 직렬로 결합된다. 예를 들면, 튜브(50a, 50b, 50c, 46a, 46b, 46c)는 각각이 약 0.25인치의 직경을 가지고 있고, 튜브(46a, 46b, 46c)는 약 0.20 인치의 구멍 홀 직경을 가지고 있다. 공급 튜브(52a, 52b, 52c)는 각각이 0.5 인치 내지 1 인치 또는 그 이상의 범위의 직경을 가지고 있다. 이러한 중앙 가스 주입기 튜브를 통해 감소된 챔버 압력, 예를 들면 1Torr 이하, 통상은 0.1 내지 0.3Torr 범위의 압력에서 가스가 공급되고, 동시에 플라즈마 발생기가 활성화되면, 가스는 더 큰 수정 튜브의 더 큰 제한 영역내에서 분리와 이온화를 겪는다. 공급 튜브(52a, 52b, 52c)는 또한 수정 또는 알루미나와 같은 미반응성 물질로 형성되는 것이 바람직하고, 이온화된 가스를 튜브(50a, 50b, 50c)를 경유하여 튜브(46a, 46b, 46c)에 전달하며, 양호하게는 이하에 더 충분히 설명되는 바와 같이 플라즈마 내부로 이온화된 가스를 전달한다.
도 6에 잘 도시된 바와 같이, 가스 주입 매니폴드(40)는 배기 매니폴드(44)를 포함한다. 상기 설명한 바와 같이, 배기 매니폴드(44)는 튜브(46a, 46b, 46c) 주위로 확장되어 튜브(46a, 46b, 46c)에 의해 주입된 가스가 그 이상 확장될 수 없는 추가의 경계를 제공한다. 처리 챔버(18)로부터 미반응 가스를 제거할 뿐만 아니라, 배기 매니폴드(44)는 또한 가스의 역류 오염의 방지를 도와준다. 주입 링(41)과 조합되어, 배기 매니폴드(44)는 국부 영역 막 피착이 되도록 하는 방식으로 기판(12) 상의 막 피착을 제어하고, 또한 이하에 설명되는 방사율 측정 시스템의 이용을 가능하게 한다.
챔버(18) 내부로 주입되는 가스 또는 가스들은 처리 챔버(18) 내부로 주입 이전에 이온화되어, 가스의 에너지 레벨은 챔버(18)로의 주입 이전에 상승된다. 상술한 바와 같이, 플라즈마 발생기(14)는 장치의 외부에 장착되어 플라즈마 필드로부터의 높은 전자 에너지를 챔버(18)에서 처리되고 있는 기판에 도달하는 것으로부터 분리시킨다. 플라즈마 필드를 분리시킴으로써, 이 시스템은 소자 오류 및 고장을 유발할 수 있는 높은 전자 에너지로 인해 기판 변화가 발생하는 것을 방지한다. 플라즈마 발생기(14)는 반응성 가스가 공급 튜브(52a, 52b, 또는 52c)로 들어가기 전에 반응성 가스가 흐르게 되는 전계를 생성한다. 선택적으로는, 하나 또는 모든 가스들이 챔버(18)내로의 주입 이전에 이온화될 수 있다. 발생기(14)는 각각의 공급 튜브(52a, 52b, 52c)내로 삽입되는 튜브(14a)를 둘러싸는 마이크로파 캐비티와 같은 동조된 캐비티(tuned cavity)를 포함한다. 적절한 전기 매칭 회로망을 갖는 마이크로파 발생기를 이용함으로써 플라즈마 발생에 영향을 미친다. 이러한 고주파 교류 전력은 튜브(14a)를 둘러싸는 동조된 캐비티에 공급되고, 튜브(14a)는 양호하게는 수정 또는 알루미늄 물질로 만들어지고, 여기된 가스가 흐른다. 특정 전력에서, 흐르는 가스 원자들이 여기되어 전력 입력에 따라 심지어 이온화되기도 한다. 그리고 나서, 여기되고 이온화된 가스 원자는 수정 또는 알루미늄 튜빙 분배기를 이용하여 웨이퍼 상에 신속하게 전달된다. 이하에 더 충분하게 설명되는 바와 같이, 여기된 가스 종들이 챔버에 일단 들어가면, 종들은 챔버내의 다른 가스와 반응하거나 및/또는 실리콘 웨이퍼 표면 자체와 반응한다. 가스가 플라즈마 발생기(14)를 통과하여, 양호하게는 확대된 경로를 제공하는 공급 튜브(52a, 52b, 52c)에 들어간 후, 각 가스가 이온화되고 분리되고, 더 양호하게는 가스 플라즈마로 이온화된다. 결과적으로, 예를 들면 실리콘, 게르마늄, 또는 갈륨 아시나이드(arcinide)와 같은 챔버(18)에 주입된 가스는 반도체 기판과 더 반응성이 있으므로, 또한 막 피착을 완성하는데 필요한 공정 온도가 내려간다.
상기 지적한 바와 같이, 장치(10)는 양호하게는 여러 가지 제조 공정 중 하나에서 방사율을 측정하고 기판(12)의 온도를 계산하기 위한 비접촉 방사율 측정 시스템(22)을 포함한다. 방사율 측정 시스템(22)은 양호하게는 챔버(18)내에 배치된 기준 광원에 의해 방사되는 조사량과 기판(12)에 의해 방사된 조사량을 비교함으로써 기판(12)의 온도를 결정한다. 기준 광원은 양호하게는 미국 특허 제5,951,896호에 기재된 히터 어셈블리(20)에서 이용되는 램프와 구성이 유사한 적어도 하나의 램프를 포함한다.
장치(10)는 커버(28)에 고정되고 모든 처리 조건에서 기판(12)의 소자측(12a)으로부터 방사된 광자 밀도 데이터를 계속 수집하는 복수의 광섬유 온도 측정 프로브(54)를 포함한다. 프로브(54)에 의해 측정된 온도는 메인 컴퓨터 컨트롤에 보내지고, 이들을 설정된 온도와 비교하여, 임의의 편차가 계산되고 제어 전류로 변환되어, 표준 규격의(off-the-shelf) SCR 전류 릴레이를 구동하여 비례 전력을 각 램프 존에, 그리고나서 히터 어셈블리(20)에 전달한다. 바람직하게는, 장치(10)는 처리 사이클 동안에 온도 균일성을 보장하는 웨이퍼의 다른 부분의 온도를 측정하도록 배치되는 3개의 프로브(54)를 포함한다.
처리 유닛(56)에 의해 계산된 기판(12)의 온도 판독 결과는 양호하게는 히터 어셈블리(20)의 출력을 모니터링하고 이를 제어하는 제어 시스템(도시되지 않음)의 입력으로서 이용된다. 제어 시스템은 장치 하우징(24)의 베이스 벽(36)을 통해 확장하는 전기적 피드 스루(58, elecrical feed through)를 통해 히터 어셈블리(20)에 결합된다. 장치(10)내를 진공 상태로 유지하기 위해, 피드 스루(58)는 O-링에 의해 밀봉되거나 또는 다른 통상의 밀봉 장치 및 방법을 이용하여 밀봉된다. 적절한 방사율 측정 시스템에 대한 더 세부적인 것은 미국 특허 제5,814,365호를 참조하라.
반도체 기판(12)이 처리된 후, 기판(12)은 플랫폼(26)을 통해 돌출한 복수의 리프터 핀(60)에 의해 플랫폼(26)으로부터 들어올려지고, 장치(10)내에서 기판(12)의 자동 로딩 및 언로딩을 위해 기판(12)을 플랫폼(26)으로부터 들어올린다. 리프터 핀(60)은 종래 기술에서 공지된 자기적으로 결합된 웨이퍼 리프터(62)에 의해 상승 및 하강된다. 핀(60)은 하우징(24)의 중앙에 배치되고, 히터 어셈블리(20)의 중앙부 및 플랫폼(26)의 중앙부를 통해 돌출된다. 마찬가지로, 챔버(18)내의 진공 상태를 유지하기 위해, 리프터 핀(60)은 하우징(24)의 베이스 벽(36)에 제공된 O-링 실을 통해 확장한다.
플라즈마 발생기(14)는 양호하게는 전계 플라즈마 발생기(114, 도 7)로 구성되고, 발생기 튜브(116), 발생기 튜브(116) 주위로 확장된 슬리브(118), 및 슬리브(118) 주위로 확장된 코일(120)을 포함한다. 슬리브(118)는 양호하게는 수냉식 슬리브로 구성되어 코일(120)에 냉각을 제공한다. 코일(120)은 한 단부가 접지되고, 전기적으로 구동 회로(121)에 결합된다. 구동 회로(121)는 무선 주파(RF) 전원 또는 마이크로파 전원과 같은 전원(122)과, 임피던스 매칭 회로망(124)을 포함한다. 예를 들면, 전원(122)이 RF 전원으로 구성된 경우, 전원(122)은 13.56MHz의 주파수를 가지고 있으며, 양호하게는 약 800-1200와트, 더 양호하게는 약 1000와트의 전력을 공급한다. 코일(120)은 양호하게는 예를 들면 약 0.15 인치의 직경을 갖는 구리 코일이고, 약 2 내지 20 회전 사이, 더 바람직하게는 7 회전으로 슬리브(120) 주위에 감겨진다.
도 7에 도시된 바와 같이, 발생기 튜브(116)는 입력단(116a) 및 출력단(116b)을 포함하고, 출력단(116b)은 해당하는 공급 튜브(52a, 52b 또는 52c)에 삽입된다. 가스가 발생기 튜브(116)의 입력단(116a)에 주입되는 경우, 전원(122)이 활성화되고, 코일(120)이 발생기 튜브(116)내에 전계를 발생시킨다. 주어진 전원에서, 가스는 더 큰 공급 튜브(52a, 52b 또는 52c)의 제한영역에서 해리와 이온화를 겪는다. 그리고나서, 이 "여기된" 가스 종들은 챔버(18)내로 공급되어 기판(12) 상에 충돌된다. 중앙 튜브(46a) 및 외측 튜브(46b, 46c)를 통해 챔버(18)내부로 공급되는 가스의 화학적 성질에 따라, 상기 예에서 설명한 바와 같이, 다수의 공정을 달성할 수 있다.
앞서 지적한 바와 같이, 장치(10)는 질소 원자의 소자 자체로의 확산을 (제거할 수 없다면), 제한하여 소자를 형성하는 산화물층의 두께를 감소시킴으로써 소자 속도를 증가시키는 방식으로, 질소 원자가 부가되어 붕소 또는 인 원자 확산 배리어를 형성하는 트랜지스터와 같은 반도체 소자를 제조하는 기판(12)을 처리하는데 이용된다.
기판을 구성하는 실리콘 웨이퍼는 우선 불화수소산과 같은 무기산의 여러 가지 농도를 이용하여 습식 선 세척된다. 그리고 나서, 실리콘 웨이퍼는 처리 챔버(18)내에 배치되어, 산소, 수소를 포함한 산소, 또는 수증기를 포함한 산소의 환경에서 600℃ 내지 1100℃ 범위의 온도에서 웨이퍼를 열적 산화함으로써 예를 들면 게이트를 형성하기 위한 산화막이 성장된다. 이어서, 기판이 예를 들면 약 5 내지 60 rpm의 범위의 속도로 회전하는 동안에, 가스 주입 시스템(16)을 이용하여 질소 가스가 처리 챔버(18) 내부로 공급된다. 질소 가스를 여기하기 위해 플라즈마 발생기(14)를 통해 질소 가스를 챔버(18) 내부로 공급하는 것이 바람직하다. 질소 플라즈마의 압력은 통상 10mTorr 내지 1000mTorr의 범위이지만, 양호하게는 대략 150mTorr이다. 뿐만 아니라, 처리 챔버(18)내의 온도 범위는 25℃ 내지 약 800℃이지만, 가장 바람직하게는 실온이다. 그러므로, 히터 어셈블리(20)는 공정의 이러한 세그먼트 또는 공정의 이러한 부분에 필요할 수 있고 또는 필요하지 않을 수도 있다.
질소 뿐만 아니라, 가스 주입 시스템(16)을 통해 질소 가스와 함께 헬륨 가스가 처리 챔버(18) 내로 주입된다. 양호하게는, 헬륨 가스 및 질소 가스는 플라즈마 발생기(14)를 통해 처리 챔버(18) 내로 주입됨으로써, 질소 가스와 헬륨 가스를 모두 포함하는 플라즈마를 형성한다. 앞서 지적한 바와 같이, 주입기 튜브(46a, 46b, 46c)는 가스 플라즈마의 기판(12)상으로의 양호한 산포를 위한 다중 홀을 포함하고 있다. 질소 가스에 헬륨 가스를 부가하는 것은 헬륨의 더 지속된 여기로 인해 부가되는 질소 량을 증가시키므로, 제3 바디 충돌을 통해 질소 이온 매트릭스내로 더 긴 여기 시간이 유도된다. 2차 이온 마이크로스코피(secondary ion microscopy:SIMS)에 의해 질소 원자가 산화물 층 전역에 산포되지 않고 게이트 산화물의 상부 표면에만 부가되었다는 것이 증명되었다. 그러므로, 소자에 대해 향상된 안정도를 나타내고 증가된 속도를 발생시키는 10 내지 50Å 범위의 두께를 갖는 산화물 층이 형성될 수 있다. 양호하게는, 산화막의 두께는 20Å 이하, 약 10Å 정도로 줄어들 수 있다.
다른 방법으로는, 실리콘 웨이퍼가 산화되기 전에, 상기 설명된 방법에 따라 질소 가스가 처리 챔버(18) 내로 주입된다. 이와 같이, 질소 원자들이 기판의 표면에 부가된다. 질소가 부가된 후, 실리콘 웨이퍼가 상기 설명한 바와 같은 산소 환경에서 높은 온도에서 산화된다. 그 결과, 표면에서 높고, 산화물 두께를 통한 샘플링에 따라 감소하는 프로파일 하에서, 질소가 산화막에 포함된다. 그 결과, 산화막 두께는 10 내지 50Å의 범위로 감소될 수 있고, 더 양호하게는 20Å 미만이다. 2차 이온 마이크로스코피(SIMS)에 의해 질소 농도가 산화물의 표면에서 높고 산화물의 두께에 따라 감소된다는 것이 증명되었다. 이러한 접근법은 게이트 산화막에서 피크인 맞춤형(tailored) 질소 프로파일을 달성한다.
상기 설명한 바와 같이, 순수한, 즉 산화되지 않은 실리콘 표면의 질화가 산화된 실리콘 즉 게이트 산화물의 질화보다 훨씬 빠른 공정임을 알 수 있다. 예를 들면, 실리콘 표면은 50 내지 60초의 범위, 더 양호하게는 약 30초 동안 가스 플라즈마에 노출된다.
또 다른 접근법에서, 질소 및 헬륨이 가스 주입 시스템(16)의 플라즈마 튜브 내로 주입되고, 상기 설명한 바와 같이, 게이트 산화물의 형성 후에, 가스 플라즈마로서 주입기 튜브(46b)를 통해 웨이퍼 상에서 처리 챔버(18) 내로 주입된다. 뿐만 아니라, 실란 가스가 처리 챔버(18)내로 공급된다. 여기된 질소 원자는 웨이퍼 표면 상에서 흡수된 실란 분자와 반응한다. 그리고 나서, 이것은 더 많은 질소 함유를 위해 용이한 반응 영역을 생성하고, N-(Si)3의 새로운 막이 게이트 산화물 상에 성장한다. 이러한 공정은 바람직하게는 실온 및 대략 1000mTorr에서 수행된다.
도 9를 참조해보면, 도시된 그래프는 부가된 막 두께가 공정 시간과 높은 관련성을 가지고 있다는 것을 나타낸다. 또한, 그래프는 막이 두꺼워질수록, 게이트 산화물의 상부에 질소가 더 많이 함유된다는 것을 나타낸다. 질소와 실리콘의 매트릭스를 갖는 이러한 새로운 막은, 게이트 산화물과, 대략 800 내지 1500Å의 범위에서, 더 양호하게는 약 1200Å의 두께로 순차적으로 피착되는 폴리실리콘 막과의 사이에서 양호한 연결 물질을 제공한다. 그러므로, 이것은 게이트 산화물의 전기적 성능에 영향을 주지 않는다. 상세한 X-선 포토 일렉트론 스펙트로스코피(XPS) 분석이 이 물질에 대해 수행되었고, 398.0eV의 실리콘 대 질소 결합 에너지는 N-(Si)3의 그것과 일치하였다는 것을 식별하였다. 이것은 실리콘 질화물이나 실리콘 산화 질화물이 막에 부가되는 경우에 발생할 수 있는, 부가적인 산화 유전체 두께 없이 선택가능한 질소 농도를 갖는 게이트 산화물 상에 이 막을 부가하는 방법으로서 이 새로운 막 구성을 도출하는 매우 새로운 접근법이다.
이것은 게이트 산화물의 표면 상에 질소 원자의 높은 농도를 달성한다. 최대 10% 또는 더 높은 원자 질소가 게이트 산화물의 표면 상에 부가될 수 있다. 그러나, 이러한 질소 부가는 본 처리 장치 및 가열 시스템에 적합하지만, 챔버 내의 환경이 실란 가스인 경우, 질소가 매우 풍부한 실리콘 원자 매트릭스(N-(Si)3)는 게이트 산화물의 상부 상에서 성장한다는 것이 증명되었다. 이 막은 도 9에 도시된 바와 같이 원하는 질소 농도를 제공할만한 그러한 두께가 되도록 부가되고 제어될 수 있다.
전형적인 공정 조건은 게이트 산화물의 형성 후에, 5 내지 500cm3/min의 범위, 더 양호하게는 약 25cm3/min의 유속으로, 50 내지 750mTorr의 범위, 더 양호하게는 약 250mTorr의 챔버 압력에서 실란 가스를 처리 챔버(18) 내로 주입하는 것으로 구성되어 있다. 챔버(18)내의 처리 온도는 통상 실온이지만, 더 높은 온도, 예를 들면 100℃ 내지 700℃ 범위의 온도가 이용될 수 있다. 플라즈마 발생기(14)의 무선 주파수는 약 5 내지 50 MHz의 범위이고, 더 양호하게는 약 13.56MHz이며, 50 내지 1000와트의 범위, 더 양호하게는 약 400와트의 전원에 의해 구동된다. 처리 시간 및 압력은 최종 성장 막 두께를 결정한다. 얇은 게이트 산화물 및 양호한 붕소 투과 배리어에 대해, N-(Si)3 막 두께는 약 5 내지 30Å의 범위이고, 15 내지 20Å이 더 바람직하다.
도 8을 참조하면, 반도체 장치를 제조하는 경우, 일단 게이트 산화물이 형성되면, 800 내지 1500Å의 범위, 더 양호하게는 1200Å의 두께를 갖는 폴리실리콘 막이 게이트 산화물 및 확산 배리어의 상부 상에 피착된다. 본 명세서에서 설명되는 확산 배리어는 게이트 산화물과 폴리실리콘 막 사이에서 첫번째의 15 내지 25Å을 통상 이용하여 이 폴리실리콘 막 이전에 피착된다. 그러나, 앞서 지적한 바와 같이, 이 확산 배리어 두께는 양호하게는 5 내지 30Å의 범위이고, 더 양호하게는 15 내지 20Å이다.
이러한 N-Si3막은 또한 지르코늄 및 하프니움 산화물과 같은 새롭게 떠오르는 고유전체 상수 물질과 같은 다른 물질로부터 형성되는 막의 상부 상에 부가될 수도 있고, 이들 막으로의 붕소 투과에 대한 배리어 층으로서 기능한다. 그러한 물질내에 붕소가 존재함으로써 그 안정성 및 전기적 성능을 상당히 줄일 수 있다는 것을 점점 더 확실해지고 있다.
이하의 설명의 목적상, 용어 "상부" 또는 "바닥" 및 그 파생어 및 등가는 도 1 내지 9에 적응된 바와 같은 본 발명에 관한 것이다. 게다가, 용어 "상에(on)" 및 "의 상부에"는 어떤 부품이 다른 부품의 위에 존재한다는 것을 의미하는 것으로 교환가능하게 이용된다. 반면에, 용어 "에서(at)"는 그것이 한 부품의 일부이거나 또는 그 부품 "내"에 존재한다는 것을 의미한다. 본 발명은 반대로 명확하게 명시된 것을 제외하고는 다양한 다른 적용과 구성을 가정할 수 있다는 것은 자명하다. 첨부된 도면에 도시되고 상기 명세서에서 설명된 특정 디멘젼, 구성, 소자 및 방법들은 첨부된 청구의 범위에 정의된 발명의 사상의 단순한 예시적 실시예들이다. 그러므로, 본 명세서에 개시된 실시예에 관련된 특정 디멘젼 및 다른 물리적 특성들은 청구항에서 다르게 표현되지 않는 한 제한적인 것으로 간주해서는 안된다.
본 발명의 여러 형태를 도시하고 설명했지만, 본 기술계의 숙련자라면 다른 형태도 가능하다. 예를 들면, 다른 처리 장치를 이용할 수 있다. 또한, 본 발명의 원격 플라즈마 시스템은 다른 처리 장치에 이용될 수도 있다. 그러므로, 도면 및 상기 설명된 실시예는 단지 도시를 위한 것이고, 이하의 청구항에 의해 한정되는 본 발명의 범주를 한정하는 것으로 받아들여서는 안된다.

Claims (71)

  1. 반도체 소자를 제조하는 방법으로서,
    반도체 기판을 제공하는 단계;
    상기 기판 상에 산화막을 형성하는 단계 - 상기 산화막은 노출면 및 상기 기판과의 계면을 가짐 - ; 및
    상기 노출면의 상부 상에 질소 원자들을 부가하여 확산 배리어를 형성하는 단계
    를 포함하고,
    상기 질소 원자들은 상기 산화막을 투과하지 않고,
    상기 부가 단계는 상기 산화막 상에 질소 원자들을 포함하는 막을 형성하는 단계를 포함하는 반도체 소자의 제조 방법.
  2. 제1항에 있어서,
    상기 부가 단계는 실란 가스의 존재하에 질소 가스를 함유하는 플라즈마에 상기 기판을 노출시키는 단계를 포함하고, 상기 질소 원자들 및 실란 원자들은 상기 산화막 상에 실리콘 질화막을 형성하는 반도체 소자의 제조 방법.
  3. 제2항에 있어서,
    상기 노출 단계는 50mTorr 내지 750mTorr의 압력 범위에서 실란 가스의 존재하에 질소 가스를 함유하는 가스 플라즈마에 상기 기판을 노출시키는 단계를 포함하는 반도체 소자의 제조 방법.
  4. 제3항에 있어서,
    상기 노출 단계는 250mTorr의 압력에서 실란 가스의 존재하에 질소 가스를 포함하는 가스 플라즈마에 상기 기판을 노출시키는 단계를 포함하는 반도체 소자의 제조 방법.
  5. 제2항에 있어서,
    상기 부가 단계는 질소 가스를 함유하는 플라즈마에 상기 기판을 노출시키는 단계를 포함하는 반도체 소자의 제조 방법.
  6. 제5항에 있어서,
    상기 부가 단계는 25℃ 내지 800℃의 온도 범위에서 상기 플라즈마에 상기 기판을 노출시키는 단계를 포함하는 반도체 소자의 제조 방법.
  7. 제6항에 있어서,
    상기 부가 단계는 25℃의 온도에서 상기 플라즈마에 상기 기판을 노출시키는 단계를 포함하는 반도체 소자의 제조 방법.
  8. 제5항에 있어서,
    상기 노출 단계는 상기 기판을 헬륨 가스에 노출시키는 단계를 더 포함하는 반도체 소자의 제조 방법.
  9. 제8항에 있어서,
    상기 노출 단계는 질소 가스 및 헬륨 가스를 함유하는 플라즈마에 상기 기판을 노출시키는 단계를 포함하는 반도체 소자의 제조 방법.
  10. 제5항에 있어서,
    상기 노출 단계는 10mTorr 내지 1000mTorr의 압력 범위에서 상기 기판을 질소 플라즈마에 노출시키는 단계를 포함하는 반도체 소자의 제조 방법.
  11. 제10항에 있어서,
    상기 노출 단계는 150mTorr의 압력에서 상기 기판을 질소 플라즈마에 노출시키는 단계를 포함하는 반도체 소자의 제조 방법.
  12. 제1항에 있어서,
    상기 반도체 기판을 제공하는 단계는 실리콘 웨이퍼를 제공하는 단계를 포함하는 반도체 소자의 제조 방법.
  13. 반도체 소자를 제조하는 방법으로서,
    반도체 기판을 제공하는 단계;
    상기 기판에 산화막을 형성하는 단계 - 상기 산화막은 노출면 및 상기 기판과의 계면을 가짐 - ;
    상기 노출면을 실란 가스에 노출시켜 상기 노출면의 상부 상에 실란 분자들을 형성하는 단계; 및
    상기 산화막의 상기 노출면의 상부 상에 질소 원자들을 부가하는 단계
    를 포함하고,
    상기 질소 원자들은 상기 실란 분자들과 반응하여 확산 배리어를 형성하고,
    상기 부가 단계는 상기 산화막 상에 질소 원자들을 포함하는 막을 형성하는 단계를 포함하는 반도체 소자의 제조 방법.
  14. 제13항에 있어서,
    상기 부가 단계는 질소 가스를 포함하는 플라즈마에 상기 기판을 노출시키는 단계를 포함하는 반도체 소자의 제조 방법.
  15. 제14항에 있어서,
    상기 부가 단계는 25℃ 내지 800℃의 온도 범위에서 질소 가스를 포함하는 플라즈마에 상기 기판을 노출시키는 단계를 포함하는 반도체 소자의 제조 방법.
  16. 제13항에 있어서,
    상기 부가 단계는 25℃의 온도에서 질소 가스를 포함하는 플라즈마에 상기 기판을 노출시키는 단계를 포함하는 반도체 소자의 제조 방법.
  17. 제16항에 있어서,
    상기 노출 단계는 헬륨 원자들을 상기 질소 가스에 부가하는 단계를 포함하는 반도체 소자의 제조 방법.
  18. 제17항에 있어서,
    상기 노출 단계는 질소 가스 및 헬륨 가스를 포함하는 플라즈마에 상기 기판을 노출시키는 단계를 포함하는 반도체 소자의 제조 방법.
  19. 제13항에 있어서,
    상기 부가 단계는 10mTorr 내지 1000mTorr의 압력 범위에서 질소 가스를 함유하는 플라즈마에 상기 기판을 노출시키는 단계를 포함하는 반도체 소자의 제조 방법.
  20. 제19항에 있어서,
    상기 부가 단계는 150mTorr의 압력에서 질소 가스를 함유하는 플라즈마에 상기 기판을 노출시키는 단계를 포함하는 반도체 소자의 제조 방법.
  21. 제13항에 있어서,
    상기 반도체 기판을 제공하는 단계는 실리콘 웨이퍼를 제공하는 단계를 포함하는 반도체 소자의 제조 방법.
  22. 반도체 소자를 제조하는 방법으로서,
    처리 챔버를 갖는 처리 장치를 제공하는 단계;
    상기 처리 챔버에 반도체 기판을 제공하는 단계;
    상기 기판에 산화막을 형성하는 단계 - 상기 산화막은 노출면과 상기 기판과의 계면을 가짐 - ; 및
    실란 가스의 존재하에 질소 가스를 함유하는 플라즈마를 상기 처리 챔버 내로 주입하여, 상기 산화막의 상부 상에 확산 배리어를 형성함으로써, 질소 원자들이 상기 산화막을 투과하지 않도록 하는 단계
    를 포함하는 반도체 소자의 제조 방법.
  23. 제22항에 있어서,
    상기 주입 단계는 50mTorr 내지 750mTorr의 압력 범위 하에서 질소 가스를 함유하는 플라즈마를 상기 처리 챔버 내로 주입하는 단계를 포함하는 반도체 소자의 제조 방법.
  24. 제23항에 있어서,
    상기 주입 단계는 250mTorr의 압력 하에서 질소 가스를 함유하는 플라즈마를 상기 처리 챔버 내로 주입하는 단계를 포함하는 반도체 소자의 제조 방법.
  25. 제22항에 있어서,
    실란 가스를 5 내지 50cm3/min 범위의 가스 유속으로 상기 처리 챔버 내로 주입하는 단계를 더 포함하는 반도체 소자의 제조 방법.
  26. 제25항에 있어서,
    실란 가스를 25cm3/min의 가스 유속으로 상기 처리 챔버 내로 주입하는 단계를 더 포함하는 반도체 소자의 제조 방법.
  27. 제22항에 있어서,
    상기 주입 단계는 상기 플라즈마를 25℃ 온도에서 주입하는 단계를 포함하는 반도체 소자의 제조 방법.
  28. 제22항에 있어서,
    5 내지 50MHz의 무선 주파수 범위를 갖는 플라즈마 발생기로 상기 플라즈마를 발생시키는 단계를 더 포함하는 반도체 소자의 제조 방법.
  29. 제28항에 있어서,
    13.56MHz의 무선 주파수를 갖는 플라즈마 발생기로 상기 플라즈마를 발생시키는 단계를 더 포함하는 반도체 소자의 제조 방법.
  30. 제22항에 있어서,
    50 내지 1000와트의 전력 입력 범위를 갖는 플라즈마 발생기로 플라즈마를 발생시키는 단계를 더 포함하는 반도체 소자의 제조 방법.
  31. 제30항에 있어서,
    400와트의 전력 입력을 갖는 플라즈마 발생기로 상기 플라즈마를 발생시키는 단계를 더 포함하는 반도체 소자의 제조 방법.
  32. 반도체 소자를 제조하는 방법으로서,
    표면을 갖는 반도체 기판을 제공하는 단계;
    상기 기판의 표면의 상부 상에 질소 원자들을 부가하는 단계; 및
    상기 질소 원자들에 걸쳐 상기 기판에 산화막을 형성하는 단계
    를 포함하고,
    상기 질소 원자들은 상기 산화막 내에 포함되어 확산 배리어를 형성하고,
    상기 부가 단계는 상기 기판 상에 질소 원자들을 포함하는 막을 형성하는 단계를 포함하는 반도체 소자의 제조 방법.
  33. 제32항에 있어서,
    상기 형성 단계는 상기 기판을 600℃ 내지 1100℃의 온도 범위에서 산화시키는 단계를 포함하는 반도체 소자의 제조 방법.
  34. 제32항에 있어서,
    상기 부가 단계는 질소 가스를 함유하는 플라즈마에 상기 기판을 노출시키는 단계를 포함하는 반도체 소자의 제조 방법.
  35. 제34항에 있어서,
    상기 노출 단계는 5 내지 60초 범위의 시간 동안 상기 기판을 노출시키는 단계를 포함하는 반도체 소자의 제조 방법.
  36. 제35항에 있어서,
    상기 노출 단계는 30초 동안 상기 기판을 노출시키는 단계를 포함하는 반도체 소자의 제조 방법.
  37. 제34항에 있어서,
    상기 노출 단계는 질소 가스 및 헬륨 가스를 함유하는 플라즈마에 상기 기판을 노출시키는 단계를 포함하는 반도체 소자의 제조 방법.
  38. 제34항에 있어서,
    상기 노출 단계는 10mTorr 내지 1000mTorr의 압력 범위에서 상기 플라즈마에 상기 기판을 노출시키는 단계를 포함하는 반도체 소자의 제조 방법.
  39. 제38항에 있어서,
    상기 노출 단계는 상기 기판을 150mTorr의 압력에서 상기 플라즈마에 노출시키는 단계를 포함하는 반도체 소자의 제조 방법.
  40. 제32항에 있어서,
    상기 반도체 기판을 제공하는 단계는 실리콘 웨이퍼를 제공하는 단계를 포함하는 반도체 소자의 제조 방법.
  41. 반도체 소자를 제조하는 방법으로서,
    처리 챔버를 갖는 처리 장치를 제공하는 단계;
    상기 처리 챔버 내에 반도체 기판을 제공하는 단계;
    상기 기판 내에 산화막을 형성하는 단계 - 상기 산화막은 노출면 및 계면을 가짐 - ; 및
    실란 가스의 존재하에 상기 노출면 상에 질소 원자들을 부가하여 상기 산화막의 상기 노출면 상에 확산 배리어를 형성하는 단계
    를 포함하고,
    상기 부가 단계는 상기 산화막 상에 질소 원자들을 포함하는 막을 형성하는 단계를 포함하는 반도체 소자의 제조 방법.
  42. 제41항에 있어서,
    상기 부가 단계는 질소 가스를 함유하는 플라즈마를 상기 처리 챔버 내로 주입하는 단계를 포함하는 반도체 소자의 제조 방법.
  43. 제42항에 있어서,
    상기 주입 단계는 10mTorr 내지 1000mTorr의 범위의 압력하에서 질소 가스를 함유하는 플라즈마를 상기 처리 챔버 내로 주입하는 단계를 포함하는 반도체 소자의 제조 방법.
  44. 제43항에 있어서,
    상기 주입 단계는 150mTorr의 압력하에서 질소 가스를 함유하는 플라즈마를 상기 처리 챔버 내로 주입하는 단계를 포함하는 반도체 소자의 제조 방법.
  45. 제42항에 있어서,
    상기 주입 단계는 25℃ 내지 800℃의 온도 범위에서 상기 플라즈마를 상기 처리 챔버 내로 주입하는 단계를 포함하는 반도체 소자의 제조 방법.
  46. 제45항에 있어서,
    상기 주입 단계는 25℃의 온도에서 상기 플라즈마를 상기 처리 챔버 내로 주입하는 단계를 포함하는 반도체 소자의 제조 방법.
  47. 제41항에 있어서,
    상기 부가 단계는 질소 가스 및 헬륨 가스를 함유하는 플라즈마를 상기 처리 챔버 내로 주입하는 단계를 포함하는 반도체 소자의 제조 방법.
  48. 제1항에 따른 방법에 의해 형성된 반도체 소자.
  49. 제6항에 따른 방법에 의해 형성된 반도체 소자.
  50. 제10항에 따른 방법에 의해 형성된 반도체 소자.
  51. 제22항에 따른 방법에 의해 형성된 반도체 소자.
  52. 제32항에 따른 방법에 의해 형성된 반도체 소자.
  53. 반도체 소자로서,
    반도체 기판;
    상기 기판에 형성되고, 계면과 노출면을 갖는 산화막; 및
    상기 노출면의 상부 상에 형성되는 확산 배리어
    를 포함하고,
    상기 확산 배리어를 형성하는 분자들은 상기 산화막을 투과하지 않는 반도체 소자.
  54. 제53항에 있어서,
    상기 반도체 기판은 실리콘 웨이퍼를 포함하는 반도체 소자.
  55. 제54항에 있어서,
    상기 확산 배리어는 질소 원자들을 포함하는 반도체 소자.
  56. 제53항에 있어서,
    상기 산화막은 10 내지 50Å의 두께 범위를 갖는 반도체 소자.
  57. 제53항에 있어서,
    상기 산화막은 20Å 이하의 두께를 갖는 반도체 소자.
  58. 제56항에 있어서,
    상기 확산 배리어는 5 내지 30Å의 두께 범위를 갖는 반도체 소자.
  59. 제58항에 있어서,
    상기 확산 배리어는 15 내지 20Å의 두께 범위를 갖는 반도체 소자.
  60. 제53항에 있어서,
    상기 산화막은 트랜지스터의 게이트 산화막을 형성하는 반도체 소자.
  61. 제53항에 있어서,
    상기 확산 배리어는 질소 원자들과 실란 원자들을 포함하는 반도체 소자.
  62. 제61항에 있어서,
    상기 확산 배리어는 5 내지 30Å의 두께 범위를 갖는 반도체 소자.
  63. 제61항에 있어서,
    상기 확산 배리어는 15 내지 20Å의 두께 범위를 갖는 반도체 소자.
  64. 반도체 소자로서,
    반도체 기판;
    상기 기판에 형성되고, 고유전체 재료를 포함하며, 상기 기판과의 계면 및 노출면을 갖는 막; 및
    상기 노출면의 상부 상에 형성되는 확산 배리어
    를 포함하고,
    상기 확산 배리어를 형성하는 분자들은 상기 막을 투과하지 않는 반도체 소자.
  65. 제64항에 있어서, 상기 반도체 기판은 실리콘 웨이퍼를 포함하는 반도체 소자.
  66. 제64항에 있어서,
    상기 막은 지르코늄 산화물 및 하프늄 산화물을 포함하는 그룹으로부터의 적어도 하나의 재료를 포함하는 반도체 소자.
  67. 제66항에 있어서,
    상기 확산 배리어는 질소 원자들을 포함하는 반도체 소자.
  68. 제67항에 있어서,
    상기 확산 배리어는 질소 및 실란 원자들을 포함하는 반도체 소자.
  69. 제68항에 있어서,
    상기 확산 배리어는 실리콘 질화막을 포함하는 반도체 소자.
  70. 제69항에 있어서,
    상기 확산 배리어는 5 내지 30Å의 두께 범위를 갖는 반도체 소자.
  71. 제70항에 있어서,
    상기 확산 배리어는 15 내지 20Å의 두께 범위를 갖는 반도체 소자.
KR1020017013408A 2000-08-18 2001-08-17 붕소 확산 배리어 층을 포함하는 반도체 소자 및 반도체 소자의 제조 방법 KR100794864B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US22616700P 2000-08-18 2000-08-18
US60/226,167 2000-08-18

Publications (2)

Publication Number Publication Date
KR20020063108A KR20020063108A (ko) 2002-08-01
KR100794864B1 true KR100794864B1 (ko) 2008-01-14

Family

ID=22847837

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020017013408A KR100794864B1 (ko) 2000-08-18 2001-08-17 붕소 확산 배리어 층을 포함하는 반도체 소자 및 반도체 소자의 제조 방법

Country Status (6)

Country Link
US (1) US6800830B2 (ko)
EP (1) EP1238416A1 (ko)
JP (1) JP2004507107A (ko)
KR (1) KR100794864B1 (ko)
TW (1) TW512430B (ko)
WO (1) WO2002017372A1 (ko)

Families Citing this family (169)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US7163901B2 (en) * 2002-03-13 2007-01-16 Varian Semiconductor Equipment Associates, Inc. Methods for forming thin film layers by simultaneous doping and sintering
WO2003107399A2 (en) * 2002-06-12 2003-12-24 Applied Materials, Inc. Method for improving nitrogen profile in plasma nitrided gate dielectric layers
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US7291568B2 (en) * 2003-08-26 2007-11-06 International Business Machines Corporation Method for fabricating a nitrided silicon-oxide gate dielectric
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US7837838B2 (en) * 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) * 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7902018B2 (en) * 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US7888272B2 (en) * 2006-12-12 2011-02-15 Macronix International Co. Ltd. Methods for manufacturing memory and logic devices using the same process without the need for additional masks
DE102007020577B4 (de) * 2007-04-26 2021-09-09 Carl Zeiss Microscopy Gmbh Probenhalterung für ein Mikroskop und Verwendung eines Mikroskops mit einer solchen Probenhalterung
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US8748259B2 (en) * 2010-03-02 2014-06-10 Applied Materials, Inc. Method and apparatus for single step selective nitridation
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
TWI549163B (zh) * 2011-09-20 2016-09-11 應用材料股份有限公司 減少摻質擴散之表面穩定化製程
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6027992A (en) * 1997-12-18 2000-02-22 Advanced Micro Devices Semiconductor device having a gallium and nitrogen containing barrier layer and method of manufacturing thereof

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5977577A (en) 1994-11-15 1999-11-02 Radiant Technologies, Inc Ferroelectric based memory devices utilizing low curie point ferroelectrics and encapsulation
US5969397A (en) 1996-11-26 1999-10-19 Texas Instruments Incorporated Low defect density composite dielectric
EP0847079A3 (en) 1996-12-05 1999-11-03 Texas Instruments Incorporated Method of manufacturing an MIS electrode
US5963810A (en) 1997-12-18 1999-10-05 Advanced Micro Devices Semiconductor device having nitrogen enhanced high permittivity gate insulating layer and fabrication thereof

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6027992A (en) * 1997-12-18 2000-02-22 Advanced Micro Devices Semiconductor device having a gallium and nitrogen containing barrier layer and method of manufacturing thereof

Also Published As

Publication number Publication date
WO2002017372A1 (en) 2002-02-28
KR20020063108A (ko) 2002-08-01
TW512430B (en) 2002-12-01
US6800830B2 (en) 2004-10-05
EP1238416A1 (en) 2002-09-11
JP2004507107A (ja) 2004-03-04
US20020023900A1 (en) 2002-02-28

Similar Documents

Publication Publication Date Title
KR100794864B1 (ko) 붕소 확산 배리어 층을 포함하는 반도체 소자 및 반도체 소자의 제조 방법
US6897149B2 (en) Method of producing electronic device material
TWI557799B (zh) 用於半導體裝置之氧化的方法
US7759598B2 (en) Substrate treating method and production method for semiconductor device
US7632758B2 (en) Process and apparatus for forming oxide film, and electronic device material
KR100914542B1 (ko) 반도체 장치의 제조 방법, 플라즈마 산화 처리 방법, 플라즈마 처리 장치 및 이 플라즈마 처리 장치를 제어하는 컴퓨터 판독 가능한 기억 매체
KR100945770B1 (ko) 실리콘 산화막의 형성 방법, 반도체 장치의 제조 방법 및컴퓨터 기억 매체
US8318614B2 (en) Method for forming silicon nitride film, method for manufacturing nonvolatile semiconductor memory device, nonvolatile semiconductor memory device and plasma apparatus
US8138103B2 (en) Plasma CVD method, method for forming silicon nitride film and method for manufacturing semiconductor device
KR100966927B1 (ko) 절연막의 제조 방법 및 반도체 장치의 제조 방법
US20150079799A1 (en) Method for stabilizing an interface post etch to minimize queue time issues before next processing step
JP2007027777A (ja) 電子デバイス材料の製造方法
US20090291549A1 (en) Metal film decarbonizing method, film forming method and semiconductor device manufacturing method
US20230377958A1 (en) Cluster processing system for forming a metal containing material
JP2001257204A (ja) 基板処理装置および半導体装置の製造方法
JP2023106406A (ja) 3dnand応用のためのメモリセルの製造
US20080011426A1 (en) Plasma reactor with inductively coupled source power applicator and a high temperature heated workpiece support
KR20040086482A (ko) 도핑 및 소결을 동시에 행함으로써 박막층을 형성하기위한 방법
TW200830411A (en) Plasma oxidizing method
JPH0758695B2 (ja) プラズマドーピング方法
TWI842772B (zh) 用於形成過渡金屬材料的群集處理系統
US6995097B1 (en) Method for thermal nitridation and oxidation of semiconductor surface
TWI442474B (zh) 用於在半導體裝置上形成共形氧化層的方法
KR20080061286A (ko) 반도체 장치의 제조 방법

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee