KR100717086B1 - 화학 기상 증착에 의해 증착된 구리의 밀착성을 강화하기위한 방법 - Google Patents

화학 기상 증착에 의해 증착된 구리의 밀착성을 강화하기위한 방법 Download PDF

Info

Publication number
KR100717086B1
KR100717086B1 KR1020000011810A KR20000011810A KR100717086B1 KR 100717086 B1 KR100717086 B1 KR 100717086B1 KR 1020000011810 A KR1020000011810 A KR 1020000011810A KR 20000011810 A KR20000011810 A KR 20000011810A KR 100717086 B1 KR100717086 B1 KR 100717086B1
Authority
KR
South Korea
Prior art keywords
barrier layer
layer
copper
deposition
cvd
Prior art date
Application number
KR1020000011810A
Other languages
English (en)
Other versions
KR20000062801A (ko
Inventor
간디코타스리니바스
콩데니스
첸리앙
라마스와미세쉬
칼다니엘
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20000062801A publication Critical patent/KR20000062801A/ko
Application granted granted Critical
Publication of KR100717086B1 publication Critical patent/KR100717086B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemically Coating (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

본 발명은 구리 및 다른 금속-함유 도전층의 장벽층 표면에 대한 밀착성을 개선하기 위한 방법을 제공한다. 실질적으로 산화되지 않은 표면을 갖는 장벽층이 제공되는데, 여기서, 그 표면의 적어도 일부에는 산소 원자가 존재하지 않는다. 도전층은 그 후에 상기 장벽층의 표면 상으로 증착된다. 도전층 표면의 실질적으로 산화되지 않은 상태는 금속-함유층의 장벽층에 대한 밀착성을 강화시킨다. 그 방법은 구리 핵생성 층의 하위 장벽층 표면에 대한 우수한 밀착성을 얻는 데에 특히 유용하다.
구리의 밀착성

Description

화학 기상 증착에 의해 증착된 구리의 밀착성을 강화하기 위한 방법 {A METHOD FOR ENHANCING THE ADHESION OF COPPER DEPOSITED BY CHEMICAL VAPOR DEPOSITION}
도 1 은 본 발명에 따른 Cu 배선에 유용한 금속화 기하학적 배열을 나타내는 도면.
도 2 는 장벽층의 PVD 증착 및 금속-함유 배선층의 CVD 증착 동안에 기판 표면이 노출되는 주위 환경을 제어함에 있어서 유용한 종류의 예시적인 통합 클러스터 도구의 선도를 나타내는 도면.
도 3 은 구리가 Cupra 2500 전구체를 사용하여 Ta 장벽층 상으로 증착하는 경우에 있어서 그 탄탈륨 장벽층을 가진 샘플에 관해서 탄탈륨에 대한 XPS 피크를 나타내는 도면.
도 4 는 도 3 의 샘플에 관해서 산소에 대한 XPS 피크를 나타내는 도면.
도 5 는 도 3 의 샘플과 유사하지만, Cu 가 Cupra 2504 전구체를 사용하여 증착된 샘플 내의 탄탈륨에 대한 XPS 피크를 나타내는 도면.
도 6 은 도 5 의 샘플에 관해서 산소에 대한 XPS 피크를 나타내는 도면.
도 7 은 Ta 장벽층을 가진 샘플에 관한 SIMS 프로파일을 나타내는 도면.
도 8 은 Ni 장벽층을 가진 샘플에 관한 SIMS 프로파일을 나타내는 도면.
도 9 는 Pt 장벽층을 가진 샘플에 관한 SIMS 프로파일을 나타내는 도면.
※도면 주요 부분에 대한 부호의 설명※
12 : 기판 14 : 비아
16 : 장벽층 22 : CVD Cu 층
23 : PVD Cu 층
본 발명은 일반적으로 반도체 장치 제조용 금속화 공정에 관한 것이다. 특히, 본 발명은 장벽층 위에 덮혀진 구리 및 다른 도전 재료로 된 도전막에 대한 강화된 밀착성을 가지는 장벽층의 사용에 관한 것이다.
0.20 마이크론 미만의 치수를 가진 다층 금속 배선은 극초대규모 집적회로 (ULSI) 를 달성하는 데 있어 중요한 역할을 수행할 것으로 기대되는데 이 극초대규모 집적회로는 초대규모 집적회로 (VLSI) 의 다음 세대에 해당한다. 또한, 패턴닝된 유전체 개구부로의 금속 증착을 포함하고, 평탄화를 제공하기 위해 그 이후의 화학적 기계 연마가공(CMP) 이 따르는 다마신 공정(Damascene process) 이 그러한 다층 금속 배선을 달성하는 데 있어 또한 중요한 역할을 할 것으로 기대된다. 따라서, 패터닝된 유전체 트렌치로 금속을 신뢰성 있게 증착하고, 바람직한 특성을 갖는 배선을 이루는 방법으로 상기 증착을 수행할 필요가 있게 된다. 다마신 공정은, 여기에서 참조로서 포함되는, Ryu, C.의 "Microstructure and Reliability of Copper Interconnects", doctoral thesis, Stanford University (1998년 6월)에 설명되어 있다.
알루미늄 (Al) 이 그의 우수한 전기적 특성때문에 배선 금속으로서 널리 사용되어 왔다. 바람직하고 공지의 Al 배선 증착을 위한 공정은 화학 기상 증착 (CVD) 및 물리 기상 증착 (PVD) 을 포함한다. CVD 는 다마신 공정에서 발견되는 종류의 높은 종횡비 형태의 영역으로 Al 을 증착하기에 바람직한 공정인데, 이는 그것이 우수한 공형의(conformal) Al 층, 즉, 기판 표면의 형태(topography)가 트렌치 또는 콘택트 비아 (contact via) 에서와 같이, 계단형 피복을 요하는 베이스와 측벽을 포함하는 경우라고 하더라도 이 기판 표면 위에 균일한 두께를 가지는 층을 형성하기 때문이다. 비교적 낮은 온도에서 CVD 에 의해서 0.5 마이크론보다 작은 개구 안으로 Al 을 증착함으로써 Al 배선을 제조하는 것이 알려져 있다.
그러나, 디바이스 밀도, 칩 크기, 및 최대 배선 길이가 증가하면서 디바이스 크기가 계속 감소될수록, Al의 한계가 점점 분명해진다. 특히, 약 0.18 마이크론보다 작은 폭을 가진 배선이 다음 세대의 집적회로를 위해 바람직하다. 그러나, 이 치수에서는, 알루미늄의 전자이동이 배선에서 고장을 초래한다. 또한, Al 의 저항율은 긴 배선에 대해 용인할 수 없을 정도로 높은 저항으로 이끌어, 이것은 RC 지연, 즉, 배선에 저장된 에너지가 소산되는 데 요하는 시간에 의한 지연을 초래한다. 따라서, 새로운 금속들이 다음 세대의 집적회로의 요구조건을 만족시키지 위해 필요해진다.
구리 (Cu) 는 현재 배선에 있어 알루미늄의 대용수단으로서 연구되고 있다. 참조로 언급했던, 류(Ru)의 논문은 구리 배선에 대한 기술의 현재 상태의 검토를 제공한다. Cu 는 1.67 μΩ-㎝ 의 벌크 저항율을 가지고 있는데, 이것은 Al (2.66 μΩ-㎝) 보다 약 40 % 작다. 또한, Cu 는 유사한 상황에서 Al 의 전자이동에 대한 저항보다 더 월등한 저항 및 더 낮은 RC 지연을 나타낸다. 따라서, Cu 의 더 낮은 저항율은 증가된 디바이스 속도를 허용하면서 더 높은 라인 밀도, 즉,더 작은 폭을 수용한다.
구리 배선은 물리 기상 증착 (PVD), 전기 도금, 및 무전해 도금(electroless plating) 과 같은 다양한 종래 공정처리에 의해서 증착될 수 있다. 화학 기상 증착 (CVD) 은 그것의 우수한 계단형 피복성과 선택적 증착 성능때문에 실용적인 방법이다. CVD 는 전구체(precursor) 라 이르는 가스 상태의 화합물의 증착 또는 열적 반응에 의해서 기판 위의 반응 생성물 (이 경우엔 구리) 의 형성을 포함한다. 하나 또는 그 이상의 유기 금속 전구체들을 사용하는, 유기 금속 CVD (MOCVD) 가 구리의 CVD 에 대해 바람직한데, 그 이유는 그것들이 비교적 낮은 온도에서 사용될 수 있기 때문이다. 바람직한 유기 금속 전구체들은 Cu+2(hfac)2 및 Cu+2(fod)2 를 포함하는데, 여기서 hfac 는 헥사플루오르화아세틸아세토네이트 (hexafluoroacetylacetonate) 양이온에 대한 약자이고, fod 는 헵타플루오르화 디메틸옥탄디엔 (heptafluoro dimethyl octanediene) 에 대한 약자이다.
바람직한 공정은 운송 가스로서 아르곤과 함께, 전구체로서 휘발성 액체 착화합물인 구리+ (hfac)(tmvs) 를 사용하는데, 여기서 tmvs 는 트리메틸비닐실란 (trimethylvinylsilane) 에 대한 약자이다. 이 전구체는 대기 상태에서 액체이기 때문에, 그것은 반도체 제조에서 현재 사용되는 표준 CVD 버블러(bubbler) 전구체 전달 시스템에서 이용될 수 있다. 증착 반응은 다음의 반응 메카니즘에 따라 가열된 기판 상에서 진행되는 것으로 여겨지는데, 여기서 (s) 는 표면과의 상호작용을 표시하고 (g) 는 가스 상태를 표시한다.
(1) 2Cu+1 (hfac)(tmvs)(g) --→2Cu+1 (hfac)(tmvs)(s)
(2) 2Cu+1 (hfac)(tmvs)(s) --→2Cu+1 (hfac)(s) + 2(tmvs)(g)
(3) 2Cu+1 hfac(s) --→Cu(hfac)(s) + Cu+2 (hfac)2 (s)
(4) Cu(hfac)(s) + Cu+2 (hfac)(s) --→Cu(s) + Cu+2 (hfac)2 (s)
단계 1 에서, 전구체는 가스 상태로부터 금속표면 상으로 흡수된다. 단계 2 에서, 전구체는 2Cu+1 (hfac) 및 2(tmvs) 로 분해된다. (tmvs)는 탈착에 의해서 표면에서 제거된다. 단계 3 에서, Cu(hfac) 및 Cu+2 (hfac)2 가, 표면 Cu+1 (hfac) 종과의 전자 교환에 의해서 생성된다. 단계 4 에서, 구리 금속 및 휘발성 Cu+2 (hfac)2 가 (hfac) 그룹의 이동에 의해서 형성된다. Cu+2 (hfac) 2 은 탈착에 의해서 표면에서 제거되어 구리 금속을 남기게 된다. 전체 불균등화(disproportionation) 반응은 다음의 화학 반응식에 의해 기술된다.
2Cu+1 (hfac)(tmvs)(g) --→Cu(s) + Cu+2 (hfac)2 (g) + 2(tmvs)(g)
tmvs 와 Cu+2(hfac)2 모두는 챔버로부터 소모되는 증착 반응의 휘발성 부산물이다. Cu+2(hfac)2 는 더 이상의 증착에 기여하지 않는데, 이는 온도가 Cu+2(hfac)2 의 분해를 위해 요구되는 온도보다 매우 낮기 때문이다.
Cu+1(hfac)(tmvs) 는 열적 공정, 또는 플라즈마 강화 CVD (PECVD) 로 불리는 플라즈마 기반의 공정 중 하나를 통해 Cu 를 증착하기 위한 전구체로서 사용될 수 있다. 기판은 바람직하게는 Cu+1(hfac)(tmvs) 로부터의 Cu 의 PECVD 를 위해 약 100 과 400 ℃사이의 온도에서 유지된다. 기판은 플라즈마 강화가 아닌 Cu+1(hfac)(tmvs) 로부터의 Cu 의 CVD 를 위해, 바람직하게는 약 150 과 220 ℃ 사이의 온도에서, 더욱 바람직하게는 약 170 ℃ 의 온도에서 유지된다. 더 낮은 온도는 매우 느린 증착 속도를 초래하고, 더 높은 온도는 결과로서 생기는 배선의 저항율에 불리하게 영향을 미칠 수 있다. 열적 CVD 는 열적 CVD 에 통상적으로 수반되는 더 낮은 온도때문에, PECVD 에 비해 통상적으로 선호된다.
그러나, 구리는 하위 실리콘 기판뿐만 아니라 주위의 유전체 또는 절연층으로 확산되어, 그 층들의 바람직한 특성을 방해할 수도 있다. 이러한 문제점은 또한, 알루미늄에도 존재하는데, 다른 형체 (feature) 로부터 배선을 분리하기 위해 장벽층을 사용하는 것이 알려져 있다. 알루미늄 배선을 위한 장벽층은 보통 탄탈륨 (Ta), 탄탈륨 질화물 (TaN), 티타늄 (Ti), 및 티타늄 질화물 (TiN) 을 포함하는 재료로부터 제조된다. 또한, 다른 형체로부터 구리 배선을 분리하기 위해 장벽층을 사용하는 것이 알려져 있다. 다른 형체로부터 구리 배선을 분리하기 위해 사용되는 장벽층은 알루미늄 배선에서의 사용을 위해 앞에서 기재된 것 들을 포함한다. 그러나, 이러한 장벽층과 알루미늄 사이의 상호작용은 집중적으로 연구된 반면에, Cu 와의 상호작용은 다르다. 특히, 장벽과 장벽 상에 증착된 구리 사이의 열악한 밀착성이 자주 존재하는데, 이는 높은 비아 저항과 열악한 전자이동 저항때문에 디웨팅(덜 묻음;dewetting) 및 디바이스 고장을 초래할 수 있다. 이러한 문제점은 특히, CVD 법에 의해서, 증착된 Cu 배선에 대해 뚜렷하지만, PVD, 전기 도금, 및 무전해 도금과 같은, 다른 방법에 의해서 증착된 Cu 에 대해서도 또한 이러한 문제점이 더 적은 정도로 존재한다. 이에 더하여, 장벽층의 부적당한 선택은 구리 배선의 성장에 관한 문제점, 계면 오염, 및/또는 구리 내의 바람직하지 않은 미세구조를 초래한다. CVD 에 관해서는, 이러한 문제점들을 해결하기 위한 노력들은 전구체에 존재하는 염소와 플루오르가 구리막으로 혼입되는 것을 막기위한 시도로 대부분 지향되어 왔다.
PVD 에 의해서 증착된 Cu 층은 통상적으로, CVD 에 의해 증착된 Cu 층보다 종래 장벽층에 대해서 더 양호한 밀착성을 나타내어 왔다. 그러나, CVD 는 우수한 트렌치 및 비아 매립 (via fill) 과 같은, 다른 이유때문에 PVD 에 비해서 선호된다. CVD 와 PVD 모두의 바람직한 특성을 이용하기 위해서, 하위 장벽층에 대한 우수한 밀착성을 위해 PVD 에 의해서 Cu 의 시드(seed) 층을 증착하고 이 후에 월등한 트렌치 및 비아 매립특성을 달성하기 위해 CVD 에 의해 증착하는 것이 알려져 있다. 그러나, CVD 및 PVD 모두를 사용하는 것은 제조 시간과 비용을 증가시키는 추가적인 공정 단계를 요하게 된다. 또한, 밀착성을 강화하기 위해, 증착후 CVD 로 증착된 Cu 를 어닐링하는(anneal) 것이 알려져 있다.
본 발명은 장벽층과 같이, 기판에 대한 구리 및 다른 도전성 금속의 밀착성을 개선하기 위한 방법을 제공한다.
본 발명에 따르면, 실질적으로 산화되지 않은 제 1 표면을 가진 장벽층이 제공된다. 구리층은 그 후에 장벽층의 제 1 표면 상으로 증착된다. 제 1 표면의 실질적으로 산화되지 않은 상태는 장벽층에 대한 구리층의 밀착성을 강화시킨다. 장벽층의 실질적으로 산화되지 않은 제 1 표면은 장벽층의 증착 후에 일어나는 장벽층의 산화를 막음으로써, 또는 도전성 금속의 증착에 앞서 장벽층 표면의 적어도 일부로부터의 산화를 없애거나 제거함으로써 제공될 수 있다. 또한, 밀착성 촉진 재료가, 장벽층의 적어도 일부가 산화되지 않은 상태로 남아 있도록 보장하는 장벽층에 추가될 수 있다. 구리의 경우에, 구리는 예를 들어,화학 기상 증착 (CVD), 물리 기상 증착 (PVD), 전기 도금, 및 무전해 도금을 포함한 다양한 공정들에 의해서 증착될 수 있다.
장벽층의 실질적으로 산화되지 않은 제 1 표면은 장벽층내에 귀금속을 포함함으로써 제공될 수 있다. 이 귀금속은 예를 들어, 금 (Au), 은 (Ag), 백금 (Pt), 크롬 (Cr), 니켈 (Ni), 및 팔라듐 (Pd) 으로 이루어진 그룹으로부터 선택될 수 있다. 장벽층은 귀금속의 밀착성 촉진 층을 포함할 수 있다. 귀금속은 이온 주입과 당업계에서 알려진 다른 기술에 의해서 장벽층에 더해질 수 있다.
실질적으로 산화되지 않은 제 1 표면은 또한, 귀금속에 관하여 위에서 말한 기술을 사용하여, 장벽층 표면에 휘발성 산화물을 형성하는 내화 금속을 포함함으로써 제공될 수 있다. 이 내화 금속은 예를 들어, 텅스텐 (W) 및 몰리브덴 (Mo) 으로 이루어진 그룹으로부터 선택될 수 있으나, 그에 한정되는 것은 아니다.
장벽층이 노출되는 주위 환경은 또한, 금속-함유 배선 재료의 적용에 앞서 산화를 최소화하도록 제어될 수 있다. 예를 들어, 장벽층의 증착이 여전히 진행되는 동안에, 구리의 증착이 시작될 수 있다. 구리가 CVD 에 의해서 증착될 때, 장벽층의 재료는 적어도 CVD 증착의 맨처음 부분 동안에 전구체 안으로 혼입될 수 있다. 화학 기상 증착 (CVD) 에 의한 구리의 증착 동안에 장벽층의 산화는 실질적으로 수분(water)이 없는 전구체를 사용함으로써 피할 수 있다. 실질적으로 산화되지 않은 제 1 표면은 예를 들어, 이온 충격(ion bombardment), 휘발성 물질을 형성하는 화학 반응, 및 제거 물질과의 접촉과 같은 기술을 사용하여, 장벽층의 표면으로부터 산화물을 제거함으로써 제공되는데, 위 기술에 한정되는 것은 아니다.
본 발명은 하위 장벽층에 대한 우수한 밀착성을 가진 구리 배선을 제공하는 것이다. 본 발명이 어떻게 작용하는가에 대한 이론에 의해 한정되는 것을 의도하는 것은 아니지만, 본 발명자는 금속-함유 배선 증착물과 장벽층 사이의 열악한 밀착성이 종래 방법에 의해서 제조된 종래 장벽층의 표면상에 존재하는 산화에 의해 통상적으로 초래된다고 믿는다. 이 산화는 구리가 금속 함유 배선일 때, 특히 해로운 것으로 보인다.
1. 정의
본 명세서 및 첨부된 특허청구범위에서 사용되어 있듯이, 단수형의 것은 문맥상 분명히 그렇지 않는 것을 제외하고는, 복수의 대상물들을 포함한다. 따라서, 예를 들어, "반도체" 라는 용어는 반도체의 행동 특성을 가진 것으로 알려진 다양한 다른 재료들을 포함하고, "구리" 라는 언급은 그의 합금을 포함한다.
본 발명의 설명에 특히 중요한 특정 용어가 아래 정의되어 있다.
"종횡비(aspect ratio)" 라는 용어는 특정 형체의 폭 치수에 대한 높이 치수의 비를 나타내는데, 이에 한정되는 것은 아니다. 형체가 하나의 폭 치수 이상을 가질 때, 종횡비는 통상적으로 그 형체의 가장 작은 폭 치수를 사용하여 계산된다. 예를 들어, 통상적으로 관 모양으로 여러 층을 통해 뻗어 있는 콘택트 비아 개구부는 하나의 높이와 하나의 직경을 가지고 있고, 그 종횡비는 그 관의 높이를 직경으로 나눈 값이 될 것이다. 트렌치의 종횡비는 트렌치의 높이를, 통상적으로 베이스에서 발생되는, 트렌치의 최소 폭으로 나눈 값이 될 것이다.
"구리" 라는 용어는 구리 및, 구리 함유량이 적어도 80 원자% 인 구리 합금을 나타낸다. 이 합금은 2 개 원소 이상을 함유할 수도 있다.
"디커플드 플라즈마 소스(decoupled plasma source)" 라는 용어는 플라즈마 소스 발생기 및 기판 바이어스 디바이스에 대한 전력 공급을 위한 별도의 제어수단을 가진 플라즈마 발생 장치를 나타낸다. 기판 바이어스 전압은 기판 표면 상에 이온 충격 에너지에 영향을 미친다. 이 디커플드 플라즈마 소스는 통상적으로 플라즈마 소스 전력과 바이어스 전력의 서로에 대한 영향을 분리(decouple) 하는 수단을 포함한다.
"형체 (feature)" 라는 용어는 콘택트, 비아, 트렌치, 및 기판 표면의 토포그래피를 구성하는 다른 구조들을 나타내지만, 이에 한정되는 것은 아니다.
"FWHM" 이라는 용어는 알루미늄 텍스쳐(texture) 의 통상 보고된 표시를 가리킨다. 이 FWHM 은 X-선 회절 "로킹 커브(Rocking Curve)" 로부터 얻어지는데, 이것은 X-선 탐지기가 2θ에서 고정되어 있는 동안, 샘플 위상의 특정한 브래그 각도(Bragg angle)를 통해 샘플을 회전 (로킹) 함으로써 얻어진 측정치이다. 각도로 표시된 FWHM 은 최대 높이의 절반에서의 커브의 폭에 의해서 걸쳐진 각도의 수를 나타낸다. 더 큰 수의 각도를 걸친 더 넓은 커브는 관심 대상인 결정학적 방향이 고도로 텍스쳐되어(texured) 있지 않다는 것을 가리킨다. 한정된 수의 각도를 걸친 좁은 커브는 강한 신호이며, 관심 대상인 결정학적 방향 의 더 큰 양 (높은 텍스쳐) 을 가리킨다. FWHM 측정은 회절 강도에 비해서 선호되는데, 이는 그것이 측정 변수에 덜 민감하고 주어진 샘플의 텍스쳐 정도에 대한 직접적인 표시자(indicator)이기 때문이다. 로킹 커브는 증착된 알루미늄 막에 대한 전자이동 저항에 대한 표준적인 표시자인데, 이는 전자이동이 알루미늄의 결정학적 방향에 직접적으로 관계되어 있기 때문이다.
"고밀도 플라즈마 스퍼터 증착(high density plasma sputter deposition)" 이라는 용어는, 고밀도 유도 결합 RF 플라즈마가 스퍼터링 캐소드와 기판 지지 전극 사이에서 형성되어, 그에 의해 적어도 스퍼터링된 방출의 일부는, 그것이 기판 표면에 도달할 때, 이온의 형태인, 그러한 스퍼터링 증착 (바람직하게는 마그네트론(magnetron) 스퍼터 증착)을 가리키지만 이에 한정되는 것은 아니다.
"SIMS" 라는 용어는 제 2 이온 질량 분광계를 나타낸다.
"전통적 스퍼터링" 이란 용어는 타겟이 스퍼터링되고 타겟에서 스퍼터링된 재료가 타겟과 기판 사이에서 통과하여 기판 상에 막층을 형성하고, 타겟으로부터 스퍼터링된 타겟 재료의 상당한 부분을, 그것이 기판에 도달하기 전에 이온화시키기 위한 어떠한 수단도 제공되지 않는 기판 상의 막층 형성 방법을 나타낸다. 전통적 스퍼터링을 제공하기 위해 구성된 하나의 장치가 미국 특허 제 5,320,728 번에 개시되어 있는데, 그 내용은 여기에서 참조로서 포함된다. 그러한 전통적 스퍼터링 구성에 있어서, 이온화되는 타겟 재료의 퍼센티지는 타겟으로부터 스퍼터링된 재료의 10 % 미만, 더 통상적으로는 1 % 미만이다.
"XRP" 라는 용어는 X-선 광전자 분광법을 가리킨다.
2. 본 발명을 실행하기 위한 장치
기판 사전 세정(pre-cleaning) 단계 (통상적으로는 이온 충격), 장벽층의 증착, 및 구리 시드 층의 증착을 수행하기 위해 사용될 수 있는 공정 시스템은 Applied Materials, Inc.(산타클라라, 캘리포니아) 로부터 얻을 수 있는 ENDURA
Figure 112005012517061-pat00001
Integrated Processing System 이다. 이 시스템은, 여기에서 참조로서 포함되는 미국 특허 제 5,186,718 및 5,236,868 번에 개시되어 설명되어 있다. 도 2 는 ENDURA
Figure 112005012517061-pat00002
Integrated Processing System 의 하나의 배치상태를 나타낸 것이다.
3. 본 발명의 바람직한 실시예
특별히, 전구체로서 Cu+1(hfac)(tmvs) 를 사용하여 CVD 에 의해 증착된 Cu 에 관하여, 본 발명자는, 산화되지 않은 표면을 가진 금속성 또는 전기적으로 도전성인 장벽층이 앞에서 설명했던 증착 반응의 단계 (3) 에서 발생하는 전자 이송을 용이하게 하지만, 산화된 장벽층 표면은 이 전자 이송을 방해한다고 생각한다. 그러한 산화는 마찬가지로, PVD, 전기 도금, 및 무전해 도금과 같은 Cu 에 대한 다른 증착 공정에서의 우수한 밀착성을 위해 필요한 공정 단계를 방해한다고 생각된다. 본 발명은 실질적으로 산화되지 않은 표면을 가진 장벽층을 제공하는데, 그 장벽층 위에 Cu 가 증착될 수 있어서 Cu 와 장벽층 사이에 우수한 밀착성이 있게 된다. "실질적으로 산화되지 않는" 이라는 표현은 산화된 표면에 비해서, Cu 의 증착을 용이하게 하는 금속성의 표면을 가진다는 것을 의미한다. 바람직하게는, 실질적으로 산화되지 않은 표면은 하나의 단층의 산화물도 가지고 있지 않다. 최소한, 그 장벽층 표면의 적어도 일부에는 산소 원자들의 존재가 없다.
실질적으로 산화되지 않은 표면을 가진 장벽층을 제공하는 여러 방법이 있다. 열역학적, 열운동론적, 또는 다른 기준들을 사용하여, 노출되는 조건에서 산화되지 않는 장벽층 재료가 선택될 수 있다. 장벽층 재료는, 금 (Au), 은 (Ag), 백금 (Pt), 크롬 (Cr), 니켈 (Ni), 및 팔라듐 (Pd) 과 같이, 다양한 조건에서 산화에 대해 저항력이 있는 것으로 알려진 귀금속일 수 있다. 장벽층 재료는 텅스텐 (W) 과 몰리브덴 (Mo) 과 같이, 휘발성 산화물, 즉, 진공 상태에서 증발하는 산화물을 형성하는 내열성 금속일 수도 있다. 그 장벽은 반드시 산화에 저항력이 있지는 않는 재료로 만들어질 수 있지만, 장벽층의 산화 저항을 개선하고 장벽층 상에 산화되지 않은 표면 영역이 확실히 존재하도록 하기 위해, 산화에 저항력이 있는 재료로 도핑된다. 또한, 밀착성을 강화하기 위해 산화에 저항력이 있는 재료가 적어도 Cu 증착 공정의 초기 부분으로 혼입될 수도 있다. 예를 들어, 귀금속이 CVD 에 의해 구리를 증착하는 데 사용되는 전구체 안으로 혼입될 수 있다.
장벽층이 노출되는 주위 환경은 산화를 최소화하도록 제어될 수 있다. 본 발명의 바람직한 실시예는 반드시 산화에 저항력이 있지는 않은 재료의 사용을 포함하지만, 주위 환경은 Cu 증착 시에 산화물이 실질적으로 없는 표면을 형성하도록 제어될 수 있다. 장벽 재료가 산화될 시간이 없도록, 장벽 재료를 증착한 후 즉시 Cu 가 증착될 수 있다. 장벽층이 산화물을 형성할 시간을 가지지 않고 Cu 가 장벽층의 재료와 밀접하게 혼합되어 있는 계면이 존재하도록 Cu 의 증착은 장벽층의 증착이 완결되기 전에 시작될 수도 있다. 예를 들어, 장벽층 및 그 Cu 의 증착을 동일한 진공 챔버 또는 연결된 진공 챔버들 내에서 수행함으로써, Cu 가 증착될 때까지, 진공 또는 제어된 비산화 환경이 장벽층 위에 바람직하게 유지되는데, 그 챔버 주위는 비산화성이고, 장벽층 표면을 가로질러 쓸고 가서 그 표면의 산화를 막아주는 유동성 비활성 가스를 포함할 수 있다.
장벽층은 여러 층을 구비할 수 있는데, 최상위층은 Cu 가 위에서 증착될 밀착성 촉진 층이어서, 그 최상위층의 하위층은 Cu 가 역시 잘 부착되지는 않을 수 있으나 다른 바람직한 특성을 가진 재료로 제조될 수 있게 된다. 그러한 밀착성 촉진 최상위층은 장벽 재료의 층들을 차례차례로 증착함으로써 제조될 수 있다. 대신에, 장벽층은, 우선적으로 장벽층의 표면으로 이동하는, 밀착성 촉진 도펀트를 포함할 수도 있다. 어닐링 단계는 그러한 도펀트를 그 표면 쪽으로 분리시키기 위해 수행될 수 있다.
장벽층을 제조하는 방법 및 그 재료는 바람직하게는, 장벽층이 주위의 재료로의 Cu 의 확산을 방지하고, 하위 재료에 잘 부착되고, 우수한 전도도를 가지며, 하위 재료의 특성에 불리하게 영향을 미치지 않는 공형의 층(conformal layer) 이 되도록, 선택된다. 그 결과, Cu 가 증착될 산화막이 실질적으로 없는 표면을 제공하는 어떤 장벽층 및 방법은 어떤 장치에서의 사용에는 적당하지 않으나 다른 장치에서의 사용에는 적당할 수 있다.
적당한 장벽 재료의 열역학적 선택
어떤 금속은, 장벽층이 통상적으로 노출되는 환경을 포함하여 다양한 환경에서, 산화에 저항력이 있는 것으로 알려져 있다. 이들 금속은 금 (Au), 은 (Ag), 백금 (Pt), 크롬 (Cr), 니켈 (Ni), 및 팔라듐 (Pd) 를 포함한다. 텅스텐 (W) 및 몰리브덴 (Mo) 와 같이, 다른 금속들은 장벽층이 노출되는 진공 조건에서 휘발성인 산화물을 갖는 것으로 알려져 있다. 장치의 나머지 부분과의 적합성, 공정 복합성 및 비용과 같은, 밀착성과 관계 없는 기준에 따라서, 이들 금속들 중 어느 것은 장벽층, 장벽층 내의 도펀트 또는 구성요소, 및/또는 장벽층의 밀착성 촉진 최상위층으로서 사용되도록 선택될 수 있다.
열역학적 기준이 장벽층으로서의 사용을 위한, 산화에 저항력이 있는 재료를 선택하는 데 사용될 수 있다. 예를 들어, 표 1 은 반도체 제조에서 사용되는 재료의 다양한 산화물에 대한 산화물 생성열을 나타낸다.
표 1. 산화물 생성열
화합물 생성열
Al2 O3 -399 Kcal/mole
Au2 O3 - 11 Kcal/mole
CuO -38.5 Kcal/mole
Cu2 O -43 Kcal/mole
MgO -143.84 Kcal/mole
NiO -58.4 Kcal/mole
PdO -20.4 Kcal/mole
SiO2 -202 Kcal/mole
TiO2 -214 Kcal/mole
Ta2 O5 -486 Kcal/mole

산화에 대한 저항력에 더하여, 장벽층 재료의 전도도가 고려될 수 있다. 제안된 재료 및 그의 저항율은 Au (2.4 μΩ-㎝), Co (9 μΩ-㎝), Ni (7 μΩ-㎝), Pt (10.5 μΩ-㎝), Pd (10.8 μΩ-㎝) 을 포함한다. 통상적으로 허용될 수 있는 재료는 약 50 μΩ-㎝ 미만의 저항율을 가질 것이다.
장벽층의 산화를 감소시키기 위한 환경 제어
사용된 장벽 재료에 따라, 장벽층 상에 형성하는 산화물의 양은 장벽층이 노출되는 환경에 민감할 수 있다. 바람직하게는, 장벽 재료는 상당한 양의 산화물이 다양한 환경에서 형성되지 않고, 주위 환경에 대한 주의 깊은 제어를 필요로 하지 않도록 선택된다. 그러나, 장벽층이 노출되는 환경을 제어함으로써, 산화물을 형성하는 장벽 재료가 본 발명 내에서 사용될 수도 있다. 관련 파라미터는 산소의 부분압 및/또는 장벽층이 노출된 습도의 양, 그러한 노출 동안의 온도, 다양한 소스로부터 공정 챔버 내에 입수 가능한 산소의 제거를 위한 비산화성 정화 가스의 존재, 및 Cu 의 증착에 앞선 노출의 지속 시간을 포함한다.
CVD 에 의해 증착된 Cu 에 대해, Cu 를 증착하는데 사용되는 전구체는 장벽 재료의 산화에 영향을 미칠 수 있다. 예를 들어, 통상 사용되는 β-디케토네이트 리간드, hfac, 는 (플루오르와 탄소뿐만이 아니라) 산소 및/또는 물의 잠재적인 오염 소스이다. 실제로, 종래에는 Cu 증착속도를 증가시키기 위해, 예를 들어 hfac 를 수화시킴으로써 Cu+1(hfac)(tmvs) 와 같은 전구체에 물이 첨가되었다. 본 발명자의 분석에 의하면, 그러한 물의 첨가는, Cu 가 증착될 장벽층의 표면을 물이 산화시키기 때문에 장벽층에 대한 Cu 의 밀착성에 해롭다는 것이 밝혀졌다. 매우 작은 양의 물과 산소만을 포함하고, 바람직하게는 그런 물질들이 실질적으로 없는 전구체가 산화를 감소시키기 위해 사용될 수 있다.
작은 양의 물을 갖는 전구체의 사용은 CVD 증착 속도를 증가시키기 위한 종래의 물의 사용과는 상반된다. 그러나, 전구체 내의 물로 인한 장벽층의 산화는 Cu 의 최초 다소의 원자 층의 증착 전에 또는 그 동안에, 즉, Cu 증착의 최초 몇 초 동안에 발생한다. 따라서, 우수한 밀착성은, CVD 에 의해 Cu 의 핵생성 층을 증착시키기 위해서 감소된 양의 물을 가진 전구체를 사용함으로써 얻을 수 있다. 우수한 증착 속도는 그후 더 많은 물을 가진 전구체를 사용하여 또는 Cu 의 핵생성 층을 증착하는 데 사용된 동일한 전구체에 물을 첨가하여 CVD 에 의해 Cu 를 증착함으로써 얻어질 수 있다. 이 공정은 PVD 에 의한 핵성장 층의 증착및 그후에 따르는 CVD 증착에 비해서 더 바람직한데, 그 이유는 제조공정의 복잡성을 감소시키는 Cu 의 증착은 오직 CVD 법에 의한 증착이기 때문이다.
장벽층 표면으로부터 산화의 제거를 위한 이온 충격의 사용
이온 충격은 구리 핵성장 층의 증착 직전에 장벽층 표면의 "플라즈마 세정" 또는 "스퍼터링 세정" 을 위해 사용될 수 있다. 반도체 기판 표면의 이온 충격을 위한 기법들은 당업계에 잘 알려져 있으며, 여기서는 자세히 논하지 않기로 한다. 또한, 하위 장벽층 표면에 대한 구리 핵성장 층의 밀착성을 더 촉진하기 위해 구리 핵성장 층의 최초 적용 동안 이온 충격을 사용하는 것도 가능하다.
금속화 기하학적 배열(Metallization Geometry)
도 1 은 본 발명에 따른 Cu 배선에 유용한 금속화 기하학적 배열을 나타낸 것이다. 도 1 의 금속화 기하학적 배열은 바람직하게는 캘리포니아, 산타클라라의 Applied Materials, Inc.에 의해 제공되는 DRY FILLTM 공정에 의해 제조되는데, 이것은 CVD 와 이후에 뒤따르는 PVD 를 포함한다. 바람직하게는 유전 재료로 만들어지는 기판 (12) 은 높은 종횡비를 가진 비아 (via;14) 를 가진다. 그러나, 본 발명은 임의의 종횡비와 결합하여서도 유리하다. 비아 (14) 는 벽 (18) 과 노면 (floor; 20) 을 가지고 있다. 얇은 장벽층 (16) 은 실질적으로 비아 (14) 의 벽 (18) 과 노면 (20)을 포함하여 모든 표면을 피복하면서 기판 (12) 상으로 직접 증착된다. 얇은 장벽층 (16) 은 일반적으로 약 150 Å 과 약 1000 Å 사이의 두께를 가진다. 그러나, 장벽층이 배선의 전체 저항율에 기여하기 때문에, 바람직한 두께는 약 150 Å 과 약 350 Å 사이의 범위에 있다. 공형의 CVD Cu 층 (22) 은 콘택트 또는 비아의 정상부분을 밀봉하는 두께를 초과하지 않도록 바람직한 두께로 장벽층 (16) 상에 증착된다. 장벽층 (16) 은, Cu 층 (22) 이 증착될 때 장벽층 (16) 과 Cu 층 (22) 사이의 표면에 실질적으로 산소가 없게 되도록 하는 재료 및/또는 공정을 사용하여 제조된다. PVD Cu 층 (23) 은 그 후에 CVD Cu 층 (22) 상에 증착된다. CVD Cu 층 (22) 과 PVD Cu 층 (23) 사이의 계면은 점선으로 도시되어 있는데, 이는 그 계면이 PVD Cu 층 (23) 이 증착된 후 분명하지 않다는 사실, 즉 CVD Cu 층 (22) 과 PVD Cu 층 (23) 이 단일한 통합된 Cu 층을 형성한다는 사실때문이다. PVD Cu 층 (23) 최상 표면 (26) 이 그 후에 화학적 기계 연마 (CMP) 과 같은 알려진 방법에 의해서 평탄화된다. 캘리포니아, 산타클라라의 Applied Materials 로부터 얻을 수 있는 Mirra System 은 유리하게 사용될 수 있는 하나의 CMP 장치이다. PVD Cu 층 (23) 은 PVD Cu 층 (23)의 전기적 특성을 바꾸기 위해 주석 (Sn) 과 같은 도펀트로 도핑될 수 있다. 그 공정은 이들 도펀트가 또한 CVD Cu 층 (22) 으로도 분산되도록 제어될 수 있어서 그에 의하여 통합 Cu 층의 전기적 특성을 바꾸게 된다. 그러나, 일반적으로 PVD Cu 층 (23) 은 도핑될 필요가 없다.
바람직한 실시예의 제조장치
본 발명의 방법은 바람직하게는 기판을 공정처리하도록 프로그램된 통합 클러스터 도구(integrated cluster tool) 내에서 수행된다. 예를 들어, 여기에서 참조로서 포함되는, Tepman 등의 1993 년 2월 16일 발행의 "Staged-Vacuum Wafer Processing System and Method" 라는 명칭의 미국 특허 제 5,186,718 번은 일단식 진공 웨이퍼 공정 시스템(one staged-vacuum wafer processing system)을 개시하고 있다.
도 2 는 예시적인 통합 클러스터 도구 (60) 의 선도이다. 클러스터 도구 (60) 는 바람직하게는 그 공정 방법을 수행하도록 프로그램된 마이크로 프로세서 제어장치를 갖춘다. 기판은 카세트 로드록(cassette loadlock ;62) 을 통해서 클러스터 도구(60)로 도입될 수 있다. 블레이드 (67) 을 가진 로보트 (64) 가 기판을 카세트 로드록 (62) 로부터 버퍼 챔버 (68) 를 통해 가스제거 웨이퍼 오리엔테이션 챔버 (70) 로 이송하고 그 후 사전 세정 챔버 (72) 로 이송한다. 가스제거와 사전 세정은 당업계에서 알려진 기법을 사용하여 이들 챔버에서 수행될 수 있다.
그 후에 로보트 (64) 가 기판을 이송 챔버 (80) 내에 위치한 로보트 (78) 로 이송한다. 로보트 (78) 는 기판을 챔버 (82) 내에 놓는데, 그곳에서 장벽층이 본 발명에 따라 증착된다. 그 후에 로보트 (78) 는 기판을 CVD 챔버 (84) 내에 놓는데, 그곳에서 도 1 의 Cu 층 (22) 과 같은 Cu 층이 CVD 에 의해서 증착된다. 그 후에 로보트 (78) 는 기판을 PVD 챔버 (86) 내에 놓는데, 그곳에서 도 1 의 PVD Cu 층 (23) 과 같은 PVD Cu 층이 PVD 에 의해서 증착된다. 그 후에 기판은 로드록 (62) 을 통한 철수를 위해 이송 챔버 (80), 냉각 챔버 (76) 및 버퍼 챔버 (68) 을 통해 되돌아 통과된다. 그후에, 기판은 당업계에 알려진 기법을 사용하여 화학적 기계 연마 장치 (도시되어 있지 않음) 내에서 평탄화를 위해 연마된다.
상기에서 설명한 제조 단계동안, 기판은 기판 상에서 원하는 구조의 제조를 완수하기 위해 하나 이상의 챔버 내에서 몇번이고 공정처리되거나 냉각처리 될 수 있다. 챔버의 정확한 배치와 조합은 제조 공정의 특정한 단계들을 수행하기 위해 변경될 수 있다.
전술한 것은 단지 가능한 공정 순서를 예시한 것일 뿐이고, 다른 공정 순서가 본 발명에 따라 수행될 수도 있다. 예를 들어, 본 발명에 따른 밀착성 촉진 층을 가진, Ta 장벽층과 같은 전통적인 장벽층의 제조를 위해서, 기판은, 예를 들어, Cu 의 증착에 앞서, Ta 의 증착을 위해 IMP 챔버 (88) 로 전달되고, 그후에, Ni 또는 Pt 의 밀착성 촉진층의 증착을 위해 PVD 챔버 (86) 로 전달될 수 있다.
PVD, 무전해 도금, 및 전기 도금에 의해 증착된 Cu
전술한 설명은 주로 CVD Cu 에 대한 사용을 위한 장벽층에 집중되었다. 그러나, 본 발명의 장벽층은, Cu 가 증착되는 표면의 산화 또는 전도도가 Cu 의 증착이나 밀착성에 영향을 주거나, 또는 도전성 표면 상의 전자 이동이 반응 단계들 중 하나에서 발생하는 Cu 를 증착하는 어떠한 방법에 대해서도 유리하게 사용될 수 있다. 이러한 방법들은 PVD, 무전해 도금, 및 전기 도금을 포함한다. 예를 들어, Cu 매립(fill) 의 전기 도금과 Cu 의 무전해 도금은 모두 도전성 표면상에서의 전자 이동을 포함한다.
Cu 의 무전해 도금에서는, Cu 원자가 수용액상의 Cu 이온의 촉매 환원에 의해 막 표면으로 제공된다. Cu 환원을 위한 전자들은 증착 배쓰(bath) 내의 환원제의 산화에 의해 제공된다. 환원제의 산화는 또한 도전성 표면 상에서만 촉진되어 진다. 통상적인 Cu 무전해 도금 공정은 다음의 화학 반응식에 의해서 표현된다.
Cu+2 + 2HCHO + 4OH- --→Cu0 + H2 + 2HCOO- + 2H 2 O
여기서 Cu 이온은 황산구리 오수화물 (CuS4Oㆍ5H2O) 로 부터 제공된다. 도전성 표면은 임의의 종래 방법 및 상기한 바와 같은 방법에 의해 증착된 본 발명의 장벽층의 어떠한 표면도 될 수 있다.
Cu 전기 도금은 증착 파라미터를 쉽게 제어할 수 있기 때문에, 트렌치 및 비아 매립을 포함하여 무전해 도금에 비해서 다수의 이점을 제공한다. Cu 전기 도금은 통상적으로 황산 도금 배쓰와 Cu 황산염 수용액을 포함한다. 그 반응은 간단한 Cu 황산염의 분해 및 Cu 이온의 환원이다.
CuSO4 --→Cu2+ + SO4 2-
CVD Cu 및 무전해 도금 Cu 에서와 같이, 전기 도금에서의 Cu 이온의 환원은 도전성 표면을 요한다. 본 발명의 장벽층은 이 목적에 이상적으로 적합하고, 임의의 알려진 방법 및 상기한 바와 같은 방법에 의해 증착될 수 있다. 고밀도 플라즈마 스퍼터 증착이 바람직한 방법의 증착이다.
예 1: Ta 의 산화
몇몇의 샘플들이 준비되었는데, 각각은 조직된 SiO2 층을 가진 기판 상에 증착된 Ta 의 200 Å 장벽층을 가지고 있다. 이 Ta 는 고밀도 플라즈마 스퍼터링 공정을 사용하여 증착되었다. Cu 는 그 후에 약 1000 Å 의 두께로 CVD 에 의해 장벽층 상에 증착되었다. 또다른 Cu 층이 그 후에 약 1 마이크론의 두께로 PVD 에 의해 증착되었다.
특히, Ta 장벽층은 VectraTM (Applied Materials, Inc.) 고밀도 플라즈마 소스를 사용하여 증착되었다. CVD Cu 는 슈마커(Schumacher) 사에 의해 제공된 Cupra select (hfac), 2504 blend, Cu (tmvs) 전구체를 사용하여 증착되었는데, 그것은 직접 액체 주입 시스템을 사용한 "샤워 헤드(shower head)" 살수장치(distributor) 에 전달되었다. 기판 플래튼 (캐소드) 히터 온도는 180 ℃ 와 약 200 ℃ 사이에서 유지되었다. CVD 반응 공정 압력은 운송 가스로서 헬륨과 함께 약 1.5 Torr 로 유지되었다. PVD Cu 증착은 Applied Materials,Inc 에 의해 개발된 280 ㎜ 타겟-기판 간격의 Cu 스퍼터링 소스 (롱-쓰로우; long-throw 또는 γ구리 소스)를 사용하여 수행되었다.
CVD 에 의해 Cu 가 증착되기 전에 Ta 장벽층이 노출되는 조건은 제어되었다. 특히, 샘플들이 공정들 사이동안에 진공 챔버로부터 제거되지 않았고, 그 공정들 사이의 시간 및 그 시간동안의 챔버 내의 산소양이 표 2 에 나타낸 바와 같이 제어되었다. PVD Cu 가 증착된 후에, CVD Cu 와 Ta 장벽층 사이의 밀착성이 블랭크(blank) 및 스크라이브(scribe) 테입 테스트를 사용한 보통의 테입 테스트법을 사용하여 테스트되었다. "블랭크" 는 방해 받지 않은 증착층을 나타내는 반면에, "스크라이브" CVD Cu 층의 장벽층에 대한 국부적인 밀착성 강도를 결정하기 위해서 층에 고의로 흉터가 있었다는 것을 나타낸다. 블랭크 및 스크라이브 테입 테스트에 대한 설명은 다음의 논문 기사들에 수록되어 있다: B.N. Chapman, J.Vac.Sci.Technol 11 (1974),106; 및 P.A. Steinmann 와 H.E. Hintermann, J.Vac.Sci.Technol.A 7 (1989),2267.
표 2 는 이들 테스트의 결과를 요약한 것이다.
표 2. 다양한 주위 환경으로의 Ta 노출의 효과
샘플 노출 주위 시간 테입 테스트 블랭크 스크라이브
1. IMP Ta(200 Å)/CVD Cu(1 KÅ)/PVD Cu(1 ㎛) 1E-09Torr 0 min 합격 합격
2. IMP Ta(200 Å)/CVD Cu(1 KÅ)/PVD Cu(1 ㎛) 1E-09Torr 5 min 합격 실패
3. IMP Ta(200 Å)/CVD Cu(1 KÅ)/PVD Cu(1 ㎛) 3E-08Torr 5 min 합격 실패
4. IMP Ta(200 Å)/CVD Cu(1 KÅ)/PVD Cu(1 ㎛) 3E-07Torr 5 min 합격 실패
5. IMP Ta(200 Å)/CVD Cu(1 KÅ)/PVD Cu(1 ㎛) 1 m Torr 5 min 합격 실패
6. IMP Ta(200 Å)/CVD Cu(1 KÅ)/PVD Cu(1 ㎛) 100 m Torr 5 min 실패 실패

표 2 는, Ta 장벽층이 노출된 산소의 양을 증가시키는 것은 Ta 와 CVD Cu 사이의 밀착성을 약화시킨다는 사실과 장벽층의 표면 상의 산화가 그 후에 증착되는 Cu 층의 밀착성을 감소시킨다는 사실을 나타내고 있다. 표 2 는 또한 Ta 층의 표면 상에 형성되는 산화물의 양을 최소화하기 위해 Cu 의 증착 전에 Ta 가 노출된 조건을 제어함으로써 Ta 에 대한 Cu 의 밀착성이 개선될 수 있다는 것을 나타낸다. 특히, Ta 에 대한 Cu 의 밀착성은 샘플 1 에서 특히 우수하였다. 샘플 1 을 제조하는 데 사용된 정확한 조건의 반복은 대량 생산에 있어서는 실용적이지 않을 수 있으나, 본 발명은 다른 방법으로서 Cu 가 증착될 표면 상에 실질적으로 산화물이 없는 장벽층의 표면을 제공하는 더 실용적인 방법을 의도하고 있다.
예 2: 장벽층 재료
몇몇 샘플이 준비되었는데, 각각은 조직된 SiO2 층을 가진 기판 상에 증착된 장벽층을 가진다. 장벽층은 약 200 Å 의 두께로 증착되고 표 3 에 나타난 바와 같이 다양한 재료로 제조되었다. Ta 와 TaN 장벽층은 이온화 금속 플라즈마 (IMP) 챔버내에서 증착되었다. TiN 장벽층은 CVD 에 의해서 증착되었다. Ni 와 Pt 는 공지된 종류의 DC 마그네트론 엔듀라
Figure 112000011688110-pat00020
플랫폼 상에서 표준인, 종래 스퍼터링 기술들을 이용하여 증착되었다. Ni 와 Pt 기판은 CVD Cu 증착 전에 약 2 일 동안 주위의 대기 조건에 노출되었다.
Cu 는 그 후에 예 1 에 관해 설명했던 동일한 일반적 공정 파라미터 및 재료를 사용하여 CVD 에 의해서 장벽층 상으로 증착되었다. 샘플들은 그 후에 샘플 1 에 관해 설명했던 바와 같이, 종래의 테입 테스트법에 의해 테스트되었다.
표 3. 종래의 장벽층에 대한 테입 테스트 결과 vs. 본 발명의 장벽층에 대한 테입 테스트 결과
테입테스트
샘플 블랭크 스크라이브
1. IMP Ta (200 Å)/CVD Cu (1 KÅ) 실패 실패
2. IMP TaN (200 Å)/CVD Cu (1 KÅ) 실패 실패
3. CVD TiN (200 Å)/CVD Cu (1 KÅ) 실패 실패
4. Ni (200 Å)/CVD Cu (1 KÅ) 합격 합격
5. Ni (200 Å)/CVD Cu (4 KÅ) 합격 합격
6. Pt (200 Å)/CVD Cu (1 KÅ) 합격 합격
7. Pt (200 Å)/CVD Cu (4 KÅ) 합격 합격
8. Pt (200 Å)/CVD Cu (8 KÅ) 합격 합격
9. IMP Ta (200 Å)/PVD Cu (200 Å)/CVD Cu (1 KÅ) 합격 합격
샘플 테입 테스트 블랭크 스크라이브
1. IMP Ta (200 Å)/CVD Cu (1 KÅ) 실패 실패
2. IMP TaN (200 Å)/CVD Cu (1 KÅ) 실패 실패
3. CVD TiN (200 Å)/CVD Cu (1 KÅ) 실패 실패
4. Ni (200 Å)/CVD Cu (1 KÅ) 합격 합격
5. Ni (200 Å)/CVD Cu (4 KÅ) 합격 합격
6. Pt (200 Å)/CVD Cu (1 KÅ) 합격 합격
7. Pt (200 Å)/CVD Cu (4 KÅ) 합격 합격
8. Pt (200 Å)/CVD Cu (8 KÅ) 합격 합격
9. IMP Ta (200 Å)/PVD Cu (200 Å)/CVD Cu (1 KÅ) 합격 합격

표 3 에서 볼 수 있는 바와 같이, Ta, TaN, 및 TiN 과 같이, Al 과 함께 사용되는 종래의 재료로 제조된 장벽층 상에 CVD 에 의해 Cu 가 증착된 샘플은 테입 테스트에서 실패하였다. 본 발명자는 이 실패가 장벽층 상에 산화물층의 형성때문일 것이라고 생각한다. 반대로, 본 발명자의 분석에 따라, 상당한 산화물층을 형성하지 않으리라 생각되는 재료로 제조된 장벽층을 가진 샘플은 테입 테스트에서 합격되었다. 특히, Ni 및 Pt 장벽층을 가진 샘플은 테입 테스트에서 합격되었다.
PVD 에 의해 증착된 Cu 는, 샘플 9 에 의해 나타난 바와 같이, Cu 가 증착되는 장벽층의 표면 상의 산화에 분명히 덜 민감한데, 거기에서는 PVD Cu 층이 테입 테스트에 합격했다. 그러나, 본 발명자의 분석은, PVD 에 의해 증착된 Cu 의 밀착성은 또한 본 발명에 의해서 강화될 수 있다는 것을 보여준다.
예 3: 저수분 전구체(low moisture precursor) 를 사용한 CVD Cu 의 밀착성
몇몇 샘플들이 준비되었는데, 각각은 조직된 SiO2 를 가진 기판 상에 증착된 장벽층을 가지고 있다. 장벽층은 약 200 Å 의 두께로 증착되었고, 표 4 에 나타난 바와 같은 다양한 재료로 제조되었다. Ta, TaN, 및 TiN 장벽층은 예 2 에 대해 설명한 바와 유사하게 증착되었다. 기판은 그 후에, 상기 기판이 하나의 챔버 로드록에서 또다른 챔버 로드록으로 주위 공기를 통해 이송되는 동안에 클린 룸 주위의 조건에 노출되었다.
Cu 는 그 후에, 슈마커 사, Carlsbad, California 로부터 얻을 수 있는 Cupra Select 2500 blend 전구체로부터 CVD 에 의해 장벽층 상에 증착되었다. 이 전구체는 저수분 함량 (약 2500 ppm 미만) 을 갖도록 처리되었다. Cu 의 증착동안 온도는 약 200 과 260 ℃ 사이에 있었다. 사용된 CVD 장치 및 일반적 공정 파라미터는 이전의 예에 관하여 설명했던 바와 동일했다. 샘플들은 그 후에, 예 1 에 관해 설명했던 바와 같은 종래의 테입 테스트법에 의해 테스트되었다. 이러한 테스트의 결과가 표 4 에 요약되어 있다. 표 4 에 "공기(air)" 라는 언급이 없는 때에는, 기판은 약 1 분 미만의 기간에 걸쳐, 상온에서 약 10-7 Torr 로 제어된 환경하에 옮겨져 있었다는 것을 주의하여야 한다. "공기" 라는 언급이 있는 때에는, 장벽층은 하나의 챔버에서 또다른 챔버로의 이송동안 약 3 분 동안 주위의 클린 룸에 노출되어 있었다.
표 4. 저수분 전구체로부터 증착된 Cu 에 대한 테입 테스트 결과
테입테스트
샘플 블랭크 스크라이브
1. IMP Ta (200 Å)/CVD Cu (1 KÅ) 합격 합격
2. IMP TaN (200 Å)/CVD Cu (1 KÅ) 합격 합격
3. CVD TiN (200 Å)/공기/CVD Cu (1 KÅ) 합격 합격
4. IMP TaN (200 Å)/공기/CVD Cu (1 KÅ) 합격 실패
5. IMP Ta (200 Å)/공기/CVD Cu (1 KÅ) 합격 실패
6. IMP Ta (200 Å)/CVD Cu (3 KÅ) 합격 합격
7. IMP TaN (200 Å)/CVD Cu (3 KÅ) 합격 합격
8. IMP Ta (200 Å)/PVD Cu (200 Å)/CVD Cu (8 KÅ) 합격 합격
샘플 테입 테스트 블랭크 스크라이브
1. IMP Ta (200 Å)/CVD Cu (1 KÅ) 합격 합격
2. IMP TaN (200 Å)/CVD Cu (1 KÅ) 합격 합격
3. CVD TiN (200 Å)/공기/CVD Cu (1 KÅ) 합격 합격
4. IMP TaN (200 Å)/공기/CVD Cu (1 KÅ) 합격 실패
5. IMP Ta (200 Å)/공기/CVD Cu (1 KÅ) 합격 실패
6. IMP Ta (200 Å)/CVD Cu (3 KÅ) 합격 합격
7. IMP TaN (200 Å)/CVD Cu (3 KÅ) 합격 합격
8. IMP Ta (200 Å)/PVD Cu (200 Å)/CVD Cu (8 KÅ) 합격 합격

표 4 로부터 볼 수 있는 바와 같이, 저수분 전구체로부터 증착된 CVD Cu 는 테스트된 대부분의 환경에서 합격하기에 충분한 장벽층에 대한 밀착성을 가지고 있다. 유일한 실패들이 스크라이브 테스트에 대해서 있었는데, 거기서 장벽층은 Cu 의 증착 전에 공기에 노출되었던 것이다. 이 실패는, 전구체 내의 수분으로 인해 얼마나 적은 산화물이 형성되었는가에 상관 없이, 공기에 대한 노출이 구리의 밀착성을 방해하기에 충분한 산소를 형성했기 때문인 것으로 생각된다. TiN 은 Ti 및 TaN 보다 더낮은 비율로 산화물을 형성하는 것으로 생각되는데, 이것으로부터 왜 공기에 노출된 TiN 샘플은 스크라이브 테스트에 합격했으나, Ti 및 TaN 샘플은 실패했는지를 알 수 있다.
예 4: Ta/CVD Cu 계면의 XPS 분석
2 개의 샘플이 준비되었는데, 각각은 조직된 SiO2 층을 가진 기판 상에 증착된 Ta 장벽층을 가지고 있다. 장벽층은 약 250 Å 의 두께로 증착되었다. 이 Ta 장벽층은 예 3 에 대해 설명한 바와 유사한 방식으로 증착되었다. 기판은 그 후에, 예 3 에 관해 설명한 바와 같이, 약 3 분 미만의 기간에 걸쳐 웨이퍼 홀드 상의 클린 룸 주위를 통과함으로써 CVD 증착 챔버로 이송되었다. CVD Cu 증착을 위해 사용된 CVD 장치 및 파라미터는 이전 예에 관해서 설명한 바와 같다.
Cu 는 그 후에, 하나의 샘플에 대해서는 Cupra Select 2500 blend 전구체를 사용하여, 다른 하나의 샘플에 대해서는 Cupra Select 2504 blend 를 사용하여 CVD 에 의해서 장벽층 상으로 증착되었는데, 양 전구체 모두는 슈마커사로부터 얻을 수 있다.
Cupra 2500 및 Cupra 2504 사이의 주요한 차이는 Cupra 2500 은 더 낮은 수분 함량을 가지고 있다는 사실, 즉, Cupra 2504 는 기본적으로 슈마커사의 특허된 방법을 사용하여 Cupra 2500 을 수화시킨 것이라는 사실이다. 정확한 수분 함량은 알려지지 않았으나, 2500 ppm 보다 크다. Cupra 2500 전구체를 사용하여 준비된 샘플은 스크라이브 및 블랭크 밀착성 테스트 모두에서 합격했다. Cupra 2504 전구체를 사용하여 두 밀착성 테스트들에서 실패하였는데, 이는 저수분 전구체로 준비된 상기 샘플이 장벽층과 Cu 사이에서 더 우수한 밀착성을 가지고 있었다는 것을 나타낸다.
도 3, 4, 5 및 6 은 그 2 개의 샘플에 대한 X-선 광전자 분광 (XPS) 도표이다. 도 3 은 Cupra 2500 전구체를 사용하여 준비된 샘플 내의 탄탈륨에 대한 XPS 피크를 나타낸 것이다. 도 4 는 Cupra 2500 전구체를 사용하여 준비된 샘플 내의 산소에 대한 XPS 피크를 나타낸 것이다. 도 5 는 Cupra 2504 전구체를 사용하여 준비된 샘플 내의 탄탈륨에 대한 XPS 피크를 나타낸 것이다. 도 6 은 Cupra 2504 전구체를 사용하여 준비된 샘플 내의 산소에 대한 XPS 피크를 나타낸 것이다. "x" 축은 eV 단위의 결합 에너지이고; "y" 축은 일초당 카운트이고; "z" 축은 초 단위의 스퍼터 시간이다. 도 3 및 5 의 도시된 탄탈륨 피크는 Ta4d5 피크인데, 이것은 Cu 와 접촉하고 있는 Ta 에 의해 초래된다. 도 4 및 6 의 도시된 산소 피크는 O1s 피크인데, 이것은 산소의 존재에 의해 초래된다.
도 3 및 4 를 도 5 및 6 과 비교하면, 더 높은 수분의 전구체 Cupra 2504 (도 5 및 6) 로 준비된 샘플보다 낮은 수분의 전구체 Cupra 2500 (도 3 및 4) 로 준비된 샘플에 있어서 구리와 탄탈륨 사이의 계면에서 더 적은 산화가 존재한다는 것을 알 수 있다. 특히, 도 5 의 FWHM 신호가 도 3 의 그것보다 더 넓고, 도 4 의 산소 피크가 도 6 에 관하여 쉬프트(shift) 되었다. 특히, 그 2500 Blend 에 대해서 50 초 스퍼터링 시간 내지 80 초 스퍼터링 시간의 탄탈륨에 관한 FWHM 은 약 60°인데, 2504 Blend 에 대해서 25 초 스퍼터링 시간 내지 50 초 스퍼터링 시간의 탄탈륨에 대한 FWHM 은 약 40°이다. 2500 Blend 로부터의 CVD Cu 와 접촉된 Ta 표면에 대한 Ta 신호 FWHM 의 확장은 2500 Blend 로부터의 CVD Cu 와 접촉된 Ta 표면이 2504 Blend 와 접촉된 Ta 표면보다 덜 산화된다는 것을 나타낸다. 2500 Blend 에 대한 대응 시간에 대한 산소 피크의 높이는 산소의 일초당 카운트 (c/s) 가 약 0 이라는 것을 나타낸다; 산소 신호에 대해 약 0.25 의 c/s 를 나타내는 2504 Blend 에 대해서 보다 훨씬 더 작다.
예 5: Pt, Ni, 및 Ta 장벽층 상의 CVD Cu 의 SIMS 분석
몇몇 샘플이 준비되었는데, 각각은 조직된 SiO2 층을 가진 기판 상에 증착된 장벽층을 가지고 있다. 장벽층은 약 200 Å 의 두께로 증착되었다. 3 개의 샘플이 준비되었는데, 각각 Pt, Ni, 및 Ta 장벽층을 가지고 있다. 장벽층은 예 2 에서 설명된 바와 같이 제조되었다. CVD Cu 이전에 장벽층이 노출되는 환경은 이전에 설명한 바 (샘플은 CVD Cu 증착 전에 약 2 일간 상온에서 주위의 대기 조건에 놓여 있었음) 와 동일했다.
Cu 는 그 후에 이전 예에 관하여 설명한 장치 및 일반적 공정과 Cupra select 2504 Blend 를 사용하여, CVD 에 의해 장벽층 상으로 증착되었다. 샘플은 그 후에 예 1 에서 설명한 바와 같이, 스크라이브 및 블랭크 종래 테입 테스트법 모두를 사용하여, 테스트되었다. Ta 장벽층을 가진 샘플은 테입 테스트에서 실패했으나, Ni 장벽층을 가진 샘플과 Pt 장벽층을 가진 샘플은 테입 테스트에 합격했다.
도 7, 8 및 9 는 각각, Ta, Ni, 및 Pt 장벽층을 가진 샘플에 대한 SIMS 프로파일을 나타낸다. x 축은 장벽층의 면에 수직 방향으로의 위치를 나타낸다. y 축은 세제곱 센티미터당 원자수 단위로, 다양한 원소의 농도를 나타낸다. 도 7 의 플롯(plot) 710, 720, 730, 740, 750 및 760 은, Ta 장벽층을 가진 샘플에 대해, 각각 Cu, 플루오르, 탄소, 산소, 실리콘 및 Ta 의 농도를 나타낸다. 도 8 의 플롯 810, 820, 830, 840, 850 및 860 은, Ni 장벽층을 가진 샘플에 대해서, 각각 Cu, 플루오르, 탄소, 산소, 실리콘 및 Ni 의 농도를 나타낸다. 도 9 의 플롯 910, 920, 930, 940, 950 및 960 은 Pt 장벽층을 가진 샘플에 대해, 각각 Cu, 플루오르, 탄소, 산소, 실리콘 및 Pt 의 농도를 나타낸다.
Cu 와 장벽층 사이의 계면은 대략 플루오르 및 탄소 농도에서의 피크에 위치하는데, 거기서 Cu 농도는 떨어지기 시작한다. 이 서로 다른 테입 테스트 결과들과 함께, 플루오르 및 탄소에서의 유사점 (즉, 플루오르에 대한 플롯 720, 820 및 920 과 탄소에 대한 플롯 730, 830 및 930 을 비교해보라) 은 Cu 매트릭스에서 또는 Cu 와 장벽층 사이의 계면에서 중 어디에서든지, 플루오르 및 탄소로 기인한 차이점은 Pt 와 Ni 장벽층을 가진 샘플들의 우수한 밀착성의 원인이 될 수 없으리 라는 것을 나타낸다.
Ta 장벽층을 가진 샘플은 Cu 및 Ta 장벽층 사이의 계면에서 산소의 피크를 나타내는데 (도 7, 도 7 의 플롯 740 참조), 이는 Cu 증착 전에 또는 그 동안에 Ta 가 산화되었다는 것을 시사한다. Ni 및 Pt 장벽층을 가진 샘플들은 해당하는 피크를 가지고 있지 않는데 (도 8 및 9, 플롯 840 및 940 참조), 이는 각각, Ni 및 Pt 는 Cu 증착 전에 또는 그 동안에 상당히 산화되지 않았다는 것을 시사한다. 본 발명자의 분석에 따르면, 장벽층의 산화에서의 차이가, Ta 장벽층을 가진 샘플에 비한, Pt 및 Ni 장벽층을 가진 샘플의 우수한 밀착 특성의 원인이 된다.
예 6: EX-Situ Pt 및 Ni 상에 증착된 CVD Cu 의 X-TEM 분석
2 개의 샘플이 준비되었는데, 각각은 조직된 SiO2 를 가진 기판 상에 증착된 장벽층을 가진다. 장벽층은 약 200 Å 의 두께로 증착되었다. 하나의 샘플은 Pt 장벽층을 가졌고, 다른 하나의 샘플은 Ni 장벽층을 가졌다. 장벽층은 예 2 에서 설명한 바와 같이 제조되었다. CVD Cu 전에 장벽층이 노출된 환경은 이전에 설명한 바 (샘플들은 CVD Cu 증착 전에 약 2 일 동안 상온에서 주위의 대기 조건에 위치한 박스 내에 놓였음) 와 동일하다.
Cu 는 그후에 이전 예에 관하여 설명한 장치 및 일반적 공정과 Cupra select 2504 Blend 를 사용하여 CVD 에 의하여 장벽층 상으로 증착되었다. 샘플은 그후에 예 1 에 관하여 설명한 바와 같이, 스크라이브 및 블랭크의 종래 테입 테스트법을 사용하여 테스트되었다. 모든 샘플은 테입 테스트에 합격하였다.
샘플은 단면으로 절단되고 X-선 투과 전자 현미경 (X-TEM) 을 사용하여 조사되었다. Pt 장벽층 상에는 가시적인 산화층이 없었고, Pt 와 Cu 사이의 계면은 잘 한정되었다. 산화물 조각 부분들이 Ni 장벽층의 표면 상에 관찰되었다. 가시적인 산화물이 없는 영역에서는, Ni 와 Cu 사이의 계면은 예리하고 잘 한정되었다. 분명히, 가시적인 산화물의 존재를 보이는, Ni 와 Cu 사이의 계면의 작은 흩어진 부분은 이 샘플의 테입 테스트를 하는 동안에 실패를 초래하기엔 불충분했다.
상술한 것은 본 발명의 바람직한 실시예들에 관한 것이었으나, 본 발명의 다른 더 이상의 실시예들이 본 발명의 기본적 범위를 벗어나지 않고도 발명될 수 있다. 본 발명의 범위는 다음의 특허청구범위에 의해서 결정된다.
본 발명에 의하면, 상술한 구성을 통하여, 상기의 장벽층과 같이, 기판에 대한 구리 및 다른 도전성 금속의 밀착성을 개선하기 위한 방법을 제공한다. 다시 말해서, 본 발명에 따르면, 실질적으로 산화되지 않은 제 1 표면을 가진 장벽층이 제공되고 구리층은 그 후에 장벽층의 제 1 표면 상으로 증착된다. 제 1 표면의 실질적으로 산화되지 않은 상태는 장벽층에 대한 구리층의 밀착성을 강화시킨다. 장벽층의 실질적으로 산화되지 않은 제 1 표면은 장벽층의 증착 후에 일어나는 장벽층의 산화를 막음으로써, 또는 도전성 금속의 증착에 앞서 장벽층 표면의 적어도 일부로부터의 산화를 없애거나 제거함으로써 제공될 수 있다
또한, 본 발명에 따르면, 장벽층이 노출되는 주위 환경이 산화를 최소화하도 록 제어될 수 있다. 이에 더하여, CVD 에 의한 구리의 증착동안에, 장벽층의 산화는 상술한 저수분의 전구체를 사용함으로써 피할 수 있게 된다.
그 결과, 장벽층의 부적당한 선택에서 초래되는 구리 배선의 성장에 관한 문제점, 계면 오염, 및/또는 구리 내의 바람직하지 않은 미세구조를 방지할 수 있게 된다.

Claims (42)

  1. 반도체 구조물의 표면 상에 구리를 증착하기 위한 방법으로서,
    (a) 유전체 또는 전기적 절연층으로 확산되는 것을 방지하는 장벽층을 제공하되, 상기 장벽층은 표면을 가지고, 상기 표면의 하나 이상의 부분은 산화되지 않은, 장벽층 제공 단계; 및
    (b) 상기 장벽층의 상기 표면 상으로 구리층을 증착함으로써 상기 구리층의 상기 장벽층으로의 밀착성을 개선하는, 구리층 증착 단계를 포함하는 것을 특징으로 하는 구리 증착 방법.
  2. 제 1 항에 있어서,
    상기 장벽층은, 상기 실질적으로 산화되지 않은 표면을 나타내는 밀착성 촉진 최상위층을 포함하는 것을 특징으로 하는 구리 증착 방법.
  3. 제 1 항에 있어서,
    상기 구리층은, 상기 구리층 증착 동안에 장벽층 표면을 산화시키지 않는 전구체로부터 화학 기상 증착 (CVD) 에 의해서 증착되는 것을 특징으로 하는 구리 증착 방법.
  4. 제 1 항에 있어서,
    상기 구리층은, 상기 구리층 증착 동안에 장벽층 표면을 산화시키지 않는 기술을 이용하여 물리 기상 증착 (PVD) 에 의해서 증착되는 것을 특징으로 하는 구리 증착 방법.
  5. 제 1 항에 있어서,
    상기 구리층은, 상기 구리층 증착 동안에 장벽층 표면을 산화시키지 않는 기술을 이용하여 무전해 도금에 의해서 증착되는 것을 특징으로 하는 구리 증착 방법.
  6. 제 1 항에 있어서,
    상기 구리층은, 상기 구리층 증착 동안에 장벽층 표면을 산화시키지 않는 기술을 이용하여 전기 도금에 의해서 증착되는 것을 특징으로 하는 구리 증착 방법.
  7. 제 1 항에 있어서,
    상기 장벽층의 상기 표면은, 상기 장벽층의 상기 표면의 산화하는 경향을 감소시키기에 충분한 양의 귀금속을 포함하는 것을 특징으로 하는 구리 증착 방법.
  8. 제 7 항에 있어서,
    상기 장벽층의 상기 표면은, 상기 장벽층의 상기 표면의 산화하는 경향을 감소시키기에 충분한 양으로, 금 (Au), 은 (Ag), 백금 (Pt), 크롬 (Cr), 니켈 (Ni), 및 팔라듐 (Pd) 으로 이루어진 그룹으로부터 선택된 하나 이상의 금속을 포함하는 것을 특징으로 하는 구리 증착 방법.
  9. 제 8 항에 있어서,
    상기 장벽층의 상부 표면의 부분은, 금 (Au), 은 (Ag), 백금 (Pt), 크롬 (Cr), 니켈 (Ni), 및 팔라듐 (Pd) 으로 이루어진 그룹으로부터 선택된 하나 이상의 금속을 포함하도록 변경된 것을 특징으로 하는 구리 증착 방법.
  10. 제 9 항에 있어서,
    상기 장벽층은, 구리의 무전해 도금을 위한 시드층으로서 후속적으로 사용되는 것을 특징으로 하는 구리 증착 방법.
  11. 제 9 항에 있어서,
    상기 장벽층의 실질적으로 산화되지 않은 표면은 금 (Au) 을 포함하는 것을 특징으로 하는 구리 증착 방법.
  12. 제 9 항에 있어서,
    상기 장벽층의 실질적으로 산화되지 않은 표면은 은 (Ag) 을 포함하는 것을 특징으로 하는 구리 증착 방법.
  13. 제 9 항에 있어서,
    상기 장벽층의 실질적으로 산화되지 않은 표면은 백금 (Pt) 을 포함하는 것을 특징으로 하는 구리 증착 방법.
  14. 제 9 항에 있어서,
    상기 장벽층의 실질적으로 산화되지 않은 표면은 니켈 (Ni) 을 포함하는 것을 특징으로 하는 구리 증착 방법.
  15. 제 9 항에 있어서,
    상기 장벽층의 실질적으로 산화되지 않은 표면은 팔라듐 (Pd) 을 포함하는 것을 특징으로 하는 구리 증착 방법.
  16. 제 7 항에 있어서,
    상기 귀금속은 이온 주입법에 의해서 상기 장벽층에 첨가되는 것을 특징으로 하는 구리 증착 방법.
  17. 제 1 항에 있어서,
    상기 장벽층의 실질적으로 산화되지 않은 표면은, 상기 장벽층의 상기 표면 상에 상주하는 산화물의 양을 감소시키기에 충분한 양으로, 휘발성 산화물을 형성하는 내열 금속을 포함하는 것을 특징으로 하는 구리 증착 방법.
  18. 제 17 항에 있어서,
    상기 장벽층의 실질적으로 산화되지 않은 표면은, 상기 장벽층의 상기 표면의 산화하는 경향을 감소시키기에 충분한 양으로, 텅스텐 (W) 및 몰리브덴 (Mo) 으로 이루어진 그룹으로부터 선택된 하나 이상의 금속을 포함하는 것을 특징으로 하는 구리 증착 방법.
  19. 제 18 항에 있어서,
    상기 장벽층의 실질적으로 산화되지 않은 표면은, 텅스텐 (W) 및 몰리브덴 (Mo) 으로 이루어진 그룹으로부터 선택된 하나 이상의 금속을 포함하는 것을 특징으로 하는 구리 증착 방법.
  20. 제 19 항에 있어서,
    상기 장벽층의 실질적으로 산화되지 않은 표면은 텅스텐 (W) 을 포함하는 것을 특징으로 하는 구리 증착 방법.
  21. 제 19 항에 있어서,
    상기 장벽층의 실질적으로 산화되지 않은 표면은 몰리브덴 (Mo) 을 포함하는 것을 특징으로 하는 구리 증착 방법.
  22. 삭제
  23. 제 1 항에 있어서,
    실질적으로 산화되지 않은 상기 표면은 상기 장벽층의 표면으로부터 산화물을 제거함으로써 제공되는 것을 특징으로 하는 구리 증착 방법.
  24. 삭제
  25. 제 1 항에 있어서,
    상기 장벽층의 상기 표면이 노출되는 환경 및 단계 (a) 의 완료와 단계 (b) 의 시작 사이의 경과 허용 기간은, 상기 장벽층 표면의 부분들에 산소 원자의 존재가 없도록 제어되는 것을 특징으로 하는 구리 증착 방법.
  26. 제 1 항에 있어서,
    구리의 상기 증착은 상기 장벽층의 증착이 여전히 진행되고 있는 동안에 시작되며,
    상기 실질적으로 산화되지 않은 표면은, 구리의 증착이 시작되기 직전에 증착되는 상기 장벽층의 일부를 지칭하는 것을 특징으로 하는 구리 증착 방법.
  27. 제 1 항에 있어서,
    상기 구리층은 CVD 에 의해서 증착되며,
    상기 구리층을 증착하는데 사용되는 전구체는, 단계 (b) 가 시작된 후 그리고 단계 (a) 가 완료되기 전의 기간 동안, 상기 장벽층을 형성하는데 사용되는 재료와 결합하는 것을 특징으로 하는 구리 증착 방법.
  28. 제 1 항에 있어서,
    단계 (b) 에서 사용된 공정 파라미터와는 다른 공정 파라미터를 사용하여 화학 기상 증착 (CVD) 에 의해서 상기 단계 (b) 의 구리층 상으로 하나 이상의 추가적인 구리층을 증착하는 단계를 더 포함하는 것을 특징으로 하는 구리 증착 방법.
  29. 제 1 항에 있어서,
    단계 (b) 에서 사용된 공정 파라미터와는 다른 공정 파라미터를 사용하여 물리 기상 증착 (PVD) 에 의해서 상기 단계 (b) 의 구리층 상으로 하나 이상의 추가적인 구리층을 증착하는 단계를 더 포함하는 것을 특징으로 하는 구리 증착 방법.
  30. 반도체 기판 상으로 층을 증착하는 방법으로서,
    (a) 상기 반도체 기판 상으로 장벽층을 증착하는 단계로서, 상기 장벽층의 하나 이상의 표면은 상기 장벽층의 하나 이상의 표면의 산화하는 경향을 감소시키기에 충분한 양의 귀금속을 포함하는, 상기 장벽층 증착 단계; 및
    (b) 화학 기상 증착 (CVD) 에 의해서 상기 장벽층의 하나 이상의 표면 상으로 구리층을 증착하는 단계를 포함하는 것을 특징으로 하는 증착 방법.
  31. 반도체 기판 상으로 층을 증착하기 위한 방법으로서,
    (a) 상기 반도체 기판 상으로 장벽층을 증착하는 단계로서, 상기 장벽층의 하나 이상의 표면은 상기 장벽층의 하나 이상의 표면의 산화하는 경향을 감소시키기에 충분한 양으로, 휘발성 산화물을 형성하는 내열 금속을 포함하는, 상기 장벽층 증착 단계; 및
    (b) 화학 기상 증착 (CVD) 에 의해서 상기 장벽층의 하나 이상의 표면 상으로 구리층을 증착하는 단계를 포함하는 것을 특징으로 하는 증착 방법.
  32. 삭제
  33. 반도체 기판 상으로 층을 증착하기 위한 방법으로서,
    (a) 상기 반도체 기판 상으로 장벽층을 증착하는 단계;
    (b) 상기 장벽층의 표면으로부터 산화물을 제거하는 단계; 및
    (c) 화학 기상 증착 (CVD) 에 의해서 상기 장벽층의 표면 상으로 구리층을 증착하는 단계를 포함하는 것을 특징으로 하는 증착 방법.
  34. 구리층이 포함된 기판 상으로 층을 증착하기 위한 방법으로서,
    (a) 기판 상으로 장벽층을 증착하는 단계; 및
    (b) 화학 기상 증착 (CVD) 에 의해서 상기 장벽층의 표면상으로 제 1 구리층을 증착하는 단계를 포함하며,
    상기 장벽층의 표면이 노출되는 환경, 및 단계 (a) 의 완료와 단계 (b) 의 시작 사이의 경과 허용 기간은, 상기 제 1 구리층의 증착 시간에, 상기 장벽층 표면의 부분들에 산소 원자의 존재가 없도록 제어됨으로써, 상기 장벽층의 상기 표면으로의 구리층 밀착성이 개선되는 것을 특징으로 하는 구리 증착 방법.
  35. 반도체 기판 상으로 층을 증착하기 위한 방법으로서,
    (a) 상기 반도체 기판 상으로 장벽층을 증착하는 단계; 및
    (b) 상기 장벽층의 증착이 여전히 진행되고 있는 동안에 구리의 증착을 시작하는 증착 단계를 포함하는 것을 특징으로 하는 증착 방법.
  36. 삭제
  37. 삭제
  38. 하나 이상의 장벽층을 증착하는 방법으로서,
    상기 하나 이상의 장벽층의 노출된 표면 상으로 구리층을 후속적으로 증착하는 단계를 가지며,
    상기 장벽층의 노출된 표면의 일부, 또는 상기 장벽층의 노출된 표면과 상기 구리층의 일부는, 상기 장벽층의 노출된 표면과 상기 구리층 사이의 계면에서 산화물층의 형성을 방지하는 기상 증착 기술에 의해서 증착되는 것을 특징으로 하는 증착 방법.
  39. 제 38 항에 있어서,
    상기 기상 증착 기술은, 산소를 함유하는 반응물의 존재를 방지하는 것을 특징으로 하는 증착 방법.
  40. 제 39 항에 있어서,
    수증기의 존재가 방지되는 것을 특징으로 하는 증착 방법.
  41. 제 38 항에 있어서,
    상기 구리층은 CVD 에 의해 증착되는 것을 특징으로 하는 증착 방법.
  42. 제 39 항에 있어서,
    상기 구리층은 CVD 에 의해 증착되는 것을 특징으로 하는 증착 방법.
KR1020000011810A 1999-03-09 2000-03-09 화학 기상 증착에 의해 증착된 구리의 밀착성을 강화하기위한 방법 KR100717086B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US9/265,290 1999-03-09
US09/265,290 US6362099B1 (en) 1999-03-09 1999-03-09 Method for enhancing the adhesion of copper deposited by chemical vapor deposition
US09/265,290 1999-03-09

Publications (2)

Publication Number Publication Date
KR20000062801A KR20000062801A (ko) 2000-10-25
KR100717086B1 true KR100717086B1 (ko) 2007-05-29

Family

ID=23009845

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000011810A KR100717086B1 (ko) 1999-03-09 2000-03-09 화학 기상 증착에 의해 증착된 구리의 밀착성을 강화하기위한 방법

Country Status (3)

Country Link
US (2) US6362099B1 (ko)
JP (1) JP2001023989A (ko)
KR (1) KR100717086B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101427140B1 (ko) * 2013-03-29 2014-08-07 한국생산기술연구원 고종횡비 비아에 씨드 레이어를 형성시키는 방법

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19922557B4 (de) * 1999-05-17 2004-11-04 Infineon Technologies Ag Verfahren zum Abscheiden einer TaN/Ta-Zweischicht-Diffusionsbarriere
US6413858B1 (en) 1999-08-27 2002-07-02 Micron Technology, Inc. Barrier and electroplating seed layer
US7105434B2 (en) * 1999-10-02 2006-09-12 Uri Cohen Advanced seed layery for metallic interconnects
US20050006245A1 (en) * 2003-07-08 2005-01-13 Applied Materials, Inc. Multiple-step electrodeposition process for direct copper plating on barrier metals
JP2002105639A (ja) * 2000-09-25 2002-04-10 L'air Liquide Mocvd処理用の銅原料液及びその製造方法
JP3848080B2 (ja) * 2000-12-19 2006-11-22 富士通株式会社 半導体装置の製造方法
US6579793B2 (en) * 2001-03-27 2003-06-17 Sharp Laboratories Of America, Inc. Method of achieving high adhesion of CVD copper thin films on TaN Substrates
JP3530149B2 (ja) * 2001-05-21 2004-05-24 新光電気工業株式会社 配線基板の製造方法及び半導体装置
KR100413481B1 (ko) * 2001-06-12 2003-12-31 주식회사 하이닉스반도체 반도체 소자의 구리 박막 증착 장비
KR100424388B1 (ko) * 2001-06-28 2004-03-25 동부전자 주식회사 구리 배선 방법
US6911229B2 (en) * 2002-08-09 2005-06-28 International Business Machines Corporation Structure comprising an interlayer of palladium and/or platinum and method for fabrication thereof
WO2004040642A1 (en) * 2002-10-29 2004-05-13 Asm America, Inc. Oxygen bridge structures and methods
US6974768B1 (en) * 2003-01-15 2005-12-13 Novellus Systems, Inc. Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US7592259B2 (en) * 2006-12-18 2009-09-22 Lam Research Corporation Methods and systems for barrier layer surface passivation
US20040229453A1 (en) * 2003-05-15 2004-11-18 Jsr Micro, Inc. Methods of pore sealing and metal encapsulation in porous low k interconnect
US20050274621A1 (en) * 2004-06-10 2005-12-15 Zhi-Wen Sun Method of barrier layer surface treatment to enable direct copper plating on barrier metal
US6872657B2 (en) 2003-08-08 2005-03-29 Agency For Science, Technology And Research Method to form copper seed layer for copper interconnect
US7198675B2 (en) 2003-09-30 2007-04-03 Advanced Cardiovascular Systems Stent mandrel fixture and method for selectively coating surfaces of a stent
US20050098605A1 (en) * 2003-11-06 2005-05-12 International Business Machines Corporation Apparatus and method for low pressure wirebond
US20060075968A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Leak detector and process gas monitor
JP2006128288A (ja) * 2004-10-27 2006-05-18 Tokyo Electron Ltd 成膜方法、半導体装置の製造方法、半導体装置、プログラムおよび記録媒体
US7867547B2 (en) 2005-12-19 2011-01-11 Advanced Cardiovascular Systems, Inc. Selectively coating luminal surfaces of stents
US7993972B2 (en) 2008-03-04 2011-08-09 Stats Chippac, Ltd. Wafer level die integration and method therefor
US8003156B2 (en) 2006-05-04 2011-08-23 Advanced Cardiovascular Systems, Inc. Rotatable support elements for stents
US8603530B2 (en) 2006-06-14 2013-12-10 Abbott Cardiovascular Systems Inc. Nanoshell therapy
US8048448B2 (en) 2006-06-15 2011-11-01 Abbott Cardiovascular Systems Inc. Nanoshells for drug delivery
US8017237B2 (en) 2006-06-23 2011-09-13 Abbott Cardiovascular Systems, Inc. Nanoshells on polymers
US7694413B2 (en) * 2006-06-30 2010-04-13 Intel Corporation Method of making a bottomless via
US8916232B2 (en) * 2006-08-30 2014-12-23 Lam Research Corporation Method for barrier interface preparation of copper interconnect
KR101487564B1 (ko) * 2006-08-30 2015-01-29 램 리써치 코포레이션 구리 상호접속부의 배리어 계면 제작 방법 및 장치
US7749893B2 (en) * 2006-12-18 2010-07-06 Lam Research Corporation Methods and systems for low interfacial oxide contact between barrier and copper metallization
US8673769B2 (en) * 2007-06-20 2014-03-18 Lam Research Corporation Methods and apparatuses for three dimensional integrated circuits
US8048441B2 (en) 2007-06-25 2011-11-01 Abbott Cardiovascular Systems, Inc. Nanobead releasing medical devices
US7905994B2 (en) * 2007-10-03 2011-03-15 Moses Lake Industries, Inc. Substrate holder and electroplating system
US20090188553A1 (en) * 2008-01-25 2009-07-30 Emat Technology, Llc Methods of fabricating solar-cell structures and resulting solar-cell structures
JP4441658B1 (ja) * 2008-12-19 2010-03-31 国立大学法人東北大学 銅配線形成方法、銅配線および半導体装置
JP5343979B2 (ja) * 2009-01-16 2013-11-13 トヨタ自動車株式会社 半導体装置、半導体装置の製造方法、半導体装置の製造装置、および半導体装置の評価方法
US8262894B2 (en) 2009-04-30 2012-09-11 Moses Lake Industries, Inc. High speed copper plating bath
US20110204518A1 (en) * 2010-02-23 2011-08-25 Globalfoundries Inc. Scalability with reduced contact resistance
CN102939408B (zh) * 2010-06-11 2015-12-02 埃其玛公司 铜电镀组合物和使用该组合物填充半导体衬底中的空腔的方法
US11824511B2 (en) 2018-03-21 2023-11-21 Qorvo Us, Inc. Method for manufacturing piezoelectric bulk layers with tilted c-axis orientation
US11401601B2 (en) 2019-09-13 2022-08-02 Qorvo Us, Inc. Piezoelectric bulk layers with tilted c-axis orientation and methods for making the same
US20230257869A1 (en) * 2020-06-30 2023-08-17 Qorvo Biotechnologies, Llc System for depositing piezoelectric materials, methods for using the same, and materials deposited with the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5714418A (en) * 1995-11-08 1998-02-03 Intel Corporation Diffusion barrier for electrical interconnects in an integrated circuit
KR19980060600A (ko) * 1996-12-31 1998-10-07 김영환 반도체소자의 금속 배선 형성 방법
KR19980070902A (ko) * 1997-01-31 1998-10-26 조셉제이.스위니 저온 통합된 금속화 방법 및 그 장치
KR20000018353A (ko) * 1998-09-01 2000-04-06 이경수 금속 막의 형성방법
KR20000034526A (ko) * 1998-11-30 2000-06-26 윤종용 반도체소자의 금속 배선층 형성방법

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5320728A (en) 1990-03-30 1994-06-14 Applied Materials, Inc. Planar magnetron sputtering source producing improved coating thickness uniformity, step coverage and step coverage uniformity
US5236868A (en) 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
US6037257A (en) * 1997-05-08 2000-03-14 Applied Materials, Inc. Sputter deposition and annealing of copper alloy metallization
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US6147000A (en) * 1998-08-11 2000-11-14 Advanced Micro Devices, Inc. Method for forming low dielectric passivation of copper interconnects
US6140241A (en) * 1999-03-18 2000-10-31 Taiwan Semiconductor Manufacturing Company Multi-step electrochemical copper deposition process with improved filling capability
US6121149A (en) * 1999-04-22 2000-09-19 Advanced Micro Devices, Inc. Optimized trench/via profile for damascene filling

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5714418A (en) * 1995-11-08 1998-02-03 Intel Corporation Diffusion barrier for electrical interconnects in an integrated circuit
KR19980060600A (ko) * 1996-12-31 1998-10-07 김영환 반도체소자의 금속 배선 형성 방법
KR19980070902A (ko) * 1997-01-31 1998-10-26 조셉제이.스위니 저온 통합된 금속화 방법 및 그 장치
KR20000018353A (ko) * 1998-09-01 2000-04-06 이경수 금속 막의 형성방법
KR20000034526A (ko) * 1998-11-30 2000-06-26 윤종용 반도체소자의 금속 배선층 형성방법

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101427140B1 (ko) * 2013-03-29 2014-08-07 한국생산기술연구원 고종횡비 비아에 씨드 레이어를 형성시키는 방법
WO2014157883A1 (ko) * 2013-03-29 2014-10-02 한국생산기술연구원 고종횡비 비아에 씨드 레이어를 형성시키는 방법 및 그 방법으로 형성된 고종횡비 비아를 갖는 반도체 소자
US9953867B2 (en) 2013-03-29 2018-04-24 Korea Institute Of Industrial Technology Method for forming seed layer on high-aspect ratio via and semiconductor device having high-aspect ratio via formed thereby

Also Published As

Publication number Publication date
US6362099B1 (en) 2002-03-26
JP2001023989A (ja) 2001-01-26
KR20000062801A (ko) 2000-10-25
US20020119657A1 (en) 2002-08-29

Similar Documents

Publication Publication Date Title
KR100717086B1 (ko) 화학 기상 증착에 의해 증착된 구리의 밀착성을 강화하기위한 방법
US6464779B1 (en) Copper atomic layer chemical vapor desposition
US7476618B2 (en) Selective formation of metal layers in an integrated circuit
US7405143B2 (en) Method for fabricating a seed layer
US6607982B1 (en) High magnesium content copper magnesium alloys as diffusion barriers
US6554914B1 (en) Passivation of copper in dual damascene metalization
US7425506B1 (en) Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US7732331B2 (en) Copper interconnect structure having stuffed diffusion barrier
US6821909B2 (en) Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US6656841B1 (en) Method of forming multi layer conductive line in semiconductor device
US20050124154A1 (en) Method of forming copper interconnections for semiconductor integrated circuits on a substrate
US7566661B2 (en) Electroless treatment of noble metal barrier and adhesion layer
EP0566040A2 (en) Process for selectively depositing copper aluminum alloy onto a substrate
US6821324B2 (en) Cobalt tungsten phosphorus electroless deposition process and materials
JP2010525159A (ja) 電気メッキによるコンタクト用ロジウム構造の製造および電気メッキ用組成物
JP2002083813A (ja) 半導体素子の金属配線形成方法
JP2007180496A (ja) 金属シード層の製造方法
US7164203B1 (en) Methods and procedures for engineering of composite conductive by atomic layer deposition
JP4790156B2 (ja) 半導体素子の銅金属配線形成方法
US7014709B1 (en) Thin layer metal chemical vapor deposition
US11532474B2 (en) Deposition of rhenium-containing thin films
Kobayashi et al. Gap-filling property of Cu film by chemical vapor deposition
KR100451767B1 (ko) 반도체 소자의 금속 배선 형성방법
KR20040001988A (ko) 구리 금속 배선 형성방법
KR20190081455A (ko) 코발트 함유 박막의 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]
FPAY Annual fee payment

Payment date: 20110428

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee