KR100641966B1 - 안정한 불소-도핑 실리케이트 글래스 및 다른 박막을 형성하기 위한 처리 가스 분산 방법 및 장치 - Google Patents

안정한 불소-도핑 실리케이트 글래스 및 다른 박막을 형성하기 위한 처리 가스 분산 방법 및 장치 Download PDF

Info

Publication number
KR100641966B1
KR100641966B1 KR1020000043368A KR20000043368A KR100641966B1 KR 100641966 B1 KR100641966 B1 KR 100641966B1 KR 1020000043368 A KR1020000043368 A KR 1020000043368A KR 20000043368 A KR20000043368 A KR 20000043368A KR 100641966 B1 KR100641966 B1 KR 100641966B1
Authority
KR
South Korea
Prior art keywords
distance
substrate surface
inches
gas
chamber
Prior art date
Application number
KR1020000043368A
Other languages
English (en)
Other versions
KR20010049906A (ko
Inventor
약신 왕
다이아나 찬
터쿠트 사힌
테츄야 이시카와
파르하드 모그하담
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20010049906A publication Critical patent/KR20010049906A/ko
Application granted granted Critical
Publication of KR100641966B1 publication Critical patent/KR100641966B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide

Abstract

기판 처리 시스템은 챔버내에 배치된 기판 표면상에 박막을 형성하기 위하여 챔버를 한정하는 하우징을 포함한다. 상기 시스템은, 기판 표면의 주변부로부터 제 1 거리에서 제 1 화학 제품을 주입하기 위하여 챔버로 연장된 다수의 제 1 노즐 및 상기 기판 표면의 주변부로부터 제 2 거리에서 제 2 화학 제품을 주입하기 위하여 챔버로 연장된 다수의 제 2 노즐을 포함한다. 상기 제 2 거리는 상기 제 1 거리와 실질적으로 동일하거나 작다. 소정 실시예에 있어서, 제 1 화학 제품은 유전물질을 함유하고, 제 2 화학 제품은 기판상에 도핑된 유전체 물질을 증착시키기 위하여 제 1 화학 제품과 반응하는 도펀트 종을 포함한다. 이전에 행하여지는 것보다 기판 표면에 보다 근접하여 도펀트 종을 주입하는 것은 도펀트 종이 기판 표면 전반에 대하여 실질적으로 균일하게 분포되고 안정적 도핑된 유전체층의 증착을 보장한다.

Description

안정한 불소-도핑 실리케이트 글래스 및 다른 박막을 형성하기 위한 처리 가스 분산 방법 및 장치 {IMPROVED PROCESS GAS DISTRIBUTION FOR FORMING STABLE FLUORINE-DOPED SILICATE GLASS AND OTHER FILMS}
도 1은 본 발명에 따른 기판 처리 챔버의 간략화된 단면도.
도 2a는 종래 기술의 노즐 장치에 따른 도 1의 기판 제조 챔버 내부의 사시도.
도 2b는 본 발명의 실시예에 따른 도 1의 기판 처리 챔버 내부의 사시도.
도 2c는 본 발명의 또 다른 실시예에 따른 도 1의 기판 처리 챔버 내부의 사시도.
도 3은 본 발명의 또 다른 실시예에 따른, 다수의 노즐 층을 사용한 기판 처리 챔버의 간략화된 단면도.
도 4는 본 발명의 실시예에 따라, 분할된 링과 함께 사용되는 기판 처리 챔버 하우징의 간략화된 외관을 도시하는 도면.
도 5a 및 도 5b는 본 발명에 따라, 기판 처리 챔버와 함께 사용되는 분할된 링의 실시예에 대한 간략화된 사시도.
도 6은 본 발명의 실시예에 따라 다수의 가스 노즐을 사용하는 기판 처리 방법에 대한 간략한 흐름도.
도 7a는 종래 기술에 따라 증착된 FSG 박막의 가스 방출 테스트 결과를 나타내는 도면.
도 7b 및 도 7c는 본 발명의 실시예에 따라 실질적으로 동일한 길이의 노즐을 사용하여 증착된 FSG 박막의 가스 방출 테스트 결과를 도시하는 도면.
도 8은 본 발명의 실시예에 따라 실질적으로 동일한 길이의 노즐을 사용하여 증착된 FSG 박막의 습식 에칭 테스트 결과를 도시하는 도면.
도 9a 및 도 9b는 본 발명의 실시예에 따라 동일하지 않은 길이의 노즐을 사용하여 증착된 FSG 박막의 가스 방출 테스트 결과를 도시하는 도면.
* 주요한 도면 부호의 설명 *
100 : 기판 처리 시스템 102 : 하우징
104 : 엔클로져 106, 108 : RF 주입코일
110 : 가스 주입 링 120 : 챔버
124 : RF 소오스 126 : 매칭 회로
130a, 130b, 130c, 130d, 130e, 130f : 가스 노즐
132a, 132b: 가스 소오스 134a, 134b: 매니폴드
136 : 구멍
본 발명은 기판 제조 방법 및 장치에 관한 것이다. 보다 구체적으로, 본 발명은 플루오르실리케이트 글래스(FSG) 박막을 비롯한 다양한 박막을 제조하기 위한 개선된 처리 가스 분산 장치 및 방법에 관한다.
최근의 반도체 소자를 제조하는 데 있어 주요한 단계 중 하나는 가스의 화학적 반응에 의해 반도체 기판상에 얇은 박막을 형성하는 것이다. 이와 같은 증착 처리는 화학적 기상 증착(CVD)으로 일컫는다. 일반적인 열적 CVD 공정은 원하는 박막을 형성하기 위하여 열적-주입 화학 반응이 일어나는 기판 표면에 반응 가스를 제공한다. 플라즈마 강화 CVD 공정은 기판 표면 근처의 반응 영역에 무선 주파수(RF) 에너지를 인가함으로써 반응 가스의 여기 및/또는 분해를 촉진시켜, 높은 반응성의 플라즈마를 형성한다. 방출된 종의 높은 반응성은 열적 공정에서보다 더 많은 증착을 위한 처리 조건 윈도우를 형성한다.
플라즈마 CVD 챔버의 설계에서, 일반적으로 진공 챔버는 바닥을 따라 캐소드로 동작하는 평면형 기판 지지대, 상단을 따른 평면형 애노드, 바닥으로부터 상향으로 연장된 상대적으로 짧은 측벽 및 상단과 측벽을 연결하는 유전체 돔에 의해 한정된다. 주입 코일은 돔 주변에 장착되어 소오스 무선 주파수(SRF) 발생기에 접속된다. 일반적으로 애노드 및 캐소드는 바이어스 무선 주파수(BRF) 발생기와 결합된다. SRF 발생기로부터 주입 코일로 인가된 에너지는 챔버 내에서 플라즈마를 형성한다. 이와 같은 챔버는 고밀도 플라즈마 CVD(HDP-CVD) 챔버라 불린다.
소정의 HDP-CVD 챔버 및 다른 타입의 챔버에 있어서는, 기판 지지 표면의 가장자리 상부의 영역까지 노즐이 연장되도록 노즐과 같이 두 개 이상이 동등하게 이격된 가스 분배기 세트를 측벽에 장착하는 것이 일반적이다. 각각의 세트의 가스 노즐은 이러한 장치를 위한 공통 매니폴드와 결합되며, 상기 매니폴드는 처리 가스를 갖는 가스 노즐을 제공한다.
이러한 타입의 기판 처리 챔버에 있어서, 노즐은 노즐을 통하여 챔버로 주입되는 가스 형태에 따라 상이한 길이를 가진다. 예를 들어 이러한 챔버에서, 실란(SiH4) 및 분자형 산소(O2)를 포함한 처리 가스를 사용하는 소정의 도핑되지 않은 실리케이트 글래스(USG) 증착 처리에 있어서, 충분한 산소가 전체 실란과 반응하도록 존재하게 하기 위하여 정확한 양의 실란이 다량의 산소와 함께 챔버로 주입된다. 이렇게 많은 산소가 존재하고 수시로 챔버를 채우기 때문에, 통상적으로 산소 노즐 길이는 USG 처리에 심각한 영향을 미치지 않는다고 여겨진다. 실제로, 이같은 처리를 위하여, 소정의 챔버는 산소를 유입하기 위하여 노즐을 전혀 사용하지 않는 대신에 챔버 벽 또는 벽들의 홀을 통해 챔버속으로 산소가 누설된다.
다른 형태의 기판 증착 챔버에 있어서, (예를 들어 위 또는 아래의) 나머지 노즐과 상이한 레벨에 존재하는 소정의 노즐을 갖는 다수의 가스 주입 노즐을 포함하는 것이 제안되었다. 또한, 높은 레벨에 있는 노즐이 증착 균일성을 보조하기 위해 증착 챔버 내부로 더욱 연장되는 것이 이러한 챔버에 있어서 제안되었다.
상술한 챔버 및 다른 챔버 모두에 있어서, 공통 노즐을 통해 소정의 가스가 같이 주입될 수 있다. 일반적으로, 공통 노즐을 통해 주입된 가스는 서로 반응할 것 같지 않은 또는 이송 도중에 서로 충분히 느리게 반응할 것 같은 가스를 포함한다. 예를 들어, 상술한 USG 층의 증착에 있어서, 헬륨 또는 아르곤과 같은 주입 가스를 챔버로 주입하기 이전에 상기 주입 가스들을 산소 또는 실란과 혼합하는 것이 일반적이다.
특히, 할로겐-도핑 실리콘 산화물층 및 불소-도핑 실리케이트 글래스(FSG) 층은, 상당히 적은 피쳐 크기를 가지는 고속의 반도체 장치에 대해, USG 박막의 유전 상수보다 낮은 이러한 박막에 대해 달성될 수 있는 낮은 유전 상수 및 훌륭한 갭-충진 특성으로 인해 다양한 분야에서 증가적으로 보급되고 있다. FSG 층의 증착에 있어서, SiF4가 불소-도핑 실리콘 산화물(SiOF)에 Si 및 F 종을 제공하기 때문에 SiF4를 불소 소오스로 사용하는 것이 일반적이다. 다른 적합한 가스로는 SiH2F2 및 NF4를 포함한다. SiF4가 O2 및 SiH4와 같은 다른 소오스 가스로부터 개별적으로 챔버로 유입될 수 있지만, 개별적 가스 분배 장치를 요구하기 때문에 시스템의 복잡성 및 비용을 증가시킬 수 있다. 챔버 내부의 추가적인 가스 주입 노즐에 대한 요구는 챔버를 덜 강건하게 하여 공정 재현력을 얻는 것을 더욱 어렵게 한다. 따라서, 가스를 챔버로 주입하기에 앞서 (예를 들어 산소 소오스와) 화학적으로 유사한 다른 가스와 불소 소오스를 혼합하는 것이 일반적이다.
또한, 불소 소오스는 (예를 들어 SiH4, SiCl4, SiCH6, 또는 SiC3H10) 개별 실리콘 소오스 가스와 혼합되어 동일한 노즐로부터 주입될 수도 있지만, 실리콘 소오스 공급의 보다 국부적인 집중으로 인해 상대적으로 불균일한 박막을 형성할 수 있다. 불소는 비교적 긴 잔류 시간을 갖는 것으로 공지되어 있다. 따라서, 산소와 유사하게 불소 소오스를 챔버로 주입하는데 사용되는 노즐의 길이는 특별히 중요하지 않다고 일반적으로 여겨진다. 불소의 상대적으로 긴 잔류 시간으로 인해 주입된 불소가 챔버 전반에 대하여 분포되는 것으로 여겨진다.
따라서, 상술한 이유로, 개별적인 실리콘, 산소 및 불소 소오스를 사용하는 현재 사용되는 공지된 증착 기술은 불소 소오스와 산소 소오스를 조합하여 상대적으로 짧은 노즐을 통해 CVD 챔버로 상기 조합물을 흘리는 한편, 개별적인 실리콘 소오스(예를들어 SiH4)는 긴 노즐을 통해 주입(흐르게)된다. 이러한 방식으로 증착된 FSG 박막은 많은 분야에 대하여 수용가능한 물리적 특성을 갖게 된다. 그러나 소정의 응용 분야에 대하여서는 개선된 증착 기술이 요구된다.
본 발명은 개선된 처리 가스 이송 시스템을 구비한 개선된 기판 처리 챔버에 관한 것이다. 개선된 시스템은 특히 불소 소오스로서 SiF4를 사용하는 FSG 박막의 증착에 적용할 수 있고, 많은 다른 제조에도 사용될 수 있다. 특히, 상기 개선은 이전에 공지되지 않은 방식으로 가스 주입 노즐의 길이를 변화시킴으로써 달성된다.
상술한 바와 같이, 불소 소오스를 FSG 박막의 형성을 위한 기판 처리 챔버에 주입하기 위해 사용되는 노즐의 길이는 불소가 대부분의 화학적 증착 챔버 내에서 상대적으로 긴 잔류 시간을 가지기 때문에 특별히 중요하지는 않다고 여겨진다. 그러나 본 발명자는 이러한 종래의 생각이 소정의 예에서 최적의 특성보다 낮은 특성을 가지는 FSG 층의 증착을 야기한다는 것을 발견했다. 특히, 본 발명자는 노즐 길이가 일부 공정에서 SiF4와 같은 불소 소오스로부터 증착된 FSG 층의 안정성에 영향을 미친다는 것을 발견했다. 본 발명자는, 기판 표면을 전반에 대한 불소 종의 균일한 분포 이외에 기판 표면 전반에 대한 SiFx 종(예를 들어 SiF, SiF2, SiF3)의 균일한 분포가 안정적인 FSG 층 형성을 돕는다는 것을 발견했다. 불소 소오스를 위해 상대적으로 짧은 노즐이 사용될 때, SiFx 종은 전체 기판 표면 전반에 대하여 균일하게 분포되지 않는다. SiFx 종의 불균일한 분포가 기판 표면 전반에 대하여 야기될 수 있다고 여겨진다. 따라서, SiFx 종이 짧은 노즐 구멍 근처에 형성될 때, 웨이퍼의 모든 영역(예를 들어 중심부)에 SiFx 종이 도달하는 것이 어렵다. 대신에, 배출 시스템은 웨이퍼의 소정 영역에 SiFx 종이 도달하기 이전에 챔버 밖으로 SiFx종을 배출하여, 주변부보다 적은 SiFx를 수용하는 웨이퍼의 중심부를 가지는 웨이퍼 전반에 대해 불균일한 SiFx의 분포를 형성하는 것으로 여겨진다.
본 발명의 일 실시예에 따라, 처리 챔버내의 기판 표면상에 도핑된 유전체층을 형성하기 위한 방법은, 기판 표면의 주변부로부터 제 1 거리에서 유전 물질의 전구체를 함유하는 제 1 처리 가스를 처리 챔버로 주입하는 단계를 포함한다. 도펀트 종을 함유하는 제 2 처리 가스는 기판 표면의 주변부로부터 제 2 거리에서 처리 챔버로 주입된다. 제 2 처리 가스는 처리 챔버 내에서 제 1 처리 가스와 반응하여, 기판 표면상에 도핑된 유전체층을 증착시킨다. 제 2 거리는 제 1 거리와 실질적으로 동일하거나 작아 기판 표면 위에 도펀트 종일 균일하게 분포되어 기판상에 안정한 도핑된 유전체층을 증착하고 보다 도펀트 레벨을 양호하게 제어할 수 있다. 특정 실시예에서 제 1 거리는 약 1.75 내지 약 3.5인치 사이이며 보다 바람직하게는 약 2.75 내지 3.25 인치 사이이며, 제 2 거리는 약 1.75 내지 약 3.5인치 사이이며, 보다 바람직하게는 약 1.75 내지 2.25 인치 사이이다.
또다른 실시예에서, 하우징에 의해 한정된 챔버내에 배치된 기판 표면상에 박막을 형성하는 장치는, 기판 표면의 주변부로부터 실질적으로 제 1 거리에서 유전 물질의 전구체를 포함하는 제 1 화학 제품을 주입하기 위하여 챔버 내부로 연장되는 다수의 제 1 노즐을 포함한다. 다수의 제 2 노즐은 기판 표면의 주변부로부터 실질적으로 제 2 거리에서 도펀트 종을 포함하는 제 2 화학 제품을 주입하기 위하여 챔버 내부로 연장된다. 상기 제 2 거리는 제 1 거리와 실질적으로 동일하거나 작다. 소정 실시예에서, 상기 장치는 다수의 제 1 및 제 2 노즐을 수용하기 위한 개구부를 가지는 제거가능한 링을 포함한다. 특정 실시예에 있어서, 상기 하우징은 다수의 슬롯과 상기 다수의 슬롯속에 해제가능하게 삽입되는 다수의 링 부분을 포함한다. 상기 다수의 링 부분은 다수의 제 1 및 제 2 노즐을 수용하기 위한 개구부를 가진다.
본 발명의 또다른 실시예에 따라, 처리 챔버내의 기판 표면상에 도핑된 유전 층을 형성하는 방법은, 기판 표면의 주변부로부터 제 1 간격에서 처리 챔버로 유전 물질의 전구체를 포함하는 제 1 처리 가스를 주입하는 단계, 기판 표면의 주변부로부터 제 2 거리에서 불소 도펀트 종을 함유하는 제 2 처리 가스를 주입하는 단계를 포함한다. 상기 제 2 처리 가스가 처리 챔버 내에서 제 1 처리 가스와 반응하여, 기판 표면상에 불소 함유 유전체층을 증착시킨다. 상기 제 2 거리는 상기 제 1 거리와 실질적으로 동일하거나 작다.
본 발명의 또다른 실시예에서, 화학적 기상 증착 시스템에서 사용되는 장치가 제공된다. 이러한 실시예에서, 증착 시스템은 챔버를 한정하는 하우징 및 챔버 내에 위치된 기판을 지지하기 위한 기판 지지대를 포함한다. 상기 장치는 링의 내부 주변부 근처에 위치된 다수의 개구부를 가지는 가스 링을 포함한다. 다수의 개구부중 일부는 제 1 화학 제품을 상기 챔버로 주입하기 위하여 상기 내부 주변부 로 연장되는 제 1 노즐에 결합된다. 다수의 개구부중 나머지는 제 2 화학 제품을 챔버로 주입하기 위하여 내부 주변부로 연장되는 제 2 노즐에 결합된다. 제 1 및 제 2 세트의 다수의 노즐 각각이 내부 주변부로 연장되는 길이는, 증착 시스템을 사용하는 도중에 원하는 제 1 및 제 2 화학 제품이 기판 표면 전반에 대하여 실질적으로 균일하게 분포고 증착 균일도에 영향을 미치는 제 1 및 제 2 화학 제품으로부터 형성된 반응 생성물(예를 들어 종류) 또한 보다 양호한 화학적 특성을 가지고 기판 표면 전반에 대하여 균일하게 분포되도록, 최적화되게 선택된다. 본 발명은 챔버에 대한 복잡한 하드웨어 조정 없이 단일 챔버 내에서 다양한 형태의 상이한 막을 균일하게 증착하는 장치를 제공한다.
본 발명의 이러한 그리고 다른 실시예 뿐만 아니라 본 발명의 장점 및 특징 이 아래에서 첨부된 도면과 함께 상세히 설명된다.
도 1은 본 발명의 실시예에 따른 간략화된 기판 처리 시스템(100)을 도시한다. 이러한 실시예에 있어서, 하우징(102)은 일반적으로 진공 챔버가 되는 챔버(120)를 한정한다. 하우징(102)은 2 세트의 RF 주입 코일(106, 108)에 의해 둘러싸인 엔클로져(104)를 포함한다. 엔클로져(104)는 가스 주입 링(110)과 결합된다. 일반적으로 하우징(102)은 실린더형 내부 주변부를 가지지만 다른 형상 또는 형태를 취할 수도 있다. 엔클로져(104)는 세라믹과 같은 유전 물질로 형성된다. 일반적으로 코일(106, 108)은 한 쌍의 소오스인 RF 소오스(112, 114)에 의해 전원을 공급받는다.
또한, 시스템(100)에는 진공 챔버(120)내에서 기판 지지 표면(118)을 가지는 기판 지지대(116)가 포함된다. 기판 지지 표면(118)은 기판을 처리하는 동안에 진공 챔버(120)내에서 웨이퍼 또는 기판(122)을 지지한다. 바이어스 RF 소오스(124)는 지지 표면(118)이 정전 척과 함께 캐소드로서 역할을 하도록 하는 RF 매칭 회로(126)를 통해 일반적으로 기판 지지대에 인가된다. 측벽(128)은 하우징(102)의 바닥 부분을 엔클로져(104)에 접속하며 애노드로서 역할을 한다.
도 2a 내지 도 2c의 진공 챔버(120) 내부에 대한 사시도를 참조하여, 처리 가스는 가스 분배기 또는 노즐을 통해 기판(122)의 영역에서 진공 챔버(120)로 주입된다. (도 2 a의) 공지된 시스템의 가스 노즐(130a, 130b) 구조는 본 발명의 두 가지 특정 실시예의 노즐 세트(도 2b의 130c,103d 및 도 2c의 130e, 130f)와 비교된다. 실시예에서, 도 2a의 공지된 시스템은 12개의 긴 가스 노즐(130a) 세트 및 6개의 짧은 가스 노즐(130b) 세트를 도시한다. 각각의 세트는 실질적으로 가스 주입 링(110)의 외주변 주위에 균일하게 이격된다. 도 2b에 도시된 것과 같은 본 발명의 제 1 실시예에 있어서, 가스 노즐(130c, 130d)은 실질적으로 동일한 길이를 가진다. 도 2c에 도시된 것과 같은 본 발명의 제 2 실시예에 있어서, 가스 노즐(130f)은 가스 노즐(130e)보다 길다. 도 2a 내지 도 2c의 3가지 구조간의 차이가 다음에 설명된다.
노즐(도 2a의 130a,130b; 도 2b의 130c, 130d; 도 2c의 130e, 130f)은 각각 가스 링(110)의 개구부(131a, 131b)에 배치된다. 각각의 노즐은 그의 말단부에 구멍(136)을 가진다. 노즐 구멍(136)은 도 1에서 볼 수 있는 바와 같이 기판 지지대(116)의 주변부(138) 위에 그리고 기판(122)의 주변부(140)의 위에 배열된다. 각각의 노즐(도 2a의 130a,130b; 도 2b의 130c, 130d; 도 2c의 130e, 130f) 세트는 각각의 가스 매니폴드(134a, 134b)와 결합된다. 매니폴드(134a, 134b)에는 제 1 및 제 2 가스 소오스(132a, 132b)로부터 처리가스가 공급된다. 가스 소오스(132 a, 132b)는 가스 라인(133a, 133b) 및 가스 제어기(135 a, 135b)를 통해 매니폴드(134a, 134b)에 접속된다. 바람직한 실시예에 있어서, 가스 제어기(135a, 135b)는 개폐되고 아래에서보다 상세히 설명된 것처럼 컴퓨터 프로세서에 의해 가스 흐름을 제어하도록 조절될 수 있는 가스 밸브이다. 챔버(120)는 도 1에 도시된 실시예에서는 환형인, 도 1에서 배출부(142)로 도시된 대칭적인 챔버 몸체의 바닥부를 통해 사용되지 않은 처리 가스와 반응 부산물을 배출한다. 플라즈마 강화 공정에서, 플라즈마는 RF 소오스(112, 114)로부터 RF 전원 인가에 의해 노즐을 통해 챔버로 주입되는 가스로부터 형성된다.
용어 "노즐"은 제한적인 방식으로 읽혀서는 않된다. 본 설명에서 사용된 것과 같이, 용어 "노즐"은 챔버로 가스를 주입할 수 있는 임의의 부재 또는 장치에 해당한다. 노즐은 노즐내의 하나 이상의 개구부를 통해 가스가 주입되게 할 수 있는 능력 및 길이를 가지는 것만을 요구한다. 일반적으로 상기 노즐은 대칭적 펌핑으로 기판(122) 주변의 균일한 분포를 위하여 챔버(120)의 내부 주변에 균일하게 이격된다. (예를 들어 도 2c) 도시된 실시예에 있어서, 상이한 가스를 주입하는 노즐은 챔버(120) 내부의 주위에서 제 1 가스를 주입하는 12개가 동일하게 이격된 노즐(130e)과 제 2 가스를 주입하는 다른 12개의 노즐(130e)을 따라 배치된 6개의 동등하게 이격된 노즐(130f)과 이 섞여서 배치된다. 일반적으로 노즐(130e)은 실질적으로 동일한 길이를 가지는 한편, 노즐(130f)은 기판(122)의 주변부(140) 주위에서의 가스 분포의 균일성을 얻기 위하여 실질적으로 동일한 길이를 가진다. 본 발명에 따른 노즐은 챔버 벽 주변에 다양한 형태로 배열될 수 있으며, 도 2b 및 도 2c에 도시된 배열에 한정되는 것은 아니고, 이는 도 2a에 공지된 구조와 비교하고 설명을 위한 목적으로 도시된 것이다.
가스는 또한 일반적으로 기판(122) 상부의 위치와 같은 챔버(120)내의 다른 위치로부터 주입될 수도 있다. 이같은 구조는 기판 상부에 위치된 (도시되지 않은) 노즐과 같은 중앙-챔버 소오스 및 노즐 부근에 위치된 추가의 개구부(미도시)를 포함할 수 있다.
"AN IMPROVED DEPOSITON CHAMBER AND METHOD FOR DEPOSITING LOW DIELECTRIC CONSTANT FILMS"이란 명칭으로 어플라이드 머티어리얼사에 의해 1997년 5월 6일 출원된 미국 제 08/851,856호에서 이러한 구조가 설명되었다.
(도시되지 않은) 컴퓨터 프로세서는 가스 제어기(135a, 135b)를 제어한다. 프로세서는 컴퓨터 판독 가능한 매체(도시되지 않음)에 저장된 컴퓨터 프로그램의 제어하에서 동작한다. 컴퓨터 프로그램은, 이에 한정되지는 않지만 원하는 화학약품, 시간 조절, 화학약품의 혼합, 챔버 압력, 기판 지지 온도 및 RF 전원 레벨을 포함하는 다양한 동작 파라미터를 지시한다. 컴퓨터 프로그램은 소프트웨어, 하드웨어, 펌웨어 또는 단일 위치에서 통합되거나 또는 시스템 전반에 걸쳐 분포된 이들의 임의의 조합을 사용하여 구현될 수 있다.
도 1 및 도 2a 내지 도 2c를 참조로, 가스가 챔버(120)로 유입되는 구멍(136)의 위치는 다양한 요인에 따라 형성된 박막의 균일도에 영향을 미친다. 상이한 공정이 사용될 때, 웨이퍼(122)로부터 비교적 동일한 거리에서 또는 주입되는 화학약품에 따라 웨이퍼(122)로부터 상이한 상대적 거리에서 다양한 가스 모두를 주입하는 것이 바람직 할 수 있다. 예를 들어, 상대적으로 짧은 잔류시간을 가지는 화학 제품이 구멍(136)을 통해 주입되는 경우, 화학 제품이 전체 기판 표면 전반에 대하여 균일하게 분포되는 것을 보장하고 원하는 화학적 조성을 얻도록 하기 위하여 기판(122)의 주변부(140)에 상대적으로 근접하여 위치된 구멍을 가지는 것이 종종 바람직하다.
본 발명자는, 챔버(120)내의 기판(122)에 소오스 화학 제품을 주입하기 위한 상대적인 거리는 소오스 화학 제품의 반응으로 기판(122)상에 형성된 박막의 균일도와 안정도에 상당한 영향을 줄 수 있다는 것을 발견했다. 예를 들어, 챔버(120)에 주입된 제 1 화학 제품은 챔버(120)로 유입된 제 2 화학 제품과 반응하여, 기판(122) 상에 박막을 증착하기 위하여 제 1 화학 제품과 제 2 화학 제품으로부터 전구체 종(또는 반응 산물)을 형성한다. 제 2 화학 제품으로 형성된 전구체 종이 다른 종과 비교하여 상대적으로 짧은 잔류 시간을 가진다면, 제 2 화학 제품으로부터의 반응성 종이 박막 균일도에 영향을 미칠 때 기판(122)의 주변부(140)에 상대적으로 인접한 구멍에서 제 2 화학 제품이 주입되어야 한다. 이것은 제 2 화학 제품으로부터의 반응 종 또한 전체 기판 표면 전반에 대하여 균일하게 분포된다는 것을 보장하는 것을 돕는다. 제 1 화학 제품의 예로는 SiH4가 있다. 박막 균일도에 영향을 미치는 반응성 종을 생성하는 제 2 화학 제품의 예는 도핑된 실리케이트 글래스 박막과 같은 도핑된 유전 박막을 형성하기 위하여 사용되는 도펀트를 포함한다. 예를 들어, 본 발명자는 SiF4, SiH4 및 O2 소오스로부터 HDP-CVD 챔버내에 FSG 박막을 증착하는 동안 챔버(120)내의 화학 반응에 의해 형성된 SiFx 종(예를 들어 SiF3,SiF2, SiF)가 챔버(120)내에서 상대적으로 짧은 잔류 시간을 가진다는 것을 발견했다. 따라서, 본 발명자는 기판(122)의 주변부(140)에 상대적으로 인접한 구멍과 같은 이러한 구조로 SiF4 불소 소오스를 주입하는 것이 중요하다는 것을 발견했다.
도 2a 내지 도 2c에 도시된 3가지 장치에 대해 상이한 상대적 길이의 노즐은 구멍(136)과 기판(122)의 주변부(140) 사이에 상이한 상대적 길이를 한정하며, 증착된 박막의 특성에 영향을 미친다. 비교는 SiF4, SiH4 및 O2 소오스로부터 FSG 박막을 증착하는 예를 사용하여 도시되었지만 본 발명은 이러한 특정 공정으로 제한되지 않는다. 도 2a의 종래 구조에 있어서, 12개의 긴 노즐(130a)이 SiH4를 주입하는 데에 사용되는 한편 SiF4를 주입하는 데에 6개의 짧은 노즐(130b)이 사용된다. 짧은 노즐은 SiF4와 O2의 조합물을 주입하는 데에 사용될 수도 있고, O2를 챔버(120)로 주입하는 다른 방법이 사용될 수도 있다. 본 발명에 따라, SiF4 불소 소오스는 도 2a의 종래 장치보다 기판(122)의 주변부(140)에 상대적으로 근접한 구멍(136)을 통해 주입된다. 도 2b에서, 노즐(130d) 길이의 상대적 증가는 SiF4를 주입하기 위한 구멍(136)을 주변부(140)에 상대적으로 인접하도록 이동시켜, 노즐(130d)이 SiH4를 주입하기 위한 노즐(130c)과 실직적으로 동일한 길이를 가지게 한다. 도 2b에는 6개의 노즐(130d)과 12개의 노즐(130c)이 도시되어 있지만, 이러한 노즐(130d,130e)의 개수 및 외주변의 배치 간격은 다른 실시예에서 변화될 수 있다. 도 2c에 있어서, SiF4의 주입을 위한 노즐(130f)은 SiH4의 주입을 위한 노즐(130e) 보다 길다. 도 2c는 6개의 노즐(130f) 및 12개의 노즐(130e)을 도시하지만 노즐(130e,130f)의 다른 개수 및 외주변 배치 간격이 사용될 수도 있다.
도 2b 및 도 2c에 도시된 바와 같이, 본 발명은 FSG 박막의 증착 균일도를 향상시키기 위해 SiH4 주입용 노즐(130c, 130e)보다 길거나 동일한 길이의 SiF4 주입용 노즐(130d, 130f)을 사용한다. 따라서, 기판(122)의 주변부(140)와 SiF4 노즐(130d, 130f) 구멍(136) 사이의 거리는 주변부(140)와 SiH4 노즐(130c,130e) 구멍(136) 사이의 거리 보다 작거나 실질적으로 동일하다. 이러한 상대적인 거리는 노즐의 개수 및 외주변의 공간을 포함한 파라미터에 따라 선택 및 최적화될 수 있으며, 상기 파라미터들은 차례로 챔버(120)로 주입되는 가스의 혼합 및 반응 위치를 결정한다. 노즐 설계에 영향을 미치는 또다른 요인으로는 구멍(136)의 크기 및 구멍(136)을 통해 공급되는 가스의 흐름 비율이 포함된다. 일반적으로 노즐이 동일한 평면상에 위치하며, 특별히 기판(122)의 상부 표면 상부에 수직적으로 이격되어 배치된다. 특정 공정을 최적화시키기 위해 수직 거리를 변화시키는 것이 가능할지라도, 하드웨어 설계의 제약(예를 들어 RF 코일 위치, 펌핑 속도, 펌프 위치, 챔버 형상)은 실제에 있어서 종종 이러한 변형을 제한하거나 금지한다. 따라서, 노즐의 수직 거리는 일반적으로 실제적 관점으로부터 고정된다.
도 2b에 도시된 실시예에서, 기판(122)의 상부 표면으로부터 노즐(130c, 130d) 구멍(136)의 수직적 거리는 약 1.5 내지 2.0인치 사이의 범위이며, 전형적으로는 약 1.75인치가 된다. 기판(122)의 주변부(140)와 두 노즐(130c, 130d)의 구멍(136) 사이의 수평적 거리는 약 1 내지 3.5인치 사이로 실질적으로 균일하고 동일하며, 보다 바람직하게는 약 1.25 내지 2.5인치의 사이가 된다. 특정 실시예에 서, 상기 거리는 약 2.0인치이다. 기판(122)의 직경은 약 150-300mm이다.
도 2c에 도시된 실시예에 있어서, 기판(122)의 상부 표면으로부터 노즐(130e, 130f) 구멍(136)의 수직적 거리는 약 1.5인치 내지 2.0인치 사이이며, 전형적으로는 약 1.75인치이다. 기판(122) 주변부(140)와 짧은 노즐(130e) 구멍(136) 사이의 거리는 약 1.75 내지 3.5 인치 사이, 보다 바람직하게는 2.75 내지 3.25인치 사이로 동일한 한편, 주변부(140)와 긴 노즐(130f)의 구멍들(136) 사이의 거리는 약 1.75 내지 3.5인치 사이, 보다 바람직하게는 약 1.75 내지 2.25인치 사이로 동일하다. 특정 실시예에 있어서, 주변부(140)와 짧은 노즐(130e) 구멍(136) 사이의 거리는 약 3.175인치이며, 주변부(140)와 긴 노즐(130f) 구멍(136) 사이의 거리는 약 1.75인치가 된다. 기판(122)의 직경은 일반적으로 약 150-300mm이다.
증착된 박막의 개선된 박막 특성 이외에, 본 발명은 다른 장점을 가진다. 예를 들어 FSG 박막의 예에 있어서, SiF4로부터의 불소는 상대적으로 불안정하며, 이것은 챔버(120)의 벽을 공격하여 손상시킨다. SiF4를 기판(122) 부근에 주입함으로써 기판(122) 상부에서 SiF4이 SiH4 및 O2와 반응하여 보다 안정적인 박막을 형성하는 경향이 있다. 종래에 수행되던 것보다 SiF4가 기판(122)에 인접하고 챔버(1290) 벽으로부터 이격되어 주입되기 때문에, 불소에 의한 챔버 벽의 손상이 감소되어, 전반적인 챔버의 오염과 하드웨어 손상이 감소된다. 게다가, 본 발명은 상이한 처리 방법, 하나의 노즐을 통해 주입된 다수의 화학 제품 및 상이한 기판 크기와 같은 상황을 보상하기 위하여 주입된 화학 제품의 위치( 및 그에 따라 주입 위치와 웨이퍼 사이의 거리)에 대한 제어 및 융통성을 제공한다.
도 1, 도 2b 및 도 2c를 참조로, 노즐(130c,130d; 130e,130f)은 화학 제품 제어기(135a, 135b)에 결합된 가스 링(100)에 결합된다. 링(110)은 개구부의 층 및/또는 개구부의 다양한 결합을 포함한 다양한 구조를 가진다. 매니폴드(134a, 134b)는 링(110) 내부, 하우징(102) 및 이들 모두에 제공될 수 있다. 일반적으로 하우징(102)은 도 1에 도시된 것과 같이, 링(110)에 결합된다. 도 2b 및 도 2c의 실시예에 있어서, 링(110)은 단일의 연속 링이다. 그러나, 다른 실시예에 있어서, 가스 노즐을 하우징(102) 자체내의 화학적 제어기(135a, 135b)와 결합하는 것이 가능하여 가스 링(110)에 대한 요구를 제거한다. 다른 실시예로 가스 링(110)을 따라 배치된 여러 층의 노즐을 사용할 수 있다. 도 3에 도시된 바와 같이, 2 층의 노즐이 사용되는데, 제 1 층은 실질적으로 제 1 평면(150)을 따라 배치되고, 제 2 층은 실질적으로 제 2 평면(152)을 따라 배치된다. 제 1 및 제 2 평면은 링(110)의 바닥 표면(154)으로부터의 제 1 및 제 2 거리에 배치된다.
다른 실시예에서, 링(110)은 하나 이상의 부품으로 형성될 수 있다. 링(110)이 여러 부분으로 형성되는 경우, 하우징은 일반적으로 다양한 링 부분을 수용하기 위하여 적용된 다수의 개구부 또는 슬롯(146)을 포함한다. 각각의 슬롯(146)은 도 4의 실시예에서 도시된 것과 같이 지지대(148)에 의해 분리된다. 또한 다중-부품의 링에 대한 가스 매니폴드내의 가스 흐름을 위한 준비가 이루어져야 한다. 이것은 예를 들어 화학적 소오스(132a,132b)를 다수의-부품 링 상의 여러 위치에 접속하거나, 적절하게 다중-부품 링의 각 링 부분내의 다른 통로와 교신하는 하우징(104)내에 가스 통로를 제공함으로써 이루어질 수 있다. 두 개의 링 부분(212)을 가지는 2개 부품 가스 링(210)과 4개 링 부분(216)을 가지는 4개 부품 가스 링(214)의 예가 도 5a 및 도 5b에 각각 도시되었다. 링 부분(212, 216)은 일반적으로 하우징(102)의 두께와 매칭되는 두께(218)를 가진다. 2-부품 링(210) 각각의 링 부분(212)은 다수의 개구부(220)를 가지며, 4-부품 링(214) 각각의 링 부분(216)은 노즐을 수용하기 위한 다수의 개구부(222)를 가진다. 도 5a 및 도 5b에 도시된 바와 같이, 링 부분(212, 216)이 동일한 크기 또는 동일한 개수의 개구부(220, 222)를 가질 필요는 없다.
가스 링이 연속된 부품 또는 다중-부품이든지 간에, 링은 빠르고 쉬운 서비스와 교체력을 허용하기 위하여 바람직하게 하우징(102)에 제거 가능하게 장착된다. 노즐은 링의 내부 주변부상의 개구부에 제거 가능하게 또는 영구적으로 장착될 수 있다. 제거 가능하게 장착될 수 있는 노즐은 유지, 청소 및 다른 목적을 위하여 링으로부터 노즐이 분리되는 것을 허용한다. 제거 가능하게 장착될 수 있는 노즐은 또한 링을 교체하지 않고 상이한 길이의 조합의 노즐이 다양한 처리에 대하여 사용되는 것을 허용한다.
다른 실시예에서, 본 발명은 구멍의 위치가 조정 가능한 노즐을 제공함으로써, 화학 제품 주입의 위치를 제어한다. 예를 들어, 하우징내의 개구부는 노즐상의 쌍을 이루는 나사산을 수용하기 위한 나사산을 사용하여 적용될 수 있다. 따라서, 노즐은 시계 방향 및 반시계 방향으로 노즐을 회전시킴에 의해 변화되는 구멍 위치를 가질 수 있다. 이러한 응용은 하우징의 외부에서 수행될 수 있다. 대안적으로, 노즐은 완전히 나사장치로 고정되지 않을 수 있고, 이미 제거된 노즐의 위치에 삽입되는 상이한 노즐로 대체될 수도 있다. 이와 관련하여, 노즐은 하우징의 긴밀한(tight) 밀봉을 보장하는 동시에 노즐 길이와 그에 따라 노즐 구멍 위치에 대한 융통성을 제공하는 범위까지 모든 방법으로 삽입될 수 있다. 대안적으로, 노즐은 연장가능한 길이를 가지거나 단축 길이를 가지는 노즐이 제공될 수 있다. 이같은 노즐 사용은 노즐을 단순히 연장 또는 수축시킴에 의해 구멍 위치 조정을 허용한다. 또한 당업자는 조정 가능한 구멍 위치의 다른 형태를 알 수 있을 것이다. 상술한 설명은 예시적인 것이며, 본 발명의 범주 내의 모든 가능성을 나타낸 것은 아니다.
물론, 상술한 바와 같은 링의 다양한 조합, 화학 약품의 노즐로의 이동에 대한 선택적인 제어 및 조정가능한 노즐은 하나 이상의 개별적인 기술에 대한 장점을 실현하기 위하여 조합될 수 있다. 게다가, 이러한 기술중 소정의 기술 또는 다른 기술은 서로 조합하여 또는 단독으로 많은 구조의 하우징과 함께 사용될 수 있다.
도 6의 간략화된 흐름도(300)는 기판 상에 박막을 증착하고 개선된 박막 증착을 제공하기 위한 조건을 설정하기 위하여, 도 2b 또는 도 2c와 같은 노즐 분포를 가지는 도 1의 다중 노즐 시스템(100)에 대한 사용을 설명한다. 공정의 시작 단계(단계 302)로부터, 단계(304)에서 기판이 챔버에 제공된다. 제 1 화학 제품이 선택되고(단계 306), 다중 인젝터 또는 노즐의 제 1 부분이 선택된다(단계 308). 예를 들어, 도 2b의 노즐(130c)(또는 도 2c의 노즐 130e) 모두 또는 일부가 본 단계에서 선택된다. 모든 또는 사용 가능한 노즐의 서브 세트를 선택적으로 사용하는 것은 처리 시스템의 융통성을 증가시킨다. 제 1 인젝터의 단부 또는 구멍의 제 1 위치가 단계(310)에서 선택되어, 제 1 인젝터의 구멍과 기판 주변부 사이의 제 1 거리를 한정한다. 상술한 바와 같이, 제 1 거리는 제 1 인젝터를 변화시키거나, 그들의 길이를 조정함으로써, 조정될 수 있다. 제 2 화학 제품이 단계(312)에서 선택되고, 다수의 인젝터의 제 2 부분이 단계(314)에서 선택된다. 예를 들어 도 2b의 노즐(130d)(또는 도 2c의 노즐(130e)의 모두 또는 일부가 단계(314)에서 선택된다. 제 2 인젝터 단부 또는 구멍의 제 2 위치는 단계(316)에서 정의되어, 제 2 인젝터의 구멍과 기판의 주변부 사이의 제 2 거리를 정의한다. 바람직한 제 1 거리 및 제 2 거리는 흐름 패턴, 노즐의 구멍 크기, 입력 가스, 유속, 처리 압력 및 부분 증착에서 관찰된 박막 균일도와 같은 요인에 기초하여 선택될 수 있다. 특정 실시예에 있어서, 제 2 거리는 실질적으로 제 1 거리와 동일하거나(도 2b) 제 1 거리보다 작다(도 2c). 제 1 및 제 2 화학 제품이 단계 318 및 단계 320에서 챔버 내에서 반응하도록 주입되어, 처리의 종료(322)에서 기판의 표면상에 박막을 형성한다. 바람직하게, 제 1 및 제 2 화학 제품, 기판의 외주변으로부터의 제 1 및 제 2 거리를 한정하는 제 1 및 제 2 인젝터의 위치 및 제 1 및 제 2 인젝터 구멍의 위치는 기판 표면상에 실질적으로 균일한 분포의 원하는 화학적 조성을 가지는 박막을 형성하도록 선택된다. 공정 체크(324)는 일반적으로, 공정을 최적화하기 위하여 다수의 인젝터에 대한 제 1 위치 선택(단계 308) 및 다수의 인젝터에 대한 제 2 위치 선택(단계 314)을 조정함으로써 수행된다.
상술한 설명은 단지 예시적인 것이며, 이러한 설명에 본 발명을 제한, 한정 또는 국한하려는 것은 아니다. 예를 들어, 선택 단계가 도시된 순서로 발생하지 않고 대신에, 제 1 구멍 위치의 선택이 일반적으로 제 1 화학 제품의 선택 이후에 발생할 수 있고 유사하게 제 2 구멍 위치(들)와 제 2 화학 제품에 대하여서 그러하다. 주입 단계는 동시에 또는 실질적으로 동시에 발생할 수 있다.
바람직한 실시예에 있어서, 본 발명은 실란, 산소 및 SiF4 가스로부터 FSG 박막을 증착한다. 본 실시예에 있어서, 바람직하게 본 발명이 진공 챔버로 6개의 노즐 가스 링으로부터 SiF4와 산소의 화합물을 제공할지라도, 가스 혼합물은 특정 공정에 대하여 요구된 것과 같이 보다 많은 노즐(예를 들어 12개의 노즐)을 통해 주입될 수 있다. 이것을 수행하는 것은 이러한 가스의 이송을 간략화시키고, 비용 감소를 돕는다. 이러한 가스는 실온에서 서로 빠르게 반응하지 않기 때문에, 단일의 가스 링을 통해 주입될 수 있다.
실란, 산소 및 SiF4가스를 사용하여 FSG 박막을 증착함으로써, 박막의 불소 농도가 기판 표면 전반에 대하여 균일하게 분포되지 않는 경우의 소정 응용에 대하여 수용할 수 없는 안정도 특성을 가진 박막을 형성한다. FSG 내의 불소 도펀트는 SiFx 또는 SiF4로부터 분해된 단일의 불소 분자로부터 유래된다. 상기 SiFx 분자는 SiFx내의 불소가 실리콘 원자와 결합하기 때문에 단일 불소 분자보다 보다 안정적인 박막을 형성하는 경향이 있다. 따라서, 기판 표면 전반에 대하여 SiFx 분자를 균일하게 분산시키는 것이 바람직하다.
그러나, 현재 사용되는 기술은 실란, 산소 및 SiF4 가스를 사용하여 FSG 박막을 증착할 때 일부 분야에서는 수용할 수 없는 박막을 형성하는 경향이 있다. 예를 들어 FSG 박막은, 반도체 집적 장치의 인터메탈 유전체 응용과 같은 소정 응용에 대한 제조 조건내에 들어가지 않는 레벨로 H2O, H 또는 OH 흡수 및 순차적으로 바람직하지 않은 H2O, H 또는 OH 및 HF 가스 방출을 야기하는 느슨하게 결합되는 불소 원자를 가질 수 있다. 본 발명의 발명자는 산소 주입에 대하여 과거에는 짧았던 단일 가스 링 사용을 통해(즉 기판으로부터 멀리 이격) SiF4 및 산소를 주입하는 것은, 수용할 수 없는 박막을 형성한다는 것을 알았다. 이러한 현상의 확장은, SiFx보다 가볍고 챔버내에서 상대적으로 긴 잔류 생명을 가지는 단일 분자 F가 실리콘 및 산소와 반응하여 FSG 박막을 형성함과 동시에 기판의 주변부로부터 실질적인 거리로 분포된 짧은 노즐로부터 분사될 때, SiFx 화합물이 너무 무거워 기판 표면 전반에 대하여 균일하게 분포될 수 없는 것으로 여겨진다. 또한, SiFx 종은 그들이 기판 표면의 중심 영역에 도달하기 이전에 챔버로부터 배출되는 것으로 여겨진다. 게다가, 본 발명자는 산소의 주입도 역시 웨이퍼 표면 근처에 인접하여 발생하여야 한다는 것을 알았다. 종래의 CVD 산화물막은 챔버내의 과잉 반응 가스로서 잉여 산소를 사용하여 형성되어 산화막이 충분히 산화되는 것을 확보한다. 그러나 FSG 처리에 있어서, 산소 및 불소는 실리콘 결합에 대하여 바로 고갈되어, 챔버 내에 과도한 산소를 주입하는 것이 바람직하지 않다. 차라리, 바람직하고, 안정적인 박막 특성을 얻기 위한 제어 가능한 방식하에 산소 및 불소 소오스 가스를 챔버로 주입하는 것이 중요하다. 본 발명자는 SiH4를 주입하기 위한 노즐과 거의 동일한 길이 또는 더 긴 길이를 가지는 SiF4 및 산소를 주입하도록 기판 외부변 주위에 배치된 노즐을 사용하는 것이 보다 안정적인 FSG 박막을 형성한다는 것을 알았다.
도 7a 내지 도 7c는 본 발명의 발명자에 의해 수행된 테스트 결과를 도시한다. 도 7a 내지 도 7c는 기술 분야의 당업자에게 이해되는TDS(Thermal Desorption Spectroscopy) 그래프이다. 챔버(120)의 구조 및 사용되는 공정을 아래에 설명한다. 챔버(120) 측벽 및 일반적으로 기판(122) 위의 중심 위치로부터의 가스를 주입하는 것을 포함한다. 각각의 경우, 사용되는 챔버 하우징(102)은 어플라이드 머티어리얼사에 의해 제작된 HDP Ultima CVD 챔버 하우징이며, 200mm 웨이퍼에 대하여 설계되었다. 웨이퍼의 상부 표면으로부터 노즐 구멍까지의 수직 거리는 약 1.75인치이다.
이들 도면에서, 트레이스(402)는 H2O,의 가스 방출, 트레이스(404)는 불소의 가스 방출을 나타내며, 트레이스(406)는 HF의 가스 방출을 나타낸다. 이상적으로 이러한 트레이스들은 약 500℃의 온도에 대하여 상대적으로 평탄하며, 도 7a의 피크(408) 및 피크(410)와 같은 레벨의 트레이스로부터의 변화는 각각 바람직하지 않은 H2O 및 HF의 가스 방출을 나타낸다.
도 7a는 실란, 산소 및 SiF4 가스를 사용한 종래 기술의 FSG 증착 공정의 결과를 도시하며, 이 경우 산소 및 SiF4 가스는 챔버 내부로 대략 0.5인치의 짧은 노즐을 통해 챔버로 주입되고 SiH4가스는 챔버 내부로 대략 2.5인치 연장된 긴 노즐을 통해 주입된다. 짧은 노즐의 구멍과 기판 주변부 사이의 수평 거리는 약 2.625인치인 반면에, 긴 노즐의 구멍과 기판 주변부 사이의 수평 거리는 약 1인치이다. 400℃±15℃의 웨이퍼 처리 온도, 6 mtorr의 처리 챔버 압력, 900W의 상부 RF 코일, 2300W의 사이드 RF 코일, 2500W의 바이어스 RF 코일, (중앙 챔버 소오스로부터 5.7sccm을 가지는) 89.7sccm의 O2, (중앙 챔버 소오스로부터 4.5sccm을 가지는) 45.5sccm의 SiH4 및 (중앙 챔버 소오스로부터 9sccm을 가지는) 54sccm의 Ar의 조건이다. 이러한 공정은 증착 시스템 구조에 부여된 공정의 최적화 환경에서의 시도를 나타낸다. 각각의 트레이스는 가스 방출의 표시를 나타낸다. 트레이스에서의 피크는 대략적으로 210℃와 480-505℃에서 나타나며, 이것은 물리적 탈리 및 화학적 탈리 각각에 기인한 이러한 온도에서의 가스 방출을 나타낸다.
도 7b 및 도 7c는 모두 약 2.5인치의 길이를 가지는 SiH4, O2 및 SiF4용 노즐을 사용하여 증착된 박막으로부터의 가스 방출 측정치를 나타내며, 노즐 구멍과 기판 주변부 사이의 수평 거리는 약 1인치이다. 도 7b는 도 7a에서 사용된 것과 동일한 공정을 이용하여 박막이 증착될 때의 결과를 도시한다. 도 7c는 상기 노즐 구조를 사용하여 박막 특성을 최적화시키기 위해 공정을 조정하는 결과를 도시한다.
도 7a와 도 7b를 비교하면, SiF4와 산소를 주입하기 위한 노즐과 대략적으로 동일한 길이를 가지는 노즐로부터 SiH4를 주입하기 위한 스위칭은 개선된 안정도를 가지는 증착 박막을 형성한다는 것이 명백해진다. 각각의 트레이스(402-406)는 도 7a와 비교하여 도 7b에서는 개선된 평탄도를 가진다. 그러나, 일부 가스 방출이 여전히 약 480-505℃에서 트레이스의 피크로서 분명히 발생할 지라도 트레이스는 상대적으로 편평하며 이러한 경우에 피크는 약 210℃에서 이미 존재한다.
도 7c를 참조하여, SiH4를 주입하고 SiF4 및 산소를 주입하기 위하여 대략적으로 동일한 길이의 노즐을 사용하는 것은 박막 안정도 개선시킨다는 것을 알 것이다. 공정의 최적화 후, 새로운 방식에 따라 증착된 박막은 도 7c에 도시된 것처럼 온도 증가에 따라 트레이스(402-406)에서의 개선된 평탄도를 나타낸다. 도 7c의 트레이스의 평탄도는 도 7a 및 도 7b에 도시된 박막과 비교하여 특히 약 500℃의 온도 부근에서 가스 방출의 감소와 그에 따라 박막 안정도의 증가를 나타낸다.
도 8은 SiH4, SiF4 및 산소를 주입하기 위하여 대략적으로 동일한 길이의 노즐을 사용하는 것은 개선된 증착된 박막의 품질을 향상시킨다는 것을 나타내는 테스트 결과를 도시한다. 도 8은 도 7c의 결과를 나타내는 방식과 대략적으로 동일한 길이 및 방식의 노즐을 사용하여 증착된 박막의 습식 에칭 결과를 나타낸다. 이상적으로 도 8에 도시된 습식 에칭 비율 대 박막 두께가 되는 트레이스(502)는 선형이어야 한다. 습식 에칭 비율은 막내의 불소 농도를 나타낸다. 도 8에 도시된 것처럼, 트레이스(502)는 거의 선형에 가깝고, 이는 기판의 바닥에서 상부까지 거의 이상적인 박막 증착을 나타낸다.
도 9a 및 도 9b는, (도 2c를 참조하여) 기판(122)의 주변부로부터 1.8인치인 SiF4와 O2용의 긴 노즐(130f)과 기판(122) 주변부로부터 2.00인치인 SiH4용의 상대적으로 짧은 노즐(130e)을 사용하여 증착된 박막으로부터의 가스 방출의 측정치에 대한 결과를 도시한다. 거리의 수직 성분은 약 1.75인치가 된다. 도 9a는 도 7a에 대하여 사용된 것과 동일한 공정을 사용하여 박막이 증착될 때의 결과를 도시한다. 도 9b는 박막의 안정도를 최적화시키기 위해 공정을 조정할 때의 결과를 도시한다.
도 9a 및 도 9b는 둘 다 개선된 안정도를 가지는 증착 박막을 생성한다는 점에서 도 7b 및 도 7c와 유사하다. 각각의 트레이스(602-606)는 도 7a와 비교하여 특히 이전에 피크가 검출된 약 210℃에서 개선된 평탄도를 가진다. 소정의 가스 방출은 여전히 약 400-650℃에서 트레이스(602-606)의 피크로부터 알 수 있다. 개선된 박막 안정도 역시 가스 방출의 감소 및 박막 안정도의 증가를 나타내는 트레이스(602-606)에 대한 평탄도의 추가 개선을 나타낸다는 것은 도 9b에서 명백하다. 도 2c에 도시된 노즐 장치를 사용하여 증착된 박막의 습식 에칭의 결과는 도 2b의 노즐 장치를 사용하여 증착된 도 8의 박막의 습식 에칭 결과와 유사하며, 기판의 바닥에서부터 거의 이상적인 박막 증착을 나타내는 선형성을 가진다.
따라서, 도펀트 소오스 가스의 주입이 실리콘 소오스 가스의 주입보다 기판의 주변부로부터 짧은 거리 또는 동일한 거리에서 발생한다는 것은 중요하다. 상술한 설명이 단지 예증적인 것이고, 이에 제한되지 않는 다는 것이 이해될 것이다. 예로서, 본 명세서에서는 주로 FSG 공정에 대하여 설명되었을지라도 이에 한정되는 것은 아니다. 예를 들어, 제 1 거리로 유전 물질의 전구체를 함유하는 제 1 처리 가스를 주입하고 기판의 주변부로부터 제 1 거리보다 짧거나 실질적으로 동일한 제 2 거리에서 도펀트 종을 함유하는 제 2 처리 가스를 주입하여 기판 표면상에 실질적으로 균일한 도펀트 종을 분산시킴으로써, 안정적인 도핑된 유전체 박막이 형성된다. 도핑된 유전체 박막은 포스포실리케이스 글래스층(여기에서 도펀트 종은 PH3, (CH3O)3P, 미리혼합된 PH3와 SiH4등에서 유래), 보로실리케이트 글래스층(여기에서 도펀트 종은 BF3, B2H6,(CH3O)3B, 등에서 유래) 및 보론 포스포러스 실리케이트 글래스와 같은 도핑된 실리케이스 글래스 박막이 수 있다. 다른 도펀트 함유 가스의 예로는 GeH4 및 CH4가 포함된다. 도핑된 유전체 박막은, GaH3와 같은 갈륨 함유 가스가 기판의 주변부로부터 제 1 거리로 주입되고 AsH3 및 PH3와 같은 도펀트 함유 가스가 상기 제 1 거리보다 작거나 실질적으로 동일한 제 2 거리로 주입되는, GaAs 및 GaP와 같은 갈륨 박막 일 수 있다.
물론, 본 발명은 특정 길이의 노즐을 사용하는 것 또는 기판으로부터 유사한 거리로 이격된 구멍 위치를 나타내는 구조에 한정되지 않는다. 유사한 길이 또는 상이한 길이의 노즐 또는 기판의 주변부로부터 동일하지 않은 거리 또는 대략적으로 동일한 거리로 이격된 구멍 위치를 취함으로써, 양호하게 수행될 수 있다. 본 발명은 상이한 방식, 상이한 기판 크기 등에 대하여 증착된 박막의 안정도를 증가시키기 위한 다른 응용에도 적응 및 수용하는 융통성을 제공한다.
본 발명은 여러 장점을 제공한다. 예를 들어, 본 발명은 단일 하우징이 상이한 제조 방식을 수용하는 것을 허용하는 한편, 증착 균일도를 향상시킨다. 상이한 박막이 매우 근소한 변화 시간 만을 요구하고(변화가 요구되는 경우) 단일 챔버 내에서 연속적으로 증착될 수 있다. 상이한 방식이 쉽고 빠르게 수행된다. 주입 위치가 빠르고 용이하게 변화될 수 있어서, 상이한 박막 증착 및 실험이 허용된다. 상이한 주입 위치 구조는 빠르게 생성 또는 교체될 수 있다. 물론 이러한 리스트가 전부는 아니다. 다른 장점이 이에 그리고 이외에도 존재하며, 이들 모두 본 발명이 범주에 속한다.
이상의 본 발명의 특정 실시예에 대한 설명이지만, 다양한 변형, 수정 및 대체물이 사용될 수 있다. 예를 들어 다른 제조 방식, 챔버 모양, 기판 크기, 노즐 배치 간격 및 기판으로부터의 상대적 노즐 간격이 사용될 수 있다. 중앙 챔버 소오스는 사용되지 않을 수 있다. 다중 가스가 하나의 노즐을 통해 이송되지 않을 수도 있다. 챔버는 하나 이상의 배출 포트 또는 기판 지지대 베이스를 둘러싸는 균등한 환형의 배출 포트를 포함할 수 있다. 다른 변형물이 기술 분야의 당업자에게 명백하여 질 것이다. 이러한 등가물 및 대체물은 본 발명의 범주에 속한다. 이에 따라, 본 발명의 범주는 설명된 실시예에 한정되는 것이 아니라, 청구 항에 의해 한정되고 그 등가물의 전체 범주에 속한다.
본 발명에 따라, 기판 표면상에 박막을 형성하기 위한 기판 처리 시스템의 챔버에, 유사한 길이 또는 상이한 길이의 노즐 또는 기판의 주변부로부터 동일하지 않은 거리 또는 대략적으로 동일한 거리로 이격된 노즐 구멍 위치를 취함으로써, 증착된 박막의 균일도를 향상시키고 단일 챔버 내에서 상이한 박막을 연속적으로 증착할 수 있다.

Claims (33)

  1. 처리 챔버 내의 기판 표면상에 도핑된 유전체층을 형성하는 방법으로서,
    상기 기판 표면의 주변부로부터 제 1 거리에서 상기 처리 챔버내에 유전 물질의 전구체를 포함하는 제 1 처리 가스를 주입하는 단계; 및
    상기 기판 표면의 주변부로부터 제 2 거리에서 상기 처리 챔버내에 도펀트 종을 함유하는 제 2 처리 가스를 주입하는 단계를 포함하며,
    상기 제 2 처리 가스는 상기 처리 챔버 내에서 상기 제 1 처리 가스와 반응하여 상기 기판 표면에 도핑된 유전체층을 증착하며,
    상기 기판 표면상에 실질적으로 균일하게 도펀트 종이 분포되도록 상기 제 2 거리는 상기 제 1 거리와 실질적으로 동일하거나 작아 상기 기판상에 안정한 도핑된 유전체층을 증착하는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  2. 제 1 항에 있어서, 상기 도핑된 유전체층은 도핑된 실리케이트 글래스 층을 포함하는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  3. 제 2 항에 있어서, 상기 도핑된 실리케이트 글래스 층은 할로겐-도핑된 실리케이트 글래스 층을 포함하는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  4. 제 3 항에 있어서, 상기 할로겐-도핑된 실리케이트 글래스 층은 불소 도핑된 실리케이트 글래스 층을 포함하는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  5. 제 4 항에 있어서, 상기 제 2 처리 가스는 SiF4, SiH2F2 및 NF3로 이루어진 그룹에서 선택되는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  6. 제 2 항에 있어서, 상기 도핑된 실리케이트 글래스 층은 포스포실리케이트 글래스 층을 포함하는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  7. 제 6 항에 있어서, 상기 제 2 처리 가스는 PH3, (CH3O)3P 및 미리혼합된 PH3와 SiH4로 이루어진 그룹에서 선택되는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  8. 제 2 항에 있어서, 상기 도핑된 실리케이트 글래스 층은 보로실리케이트 글래스 층을 포함하는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  9. 제 8 항에 있어서, 상기 제 2 처리 가스는 BF3, B2H6 및 (CH3O)3B로 이루어진 그룹에서 선택되는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  10. 제 1 항에 있어서, 상기 제 1 처리 가스는 SiH4, SiCl4, SiCH6 및 SiC3H10로 이루어진 그룹에서 선택되는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  11. 제 10 항에 있어서, 상기 제 2 처리 가스는 AsH3, PH3, GeH4 및 하이드로카본으로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  12. 제 1 항에 있어서, 상기 제 1 처리 가스는 갈륨 함유 가스를 포함하는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  13. 제 12 항에 있어서, 상기 갈륨 함유 가스는 GaH3를 포함하고 상기 제 2 처리 가스는 AsH3 및 PH3로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  14. 제 1 항에 있어서, 상기 제 1 화학 제품은 실질적으로 상기 제 1 거리에서 상기 기판 표면의 주변부 둘레에 이격된 다수의 위치에서 주입되는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  15. 제 14 항에 있어서, 상기 다수의 위치는 상기 기판 표면의 주변부 둘레에 실질적으로 균일하게 이격되어 배치되는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  16. 제 1 항에 있어서, 상기 제 2 화학 제품은 실질적으로 상기 제 2 거리에서 상기 기판의 표면의 주변부 둘레에 이격되어 배치된 다수의 위치에서 주입되는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  17. 제 16 항에 있어서, 상기 다수의 위치는 상기 기판 표면의 주변부 둘레에 실질적으로 균일하게 이격되어 배치되는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  18. 제 1 항에 있어서, 상기 제 1 화학 제품은 상기 기판 표면의 주변부 둘레에 이격되어 배치된 다수의 제 1 위치에서 주입되고 상기 제 2 화학 제품은 상기 다수의 제 1 위치중에 삽입되어 배치된 다수의 제 2 위치에서 주입되는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  19. 제 1 항에 있어서, 상기 제 1 화학 제품은 다수의 제 1 위치에서 주입되고 상기 제 2 화학 제품은 상기 기판 표면의 주변부 둘레에 이격되어 배치된 다수의 제 2 위치에서 주입되며, 상기 다수의 제 2 위치는 상기 다수의 제 1 위치와 동일하거나 많은 개수를 가지는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  20. 제 1 항에 있어서, 상기 제 1 화학 제품은 다수의 제 1 위치에서 주입되고 상기 제 2 화학 제품은 상기 기판 표면의 주변부 둘레에 이격되어 배치된 다수의 제 2 위치에서 주입되며, 상기 다수의 제 1 위치 및 다수의 제 2 위치는 상기 기판 표면상에서 실질적으로 균일한 분포의 원하는 화학적 조성을 가지는 박막을 형성하도록 선택되는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  21. 제 1 항에 있어서, 상기 제 1 거리 및 제 2 거리는 상기 기판 표면상에서 실질적으로 균일한 분포의 원하는 화학적 조성을 가지는 박막을 형성하도록 선택되는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  22. 제 1 항에 있어서, 상기 제 1 거리는 약 1.75인치 내지 약 3.5인치 사이의 범위에 속하는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  23. 제 22 항에 있어서, 상기 제 1 거리는 약 2.75인치 내지 약 3.25인치 사이의 범위에 속하는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  24. 제 1 항에 있어서, 상기 제 2 거리는 약 1.75인치 내지 약 3.5인치 사이의 범위에 속하는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  25. 제 24 항에 있어서, 상기 제 2 거리는 약 1.75인치 내지 약 2.25인치 사이의 범위에 속하는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  26. 제 1 항에 있어서, 상기 제 1 화학 제품 및 제 2 화학 제품은 상기 기판 표면에 실질적으로 평행한 방향으로 주입되는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  27. 제 26 항에 있어서, 상기 제 1 화학 제품 및 제 2 화학 제품은 실질적으로 하나의 평면에 놓인 방향으로 주입되는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  28. 제 27 항에 있어서, 상기 평면은 약 1.5인치 내지 약 2.0인치 사이의 거리만큼 상기 기판 표면 상부에 수직적으로 이격되어 배치되는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  29. 처리 챔버 내의 기판 표면상에 도핑된 유전체층을 형성하기 위한 방법으로서,
    상기 기판 표면의 주변부로부터 제 1 거리에서 상기 처리 챔버 내에 유전 물질의 전구체를 포함하는 제 1 처리 가스를 주입하는 단계; 및
    상기 기판 표면의 주변부로부터 제 2 거리에서 상기 처리 챔버 내에 불소 도펀트 종을 함유하는 제 2 처리 가스를 주입하는 단계를 포함하며,
    상기 제 2 처리 가스는 상기 처리 챔버 내에서 상기 제 1 처리 가스와 반응하여 상기 기판 표면상에 불소 함유 유전체층을 증착하며, 상기 제 2 거리는 상기 제 1 거리와 실질적으로 동일하거나 작은 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  30. 제 29 항에 있어서, 상기 제 2 처리 가스는 SiF4 및 SiH2F2로 이루어진 그룹에서 선택되는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  31. 제 29 항에 있어서, 상기 제 1 거리는 약 1.75인치 내지 약 3.5인치 사이의 범위에 속하고 상기 제 2 거리는 약 1.75인치 내지 약 3.5 인치 사이의 범위에 속하는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  32. 제 31 항에 있어서, 상기 제 1 거리는 약 2.75인치 내지 약 3.25인치 사이의 범위에 속하고 상기 제 2 거리는 약 1.75인치 내지 약 2.25 인치 사이의 범위에 속하는 것을 특징으로 하는 도핑된 유전체층 형성 방법.
  33. 하우징에 의해 한정된 챔버 내에 배치된 기판 표면상에 박막을 형성하는 장치로서,
    상기 기판 표면의 주변부로부터 실질적으로 제 1 거리에서 유전 물질의 전구체를 함유하는 제 1 화학 제품을 주입하기 위하여 상기 챔버 내부로 연장되는 다수의 제 1 노즐; 및
    상기 기판 표면의 주변부로부터 실질적으로 제 2 거리에서 도펀트 종을 함유하는 제 2 화학 제품을 주입하기 위하여 상기 챔버 내부로 연장되는 다수의 제 2 노즐을 포함하며, 상기 제 2 거리는 상기 제 1 거리와 실질적으로 동일하거나 작은 것을 특징으로 하는 박막 형성 장치.
KR1020000043368A 1999-07-27 2000-07-27 안정한 불소-도핑 실리케이트 글래스 및 다른 박막을 형성하기 위한 처리 가스 분산 방법 및 장치 KR100641966B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/361,682 1999-07-27
US09/361,682 US6383954B1 (en) 1999-07-27 1999-07-27 Process gas distribution for forming stable fluorine-doped silicate glass and other films
US9/361,682 1999-07-27

Publications (2)

Publication Number Publication Date
KR20010049906A KR20010049906A (ko) 2001-06-15
KR100641966B1 true KR100641966B1 (ko) 2006-11-02

Family

ID=23423046

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000043368A KR100641966B1 (ko) 1999-07-27 2000-07-27 안정한 불소-도핑 실리케이트 글래스 및 다른 박막을 형성하기 위한 처리 가스 분산 방법 및 장치

Country Status (6)

Country Link
US (1) US6383954B1 (ko)
EP (1) EP1073108B1 (ko)
JP (1) JP4590071B2 (ko)
KR (1) KR100641966B1 (ko)
DE (1) DE60038267T2 (ko)
TW (1) TW471021B (ko)

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6667248B2 (en) 2001-09-05 2003-12-23 Applied Materials Inc. Low-bias-deposited high-density-plasma chemical-vapor-deposition silicate glass layers
US6682603B2 (en) * 2002-05-07 2004-01-27 Applied Materials Inc. Substrate support with extended radio frequency electrode upper surface
WO2004095530A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited Adjoining adjacent coatings on an element
US7740704B2 (en) * 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
KR100986389B1 (ko) * 2004-11-17 2010-10-08 현대자동차주식회사 족동식 파킹브레이크 장치
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US7271110B2 (en) * 2005-01-05 2007-09-18 Chartered Semiconductor Manufacturing, Ltd. High density plasma and bias RF power process to make stable FSG with less free F and SiN with less H to enhance the FSG/SiN integration reliability
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US8153348B2 (en) * 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120171797A1 (en) * 2010-12-08 2012-07-05 Applied Materials, Inc. Seasoning of deposition chamber for dopant profile control in led film stacks
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CN205177785U (zh) * 2013-03-14 2016-04-20 应用材料公司 处理腔室及用于将热线源耦接至该处理腔室的装置
WO2014165669A2 (en) * 2013-04-04 2014-10-09 Tokyo Electron Limited Pulsed gas plasma doping method and apparatus
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
WO2017051790A1 (ja) * 2015-09-24 2017-03-30 シャープ株式会社 蒸着源および蒸着装置並びに蒸着膜製造方法
CN111254417A (zh) * 2020-03-03 2020-06-09 长江存储科技有限责任公司 存储器制作装置及制作方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4062318A (en) * 1976-11-19 1977-12-13 Rca Corporation Apparatus for chemical vapor deposition
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
JPS6167769A (ja) 1984-09-07 1986-04-07 Canon Inc 成膜装置
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JP2717786B2 (ja) * 1987-07-07 1998-02-25 財団法人 半導体研究振興会 半導体結晶のエピタキシャル成長法及びその方法に用いる分子層エピタキシー装置
EP0307342B1 (de) 1987-07-10 1996-01-03 Hoechst Aktiengesellschaft 3-Desmethyl-mevalonsäurederivate, Verfahren zu ihrer Herstellung, pharmazeutische Präparate auf Basis dieser Verbindungen, ihre Verwendung sowie Zwischenprodukte
JPH01293632A (ja) * 1988-05-23 1989-11-27 Nec Corp 半導体装置
FR2653633B1 (fr) 1989-10-19 1991-12-20 Commissariat Energie Atomique Dispositif de traitement chimique assiste par un plasma de diffusion.
JPH03203317A (ja) 1989-12-29 1991-09-05 Matsushita Electric Ind Co Ltd プラズマ処理装置
US5269847A (en) 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
US5273609A (en) 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
JPH05148634A (ja) 1991-11-22 1993-06-15 Nec Corp スパツタリング装置
JP3166379B2 (ja) * 1993-02-16 2001-05-14 富士電機株式会社 絶縁膜の製造方法および製造装置
US5494522A (en) 1993-03-17 1996-02-27 Tokyo Electron Limited Plasma process system and method
CH687258A5 (de) 1993-04-22 1996-10-31 Balzers Hochvakuum Gaseinlassanordnung.
JPH07142394A (ja) 1993-11-12 1995-06-02 Sony Corp Cvd方法及びcvd装置
TW293983B (ko) 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
JP3243125B2 (ja) * 1994-06-27 2002-01-07 東京エレクトロン株式会社 処理装置
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
JP3468859B2 (ja) 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing

Also Published As

Publication number Publication date
TW471021B (en) 2002-01-01
EP1073108B1 (en) 2008-03-12
JP4590071B2 (ja) 2010-12-01
DE60038267D1 (de) 2008-04-24
DE60038267T2 (de) 2009-04-30
US6383954B1 (en) 2002-05-07
KR20010049906A (ko) 2001-06-15
JP2001102372A (ja) 2001-04-13
EP1073108A1 (en) 2001-01-31

Similar Documents

Publication Publication Date Title
KR100641966B1 (ko) 안정한 불소-도핑 실리케이트 글래스 및 다른 박막을 형성하기 위한 처리 가스 분산 방법 및 장치
TWI736840B (zh) 基底處理方法
US7392759B2 (en) Remote plasma apparatus for processing substrate with two types of gases
US7758698B2 (en) Dual top gas feed through distributor for high density plasma chamber
JP4881873B2 (ja) 改良された過渡的気相堆積のためのガス分配システム
KR100870853B1 (ko) 플라즈마 공정용 플라즈마 전하의 손상을 감소시키는 방법
US6015591A (en) Deposition method
US20080121177A1 (en) Dual top gas feed through distributor for high density plasma chamber
US20050252447A1 (en) Gas blocker plate for improved deposition
US7510624B2 (en) Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
EP0877410A1 (en) Deposition chamber and method for depositing low dielectric constant films
US6521302B1 (en) Method of reducing plasma-induced damage
US20060096540A1 (en) Apparatus to manufacture semiconductor
TWI455238B (zh) Hdp-cvd應用之高輪廓極小接觸的處理套組
US20030203637A1 (en) Method for high aspect ratio HDP CVD gapfill
KR20130139651A (ko) 박막 제조방법 및 기판 처리 장치
JP4087923B2 (ja) 堆積チャンバ及び低誘電性膜のための方法
KR101171127B1 (ko) 반도체 처리에서의 미세오염물을 감소시키는 방법
KR20030086056A (ko) 반도체 소자 제조용 원자층 증착장치 및 원자층 증착 방법
US20230416917A1 (en) Substrate processing apparatus
KR102208609B1 (ko) 화학 기상 증착용 샤워 헤드 및 이를 구비한 증착 장치
KR20040110860A (ko) 화학 기상 증착 장치의 세정 방법
KR200379260Y1 (ko) 반도체 프로세싱용 가스 운반 시스템
KR20080057835A (ko) 가스분사장치

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110929

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20120927

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee