KR100381959B1 - 테스트 포인트가 삽입된 반도체 집적회로 장치 - Google Patents

테스트 포인트가 삽입된 반도체 집적회로 장치 Download PDF

Info

Publication number
KR100381959B1
KR100381959B1 KR10-2000-0051126A KR20000051126A KR100381959B1 KR 100381959 B1 KR100381959 B1 KR 100381959B1 KR 20000051126 A KR20000051126 A KR 20000051126A KR 100381959 B1 KR100381959 B1 KR 100381959B1
Authority
KR
South Korea
Prior art keywords
data
scan
selection
integrated circuit
semiconductor integrated
Prior art date
Application number
KR10-2000-0051126A
Other languages
English (en)
Other versions
KR20020017646A (ko
Inventor
심규찬
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR10-2000-0051126A priority Critical patent/KR100381959B1/ko
Priority to US09/828,879 priority patent/US6573742B2/en
Priority to JP2001248259A priority patent/JP5139612B2/ja
Publication of KR20020017646A publication Critical patent/KR20020017646A/ko
Application granted granted Critical
Publication of KR100381959B1 publication Critical patent/KR100381959B1/ko

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318572Input/Output interfaces
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/26Testing of individual semiconductor devices
    • G01R31/27Testing of devices without physical removal from the circuit of which they form part, e.g. compensating for effects surrounding elements

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

본 발명에 의한 반도체 집적회로 장치는, 다수 개의 관측 포인트와 조절 포인트를 포함하는 논리 회로와, 논리 회로에 연결되어 있는 다수 개의 스캔 셀들, 상기 논리 회로로부터 제공되는 정규 데이터와 상기 하나 또는 그 이상의 관측 포인트로부터 제공되는 데이터 중 어느 하나를 선택하여 출력하기 위한 제 1 멀티플렉서, 그리고 상기 논리 회로로부터 제공되는 정규 데이터와 상기 스캔 셀들로부터 전송되는 스캔 데이터 중 어느 하나를 상기 조절 포인트로 출력하기 위한 제 2 멀티플렉서를 포함한다. 여기서, 상기 제 1 및 제 2 멀티플렉서의 출력을 선택하기 위한 선택 신호는 상기 반도체 집적회로 장치 내에 구비된 스캔 셀의 출력을 사용한다. 이와 같은 반도체 집적회로 장치는 이미 스캔 디자인 되어 있는 회로에 테스트 포인트를 삽입할 때, 상기 반도체 집적회로 장치 내에 구비되어 있는 기존의 스캔 셀들을 이용할 뿐만 아니라, 추가되는 핀의 개수를 최소화하기 때문에, 최소한의 오버헤드로 테스트 용이도를 최대로 향상시킬 수 있다.

Description

테스트 포인트가 삽입된 반도체 집적회로 장치{SEMICONDUCTOR INTEGRATED CIRCUIT BEING INSERTED TEST POINTS}
본 발명은 반도체 집적회로 장치의 테스트에 관한 것으로, 좀 더 구체적으로는 테스트 용이도는 최대한으로 개선하되, 입출력 단자 수의 증가에 의한 오버헤드를 최소화할 수 있는 반도체 집적회로 장치의 테스트 포인트 삽입 방법에 관한 것이다.
최근 들어 VLSI 회로(very large scale integrated circuit)에 대한 설계 기술 및 공정 기술이 급격히 발전함에 따라 회로의 집적도 및 복잡도 또한 크게 증가하고 있다. 설계 사양을 만족시켜 주는 완벽한 설계 못지 않게 완성된 시스템의 완벽한 동작을 보장해 줄 수 있는 테스트 또한 매우 중요한 문제가 되고 있다. 따라서, 완성된 VLSI 시스템의 동작 여부를 판단하는 테스트에 드는 비용 또한 크게 증가하고 있다.
근래에는 설계 단계부터 회로의 테스트를 쉽게 할 수 있는 기법으로서 테스트 용이도를 고려한 설계(Design for Testability ; DFT) 방법이 도입되어 사용되고 있다. 상기 DFT 방법은, 테스트를 쉽게 하기 위해 주어진 회로를 변형시키거나 여분의 하드웨어를 첨가시키는 방식으로서, 테스트 용이도(testability)의 주요 요소인 조절 용이도(controllability) 및 관측 용이도(observability)를 향상시키기 위해 테스트 포인트(test point)를 반도체 집적회로 장치 내에 삽입한다. 조절 용이도란, 회로의 주 입력(primary input) 값을 조절하여 회로 내의 특정 단자(예를 들어, 특정 게이트의 출력 단자)를 원하는 특정 신호로 변환시키는 데 있어서의 어려움의 정도를 말한다. 그리고, 관측 용이도는 회로의 주 입력 값의 조절을 통해서 회로 내의 특정 단자에 설정된 신호 값을 회로의 주 출력(primary output) 단자에서 관측하는 데 있어서의 어려움의 정도를 나타낸다. 이 두 가지 용이도의 조합에 의해 테스트 용이도가 정의된다.
일반적으로, 테스트 포인트(test point ; TP)는 크게 조절 포인트(control point ; CP)와 관측 포인트(observation point ; OP)로 구분된다. 여기서, 상기 조절 포인트(CP)는 조절 용이도를 향상시키는데 사용되는 주 입력 단자(primary input ; PI)이며, 관측 포인트(OP)는 관측 용이도를 향상시키는데 사용되는 주 출력 단자(primary output ; PO)이다.
테스트 포인트의 삽입 방법은, 테스트 포인트의 테스트 용이도를 향상시키기 위해 주 입력단자 또는 주 출력 단자로부터 직접 또는 간접으로 해당 노드의 억세스를 가능하게 한다. 잘 알려진 테스트 포인트 삽입 방법으로는, 핀(pin) 추가, 핀 공유, 전용 스캔 셀(dedicated scan cell)의 추가, 익스클루시브-OR 트리(Exclusive-OR tree), LFSR(Linear Feedback Shift Register)/MISR(Multiple Input Signature Register) 등이 사용되고 있다.
핀 추가를 통해 테스트 포인트를 삽입하는 방법은, 1999년 8월, N. A. Touba와 E. J. McCluskey에 의해 IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, Vol. 18, No. 8의 1202-1213쪽에 실린 논문 "RP-SYN: Synthesis of Random Pattern Testable Circuits with Test Point Insertion" 등에 개시되어 있고, 핀 공유를 통한 테스트 포인트 삽입 방법은 1999년 6월, B. Mathew와 D. G. Saab에 의해 IEEE Trans. on Computer-Aided Design of IntegratedCircuits and Systems, Vol. 18, No. 6의 685-696쪽에 실린 논문 "Combining Multiple DFT Schemes with Test Generation" 등에 개시되어 있다. 핀 공유 방법은, 핀 추가 방법에서 요구되는 추가 핀을 기존 회로의 핀과 공유해서 사용하는 방법으로서, 선택 신호의 개수 만큼만 핀이 추가되는 장점이 있으나, 공유 가능한 핀의 개수가 제한되어 있는 한계를 가지고 있다.
예를 들어, 조절 포인트(CP)를 삽입하는 경우, 공유 가능한 입력 핀의 개수가 적다면 여러 개의 조절 포인트(CP)들을 한 개의 입력 핀에 공유해서 제어할 수 있다. 그러나, 이렇게 하면 회로 전체의 테스트 용이도가 제대로 개선되지 않는 문제가 발생한다. 그리고, 관측 포인트(OP)를 삽입하는 경우, 관측 포인트(OP)의 개수가 공유 가능한 출력 핀의 개수 보다 많으면 2-to-1 멀티플렉서 대신 n-to-1 멀티플렉서(여기서, n은 3 이상의 정수)를 사용할 수 있다. 그러나, 이 경우 입력 핀을 할당해야 하는 선택 신호의 개수가 증가하는 문제가 발생한다.
전용 스캔 셀을 추가하는 테스트 포인트 삽입 방법은 1996년, M. A. Touba와 E. J. McCluskey에 의해 Proceedings of the 14th VLSI Test Symposium의 2-8쪽에 실린 논문 "Test Point Insertion Based on Path Tracing" 등에 개시되어 있다. 이 방법은 멀티플렉서, OR 게이트, AND 게이트 및 전용 스캔 셀 등을 이용해서 조절 포인트(CP)를 삽입한다. 이 때, 기존 스캔 체인의 길이는 테스트 포인트 개수(즉, 삽입된 전용 스캔 셀들의 개수) 만큼 늘어나게 된다. 따라서, 테스트 벡터(test vector)에서 스캔 쉬프트 동작(scan shift operation)에 필요한 시간이 늘어나게 되므로, 전체 테스트 시간이 상당히 증가하는 문제점을 가지고 있다. 뿐만 아니라,셀 추가로 인한 칩 면적의 증가와 소비 전력의 증가와 같은 문제점도 가지고 있다.
그리고, 익스클루시브-OR 트리를 이용한 테스트 포인트 삽입 방법은 1994년 8월, E. M. Rudnick 등에 의해 IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, Vol. 13, No. 8의 1051-1056쪽에 실린 논문 "An Observability Enhancement Approach for Improved Testability and At-Speed Test" 등에 개시되어 있다. 익스클루시브-OR 트리는 다수 개의 관측 포인트들을 익스클루시브-OR 게이트의 입력 단자에 연결한 후, 그 출력 단자들을 다른 익스클루시브-OR 게이트에 순차적으로 연결하여 하나의 출력 핀으로 출력될 때까지 트리(tree) 구조로 구성된다. 이 방법은, 관측 포인트의 개수가 많을 경우 여러 단계에 걸쳐 익스클루시브-OR 트리가 구성되어야 하므로, 게이트 수(gate count)가 증가하는 단점이 있다. 또한 고장 효과(fault effect)가 두 개 이상의 관측 포인트로부터 전달될 경우, 서로 상쇄되어 출력 핀에서 관측되지 않을 확률이 증가하게 된다. 따라서, 관측 용이도의 개선이 제대로 이루어지지 않을 수 있다. 뿐만 아니라, 추가된 익스클루시브-OR 게이트들은 그 속성상 ATPG(automatic test pattern generator)의 효율을 떨어뜨릴 수 있는 문제가 있다.
그리고, LFSR/MISR를 사용하는 테스트 포인트 삽입 방법은 1998년 12월, H-C. Tsai 등에 의해 IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, Vol. 6, No. 4의 67-676쪽에 실린 논문 "Efficient Test-Point Selection for Scan-Based BIST"과, 1998년 4월, Tamarapalli 등에 의해 취득된 U. S. Pat. No. 5,737,340, "MULTI-PHASE TEST POINT INSERTION FOR BUILT-IN SELFTEST OF INTEGRATED CIRCUITS" 등에 개시되어 있다. LFSR/MISR은 주로 BIST(Built-In Self Test) 회로에서 각각 패턴 발생기(Pattern Generator)와 응답 분석기(Response Analyzer)로 이용될 수 있을 뿐만 아니라, 테스트 포인트 삽입에도 이용될 수 있다. LFSR/MISR를 사용하는 테스트 포인트 삽입 방법은, 일반적으로 테스트 포인트의 개수가 많을 경우, 면적 오버헤드(area overhead)와 전력 소모가 증가하는 단점이 있다.
이와 같이, 반도체 집적회로 장치의 테스트 용이도를 높이기 위해 다양한 테스트 포인트 삽입 방법이 사용되고 있음에도 불구하고, 기존의 테스트 포인트 삽입 방법들은, 각각의 방법에 따라서 핀 오버헤드(pin overhead)의 증가, 추가 회로에 의한 면적 오버헤드(area overhead)의 증가, 회로의 전력 소비(power consumption) 증가, 테스트 시간(test time)의 증가 및 제한적인 테스트 용이도의 개선과 같은 단점을 가지고 있어, 이들 방법을 적용하는 데에는 어려움이 따르게 된다. 따라서, 테스트 포인트 삽입에 따른 성능 저하 및 소요 면적 증가 등 부가되는 오버헤드를 최소화 할 수 있는 새로운 테스트 포인트 삽입 방법이 요구된다.
따라서, 본 발명의 목적은 상술한 제반 문제점을 해결하기 위해 제안된 것으로, 테스트 용이도는 최대한으로 개선하되, 테스트 포인트 삽입에 따른 성능 저하 및 소요 면적 증가 등 부가되는 오버헤드를 최소화 할 수 있는 반도체 집적회로 장치를 제공하는데 있다.
도 1은 스캔 디자인이 되어 있는 반도체 집적회로 장치의 일반적인 구성을 를 보여주기 위한 도면;
도 2는 본 발명의 제 1 실시예에 의해 테스트 포인트가 삽입된 반도체 집적회로 장치의 구성을 보여주기 위한 도면;
도 3은 본 발명의 제 2 실시예에 의해 테스트 포인트가 삽입된 반도체 집적회로 장치의 구성을 보여주기 위한 도면; 그리고
도 4는 본 발명의 제 3 실시예에 의해 테스트 포인트가 삽입된 반도체 집적회로 장치의 구성을 보여주기 위한 도면.
*도면의 주요 부분에 대한 부호의 설명*
220, 320, 420 : 논리 회로 221, 321, 421 : 제 1 논리 블록
222, 322, 422 : 제 2 논리 블록 230, 330, 430 : 스캔 셀
240, 340, 440 : 제 1 멀티플렉서 250, 350, 450 : 제 2 멀티플렉서
360 : 전용 스캔 셀
상술한 바와 같은 본 발명의 목적을 달성하기 위한 본 발명의 특징에 의하면, 반도체 집적회로 장치는 적어도 하나의 관측 포인트를 가지며, 정규 데이터와 관측 데이터를 출력하되 상기 관측 데이터는 상기 관측 포인트에서 얻어지는 제 1 논리 회로와; 상기 제 1 논리 회로로부터 출력되는 정규 데이터 및 관측 데이터를 받아들이고, 제 1 선택 신호에 응답하여 입력된 정규 데이터와 관측 데이터 중 하나를 선택하는 제 1 선택 수단과; 상기 제 1 선택 수단에 의해서 선택된 데이터를 받아들이고, 스캔 쉬프트 동작을 통해 상기 입력된 데이터를 출력하는 적어도 하나의 스캔 셀을 갖는 데이터 전달 수단과; 상기 스캔 셀로부터 출력되는 데이터와 상기 제 1 논리 회로에서 출력되는 정규 데이터를 받아들이고, 제 2 선택 신호에 응답하여 입력된 데이터 중 어느 하나를 선택하는 제 2 선택 수단과; 그리고 상기 제 2 선택 수단에 의해서 선택된 데이터를 받아들이는 적어도 하나의 조절 포인트를 갖는 제 2 논리 회로를 포함한다.본 발명의 다른 특징에 따르면, 반도체 집적회로 장치는 적어도 하나의 관측 포인트를 가지며, 정규 데이터와 관측 데이터를 출력하되 상기 관측 데이터는 상기 관측 포인트에서 얻어지는 제 1 논리 회로와; 상기 제 1 논리 회로로부터 출력되는 정규 데이터 및 관측 데이터를 받아들이고, 제 1 선택 신호에 응답하여 입력된 정규데이터와 관측 데이터 중 하나를 선택하는 제 1 선택 수단과; 상기 제 1 선택 수단에 의해서 선택된 데이터를 받아들이고, 스캔 쉬프트 동작을 통해 상기 입력된 데이터를 출력하는 적어도 하나의 스캔 셀을 갖는 데이터 전달 수단과; 상기 스캔 셀로부터 출력되는 데이터와 상기 제 1 논리 회로에서 출력되는 정규 데이터를 받아들이고, 제 2 선택 신호에 응답하여 입력된 데이터 중 어느 하나를 선택하는 제 2 선택 수단과; 상기 제 2 선택 수단에 의해서 선택된 데이터를 받아들이는 적어도 하나의 조절 포인트를 갖는 제 2 논리 회로와; 그리고 상기 데이터 전달 수단의 스캔 셀에 직렬 연결되는 복수의 스캔 셀들을 가지며, 상기 데이터 전달 수단의 스캔 셀의 출력에 응답하여 상기 제 1 및 제 2 선택 신호들을 출력하는 선택 신호 발생 수단을 포함한다.
(실시예)
이하 본 발명에 따른 실시예를 첨부된 도면 도 1 내지 도 4를 참조하여 상세히 설명한다.
본 발명의 신규한 반도체 집적회로 장치는, 다수 개의 관측 포인트와 조절 포인트를 포함하는 논리 회로와, 논리 회로에 연결되어 있는 다수 개의 스캔 셀들, 상기 논리 회로로부터 제공되는 정규 데이터와 상기 하나 또는 그 이상의 관측 포인트로부터 제공되는 데이터 중 어느 하나를 선택하여 출력하기 위한 제 1 멀티플렉서, 그리고 상기 논리 회로로부터 제공되는 정규 데이터와 상기 스캔 셀들로부터 전송되는 스캔 데이터 중 어느 하나를 상기 조절 포인트로 출력하기 위한 제 2 멀티플렉서를 포함한다. 여기서, 상기 반도체 집적회로 장치 내에 구비되어 있는 스캔 셀의 출력은 상기 제 1 및 제 2 멀티플렉서의 선택 신호로서 사용된다. 그 결과, 상기 반도체 집적회로 장치의 테스트 용이도는 최대한으로 개선됨과 동시에, 추가되는 핀의 개수가 줄어들어 테스트 포인트 삽입에 따른 오버헤드가 최소화된다.
도 1은 스캔 디자인이 되어 있는 회로의 일 예를 보여주기 위한 도면이다. 도면을 참조하면, 스캔 디자인이 되어 있는 반도체 집적 회로 장치(100)는, 제 1 및 제 2 논리 블록(121, 122)을 포함하는 논리 회로(120)와, 다수 개의 스캔 셀을 포함하는 스캔 셀 유닛(130)을 포함한다. 제 1 논리 블록(121)에는 다수 개(예를 들면, n-1개)의 관측 포인트들(OP1-OPn-1)의 삽입이 요구되고, 제 2 논리 블록(122)에는 하나의 조절 포인트(CP)의 삽입이 요구된다. 도면에서 상기 논리 회로(120)는, 삽입되는 테스트 포인트의 종류에 따라서 제 1 및 제 2 논리 블록(121, 122)으로 각각 구분되어 있다. 그러나, 이는 설명의 편의를 위해 구분한 것일 뿐, 실제 회로 상에서는 개별적인 소자로 구성되어 있지 않을 수도 있다. 그리고, 상기 제 2 논리 블록(122)은 하나의 조절 포인트(CP)만을 포함하고 있으나, 회로의 설계에 따라서 다수 개의 조절 포인트들을 포함할 수 있다. 상기 스캔 셀 유닛(130)은, 제 1 논리 블록(121)의 출력(DATA) 및 외부로부터 입력되는 스캔 데이터(SCAN_IN)를 받아들여 정규 동작(normal operation) 및 스캔 동작(scan operation)을 수행한다. 이와 같은 구성을 가지는 회로에 테스트 포인트를 삽입하는 실시예들을 제 2도 내지 제 4도를 참조하여 설명한다.
도 2는 본 발명의 제 1 실시예에 의해 테스트 포인트가 삽입된 반도체 집적회로 장치의 구성을 보여주기 위한 도면이다. 도 2를 참조하면, 본 발명의 제 1 실시예에 의한 반도체 집적회로 장치(200)는 도 1에 도시된 스캔 셀 유닛(130)과 같이 반도체 집적 회로 장치 내에 이미 구비되어 있는 스캔 셀들을 이용하여 테스트 포인트를 삽입한다. 이를 위해서, 본 발명에 의한 반도체 집적회로 장치(200)는, 도 1에 도시된 반도체 집적 회로 장치(100)와 동일한 구성을 가지는 제 1 및 제 2 논리 블록(221, 222)과 스캔 셀 유닛(230) 외에, 제 1 및 제 2 멀티플렉서(240, 250)를 더욱 포함한다. 도면에서, 상기 스캔 셀 유닛(230)에는 스캔 셀 하나만 도시되어 있으나, 이는 테스트 포인트 삽입을 위해 사용될 수 있는 다수 개의 스캔 셀들 중 하나의 스캔 셀 만을 대표로 하여 도시한 것에 불과하다.
먼저, 관측 포인트(OP) 삽입을 위한 회로의 구성을 살펴보면 다음과 같다.도면을 참조하면, 상기 논리 회로(220)의 제 1 논리 블록(221)으로부터 출력되는 정규 데이터(original data ; DATA)와, 제 1 논리 블록(221)에 구비된 다수 개의 관측 포인트들(OP1-OPn-1)로부터 제공되는 다수개의 데이터들은 제 1 멀티플렉서(240)에 구비된 n 개의 입력 단자에 연결되고, 상기 제 1 멀티플렉서(240)의 출력은 상기 스캔 셀 유닛(230)에 구비된 스캔 셀들 중 어느 하나의 데이터 입력 단자(D)에 연결된다. 여기서, 상기 제 1 멀티플렉서(240)의 출력을 선택하기 위한 m 개의 관측 포인트 선택 신호(OP_SEL)(m=log2(n) for n=2k,for n≠2k, n≥2, n, k는 정수)들은 반도체 집적회로 장치(200)에 구비된 입력 핀을 통해서 입력된다. 상기 제 1 멀티플렉서(240)에서 선택된 데이터는 스캔 셀 유닛(230)에 구비된 임의의 스캔 셀의 데이터 입력(D)에서 캡춰된 후, 스캔 쉬프트 동작(scan shift operation)을 통해 관측된다. 여기서, 상기 관측 포인트 선택 신호(OP_SEL)는, 반도체 집적회로 장치(200)가 정규 동작(normal operation)을 수행하는 경우 정규 데이터(DATA)가 선택되도록 세팅된다.
상기 회로(200)에서, 관측 포인트(OP)들의 삽입을 위해 사용되는 멀티플렉서(240)의 개수 및 크기는 회로 내에 이용 가능한 스캔 셀의 개수에 따라 좌우된다. 예를 들어, 이용 가능한 스캔 셀의 개수가 충분히 많은 경우, 상기 멀티플렉서(240)는 하나의 관측 포인트 선택 신호(OP_SEL)를 사용하는 다수 개의 2-to-1 멀티플렉서로 구성된다. 그리고, 만약 이용 가능한 스캔 셀의 개수가 충분치 않은 경우, m 개의 관측 포인트 선택 신호(OP_SEL)를 사용하는 n-to-1 멀티플렉서(n은 3 이상)가 사용된다.
이어서, 조절 포인트(CP) 삽입을 위한 회로의 구성을 살펴보면 다음과 같다. 상기 회로 (200)에 도시된 논리 회로(220)로부터 출력되는 정규 데이터(DATA')와, 회로 내 구비된 임의의 스캔 셀로부터 출력되는 테스트 데이터(SCAN_OUT)는 제 2 멀티플렉서(250)의 입력 단자에 연결되고, 그리고 상기 멀티플렉서(250)의 출력은 논리 회로(220)의 제 2 논리 블록(222)에 구비된 조절 포인트(CP)에 연결된다. 이와 같이, 조절 포인트(CP)에 신호를 전달하기 위한 제 2 멀티플렉서(250)는, 상기 반도체 집적회로 장치(200)의 입력 핀으로부터 제공되는 조절 포인트 선택 신호(CP_SEL)에 응답해서 상기 정규 데이터(DATA') 또는 테스트 데이터(SCAN_OUT)를 선택하여 출력하기 위한 2-to-1 멀티플렉서로 구성된다. 여기서, 상기 조절 포인트 선택 신호(CP_SEL)는, 반도체 집적회로 장치(200)가 정규 동작(normal operation)을 수행하는 경우 정규 데이터(DATA')가 선택되도록 세팅된다.
상기 회로(200)에서, 조절 포인트(CP)들의 삽입을 위해 사용되는 스캔 셀과 제 2 멀티플렉서(250)의 개수는 회로 내에 구비된 조절 포인트(CP)들의 개수에 따라 좌우된다. 예를 들어, 회로 내에 구비된 조절 포인트(CP)들의 개수가 n 개인 경우, n 개의 스캔 셀들과 2-to-1 멀티플렉서들이 n 개의 조절 포인트들의 삽입에 사용되며, 이 때의 조절 포인트 선택 신호(CP_SEL)들은 하나의 선택 신호로 공유되어 사용된다.
이와 같은 반도체 집적회로 장치(200)에 있어서, 테스트 포인트의 삽입을 위해 추가되는 핀의 개수는 관측 포인트 제어 신호(OP_SEL)와 조절 포인트 제어 신호(CP_SEL) 개수의 합과 같으며, 최소한 2개 이상의 핀이 추가된다. 그리고, 관측 포인트(OP)의 개수가 이용 가능한 스캔 셀의 개수 보다 작을 경우, 추가되는 핀의 개수는 테스트 포인트 개수와 상관없이 관측 포인트 제어 신호(OP_SEL) 1 개와 조절 포인트 제어 신호(CP_SEL) 1개(즉, 총 2개)로 고정된다. 따라서, 본 발명의 제 1 실시예에 의한 테스트 포인트 삽입 방법은, 기존의 테스트 포인트 삽입 방법들에 비해 매우 적은 오버헤드를 갖게 된다. 뿐만 아니라, 상기 방법에 사용되는 멀티플렉서들(240, 250)은 다른 방법들에 비해 적은 양의 게이트 수를 필요로 하기 때문에, 회로 내에 추가되는 면적이 매우 적다. 그리고, 본 발명에 의한 반도체 집적회로 장치(200)는 회로 내에 구비되어 있는 기존의 스캔 셀들을 사용하기 때문에 스위칭 소자가 전혀 추가되지 않으므로, 전력 소비의 증가가 거의 없는 장점을 가진다. 더 나아가 테스트 용이도(testability)의 측면에 있어서, 관측 용이도(observability)가 완벽하게 개선될 뿐만 아니라, 사용된 스캔 셀의 스캔 출력 단자(Q)에서 재수렴 팬-아웃(reconvergent fan-out)이 발생하지 않는 한 조절 용이도(controllability) 역시 완벽하게 개선된다.
도 3은 본 발명의 제 2 실시예에 의해 테스트 포인트가 삽입된 반도체 집적회로 장치의 구성을 보여주기 위한 도면이다. 도 3에 도시된 반도체 집적회로 장치(300)는 도 2에 도시된 반도체 집적회로 장치(200)에 추가되는 핀의 개수를 더욱 줄일 수 있는 구성을 가진다. 이 실시예에서는, 제 1 및 제 2 멀티플렉서(340, 350)의 선택 신호들을 제공하기 위해서 전용 스캔 셀들(dedicated scan cell ; 360) (이는 선택 신호 발생 수단을 구성한다)이 삽입되며, 삽입된 전용 스캔 셀들(360)은 스캔 셀 유닛(330)에 포함되어 있는 기존의 스캔 셀들에 연결된다. 여기서, 도면에 도시된 상기 스캔 셀 유닛(330)은 하나의 스캔 셀만으로 구성되지 않고, 직렬로 연결된 다수 개의 스캔 셀들을 포함하며, 도면에 도시된 상기 스캔 셀 유닛(330)은 이를 간략하게 나타낸 것이다.
도면에 도시된 바와 같이, 삽입된 전용 스캔 셀들(360)은 리셋 기능이 있는 스캔 셀들로서, 각각의 스캔 셀들에 인가되는 리셋 신호(RESET)는 공통으로 하나의 입력 핀으로부터 공급된다. 이와 같은 구성을 가지는 반도체 집적회로 장치(300)의 전용 스캔 셀(360)의 삽입에 있어서, 만약 리셋 기능이 없는 스캔 셀이 삽입되는 경우, 각각의 스캔 셀들의 데이터 입력 단자를 2-입력 AND 게이트의 한 입력 단자에 연결하고, 리셋 신호(RESET)를 다른 하나의 입력 단자에 연결한 후, AND 게이트의 출력 신호를 제 1 및 제 2 멀티플렉서(340, 350)의 선택 신호로서 출력하면 도 3에 도시된 리셋 기능을 가진 전용 스캔 셀들(360)과 동일한 동작을 수행하게 된다.
상기 리셋 신호(RESET)는 상기 반도체 집적회로 장치(300)가 정규 동작을 수행할 때 정규 데이터(DATA, DATA')가 선택될 수 있도록 세팅된다. 예를 들어, 리셋 신호(RESET)가 0으로 세팅되면 모든 전용 셀들(360)의 데이터 출력들은 0으로 리셋 되고, 리셋된 전용 셀들(360)의 데이터 출력에 응답해서 제 1 및 제 2 멀티플렉서들(340, 350)에서 정규 데이터(DATA, DATA')가 선택되어 출력된다. 그리고, 리셋 신호(RESET)가 1로 세팅되면 스캔 동작에 의해 전용 셀들(360) 각각으로부터 출력되는 데이터가 관측 포인트(OP1-OPn-1) 및 조절 포인트(CP)를 선택하기 위한 선택 신호로서 제 1 및 제 2 멀티플렉서(340, 350)로 제공된다. 여기서, 상기 리셋 신호(RESET)는, 상기 회로(300)에 정규 모드로 동작할 것인지 또는 테스트 모드로 동작할 것인지를 선택하기 위한 테스트 모드 신호가 존재하는 경우, 별도의 입력 핀을 필요로 하지 않는다. 예를 들어, 상기 회로(300) 내에 입력 핀으로부터 공급되는 테스트 모드 신호가 존재하고, 테스트 모드 신호가 1일 때 스캔 테스트 모드(scan test mode)가 되고, 0일 때 정규 동작 모드가 된다고 가정할 때, 이 테스트 모드 신호를 전용 셀들(360)을 위한 리셋 신호(RESET)로서 사용한다면, 상기 회로는 앞에서 설명한 회로의 동작과 동일한 동작을 수행하게 된다. 따라서, 이와 같은 경우, 리셋 신호(RESET)를 위한 별도의 입력 핀이 할당되지 않아도 된다. 일반적으로, 대부분의 회로에는 1개 이상의 테스트 모드 선택 핀이 구비되어 있기 때문에, 리셋 신호(RESET)를 위한 입력 핀의 추가는 사실상 불필요하다.
따라서, 이와 같은 테스트 포인트의 삽입 방법은, 도 2에 도시된 방법에 비해 최소 2개에서 최대 m+1(여기서, m=log2(n) for n=2k,for n≠2k, n≥2, n, k는 정수)개의 스캔 셀(360)이 추가되기 때문에 약간의 면적 증가와 전력 소비 증가가 발생하지만, 이는 무시할 수 있는 정도의 것에 불과하며, 본 발명의 제 2 실시예에 의한 테스트 포인트 삽입 방법은 도 2에 도시된 본 발명의 제 1 실시예에 의한 테스트 포인트 삽입 방법에 비해 추가도 요구되는 핀의 개수가존재하지 않으므로, 핀 추가에 의한 오버헤드를 더욱 줄일 수 있다.
도 4는 본 발명의 제 3 실시예에 의해 테스트 포인트가 삽입된 반도체 집적회로 장치의 구성을 보여주기 위한 도면이다. 도 4에 도시된 반도체 집적회로 장치(400)는, 도 2에 도시된 반도체 집적회로 장치(200)에서 필요로 하는 핀의 개수를 더욱 줄일 수 있을 뿐만 아니라, 도 3에 도시된 반도체 집적회로 장치(300)에 사용된 전용 스캔 셀들(360) 대신 기존 회로에 구비되어 있는 스캔 셀들(430) 중 일부의 스캔 셀들(432)을 이용하여 테스트 포인트를 삽입한다. 따라서, 추가되는 입력 핀은 물론 추가되는 스캔 셀이 존재하지 않게 되므로, 제 1 및 제 2 실시예에 의한 테스트 포인트 삽입 방법이 가지고 있는 장점들을 모두 가질 수 있다.
도 4를 참조하면, 본 발명에 의한 반도체 집적회로 장치(400)는 본래의 스캔 동작을 수행하기 위한 제 1 스캔 셀 블록(431)과, 본래의 스캔 동작은 물론 관측 포인트(OP)와 조절 포인트(CP)와 같은 테스트 포인트를 삽입하는데 사용하기 위한 제 2 스캔 셀 블록(432)으로 구성된 스캔 셀 유닛(430)을 포함한다. 도면에 제 1 및 제 2 스캔 셀 블록들(431, 432)은, 상기 블록들(431, 432)을 구성하고 있는 다수 개의 스캔 셀들 중 일부만을 간략하게 도시하고 있다.
제 2 스캔 셀 블록(432)을 구성하는 각각의 스캔 셀들(432_1, 432_2, …, 432_m, 432_m+1)의 데이터 입력 단자(D)는 2-입력 AND 게이트에 구비된 입력 단자 중 어느 하나에 연결되고, AND 게이트의 다른 하나의 입력 단자는 리셋 신호(RESET)와 연결된다. 그리고, AND 게이트의 출력은 제 1 및 제 2 멀티플렉서(440, 450)를 위한 선택 신호로서 출력된다. 상기 도면에는 리셋 기능이 없는 스캔 셀들(432_1, 432_2, …, 432_m, 432_m+1)이 도시되어 있다. 그러나, 이들은 상기 회로(400)에 구비되어 있는 스캔 셀 유닛(430)에 포함되어 있는 스캔 셀들의 종류에 따라서 리셋 기능을 가질 수도 있고, 리셋 기능을 가지지 않을 수도 있다.
도 4에 도시된 회로에 있어서, 리셋 신호(RESET)는 반도체 집적회로 장치(400)가 정규 동작을 수행할 때 정규 데이터(DATA, DATA')가 선택될 수 있도록 세팅된다. 예를 들어, 리셋 신호(RESET)가 0으로 세팅되면 상기 회로(400)가 정규 동작을 수행할 수 있도록 상기 제 2 스캔 셀 블록(432)에 구비된 스캔 셀들(432_1, …, 432_m+1)의 데이터 출력들이 0으로 리셋 되어 제 1 및 제 2 멀티플렉서들(440, 450)의 입력들 중 정규 데이터(DATA, DATA')가 선택된다. 그리고, 리셋 신호(RESET)가 1로 세팅되면 스캔 동작이 수행되고, 스캔 동작에 의한 상기 스캔 셀들(432_1, …, 432_m+1)의 출력 데이터는 관측 포인트(OP1-OPn-1) 및 조절 포인트(CP)를 선택하기 위한 선택 신호로서 제 1 및 제 2 멀티플렉서(440, 450)로 제공된다. 여기서, 리셋 신호(RESET)는 회로에 테스트 모드 신호가 존재하는 경우, 별도의 입력 핀을 필요로 하지 않게 된다. 따라서, 본 발명의 제 3 실시예에 의한 상기 회로(400)는 도 2 및 도 3에 도시된 본 발명의 제 1 및 제 2 실시예에 의한 회로(200, 300)의 장점, 즉 전용 셀을 추가하지 않고 테스트 포인트를 삽입할 수 있는 장점과, 테스트 포인트의 삽입시 추가되는 핀의 개수를 줄일 수 있는 장점을 모두 가진다.
이상에서, 본 발명에 따른 회로의 구성 및 동작을 상기한 설명 및 도면에 따라 도시하였지만 이는 예를 들어 설명한 것에 불과하며 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 다양한 변화 및 변경이 가능함은 물론이다.
이상과 같은 본 발명에 의하면, 테스트 용이도를 최대한으로 개선할 수 있을 뿐만 아니라, 테스트 포인트 삽입에 따른 성능 저하 및 소요 면적 증가 등 부가되는 오버헤드를 최소화 할 수 있다.

Claims (15)

  1. 반도체 집적회로 장치에 있어서:
    적어도 하나의 관측 포인트를 가지며, 정규 데이터와 관측 데이터를 출력하되, 상기 관측 데이터는 상기 관측 포인트에서 얻어지는 제 1 논리 회로와;
    상기 제 1 논리 회로로부터 출력되는 정규 데이터 및 관측 데이터를 받아들이고, 제 1 선택 신호에 응답하여 입력된 정규 데이터와 관측 데이터 중 하나를 선택하는 제 1 선택 수단과;
    상기 제 1 선택 수단에 의해서 선택된 데이터를 받아들이고, 스캔 쉬프트 동작을 통해 상기 입력된 데이터를 출력하는 적어도 하나의 스캔 셀을 갖는 데이터 전달 수단과;
    상기 스캔 셀로부터 출력되는 데이터와 상기 제 1 논리 회로에서 출력되는 정규 데이터를 받아들이고, 제 2 선택 신호에 응답하여 입력된 데이터 중 어느 하나를 선택하는 제 2 선택 수단과; 그리고
    상기 제 2 선택 수단에 의해서 선택된 데이터를 받아들이는 적어도 하나의 조절 포인트를 갖는 제 2 논리 회로를 포함하는 것을 특징으로 하는 테스트 포인트가 삽입된 반도체 집적회로 장치.
  2. 제 1 항에 있어서,
    상기 제 1 선택 수단은, 상기 제 1 선택 신호에 응답해서 상기 정규 데이터와 상기 관측 데이터 중 어느 하나를 선택하기 위한 2-to-1 멀티플렉서인 것을 특징으로 하는 테스트 포인트가 삽입된 반도체 집적회로 장치.
  3. 제 1 항에 있어서,
    상기 제 2 선택 수단은, 상기 제 2 선택 신호에 응답해서 상기 데이터 전달 수단에 구비된 상기 스캔 셀로부터 전달되는 데이터와 상기 정규 데이터 중 어느 하나를 선택하기 위한 2-to-1 멀티플렉서인 것을 특징으로 하는 테스트 포인트가 삽입된 반도체 집적회로 장치.
  4. 제 3 항에 있어서,
    상기 제 2 논리 회로에 n개의 조절 포인트들이 구비되는 경우,
    상기 n 개의 조절 포인트들에 각각 대응하는 복수 개의 스캔 셀들과; 그리고
    상기 n 개의 조절 포인트들에 각각 대응하며, 각각이 대응하는 스캔 셀의 출력과 상기 정규 데이터 중 어느 하나를 대응하는 조절 포인트로 전달하는 복수 개의 제 2 선택 수단들을 더 포함하는 것을 특징으로 하는 테스트 포인트가 삽입된 반도체 집적회로 장치.
  5. 제 4 항에 있어서,
    상기 n 개의 제 2 선택 수단은, 상기 제 2 선택 신호를 공유하는 것을 특징으로 하는 테스트 포인트가 삽입된 반도체 집적회로 장치.
  6. 반도체 집적회로 장치에 있어서:
    적어도 하나의 관측 포인트를 가지며, 정규 데이터와 관측 데이터를 출력하되, 상기 관측 데이터는 상기 관측 포인트에서 얻어지는 제 1 논리 회로와;
    상기 제 1 논리 회로로부터 출력되는 정규 데이터 및 관측 데이터를 받아들이고, 제 1 선택 신호에 응답하여 입력된 정규 데이터와 관측 데이터 중 하나를 선택하는 제 1 선택 수단과;
    상기 제 1 선택 수단에 의해서 선택된 데이터를 받아들이고, 스캔 쉬프트 동작을 통해 상기 입력된 데이터를 출력하는 적어도 하나의 스캔 셀을 갖는 데이터 전달 수단과;
    상기 스캔 셀로부터 출력되는 데이터와 상기 제 1 논리 회로에서 출력되는 정규 데이터를 받아들이고, 제 2 선택 신호에 응답하여 입력된 데이터 중 어느 하나를 선택하는 제 2 선택 수단과;
    상기 제 2 선택 수단에 의해서 선택된 데이터를 받아들이는 적어도 하나의 조절 포인트를 갖는 제 2 논리 회로와; 그리고
    상기 데이터 전달 수단의 스캔 셀에 직렬 연결되는 복수의 스캔 셀들을 가지며, 상기 데이터 전달 수단의 스캔 셀의 출력에 응답하여 상기 제 1 및 제 2 선택 신호들을 출력하는 선택 신호 발생 수단을 포함하는 것을 특징으로 하는 테스트 포인트가 삽입된 반도체 집적회로 장치.
  7. 제 6 항에 있어서,
    상기 제 1 선택 수단은, 상기 제 1 선택 신호에 응답해서 상기 정규 데이터와 상기 관측 데이터 중 어느 하나를 선택하기 위한 2-to-1 멀티플렉서인 것을 특징으로 하는 테스트 포인트가 삽입된 반도체 집적회로 장치.
  8. 제 6 항에 있어서,
    상기 제 2 선택 수단은, 상기 제 2 선택 신호에 응답해서 상기 데이터 전달 수단의 출력 신호와 상기 정규 데이터 중 어느 하나를 선택하기 위한 2-to-1 멀티플렉서인 것을 특징으로 하는 테스트 포인트가 삽입된 반도체 집적회로 장치.
  9. 제 6 항에 있어서,
    상기 제 2 논리 회로에 n개의 조절 포인트들이 구비되는 경우,
    상기 n 개의 조절 포인트들에 각각 대응하는 복수 개의 스캔 셀들과; 그리고
    상기 n 개의 조절 포인트들에 각각 대응하며, 각각이 대응하는 스캔 셀의 출력과 상기 정규 데이터 중 어느 하나를 대응하는 조절 포인트로 전달하는 복수 개의 제 2 선택 수단들을 더 포함하는 것을 특징으로 하는 테스트 포인트가 삽입된 반도체 집적회로 장치.
  10. 제 7 또는 제 8 항에 있어서,
    상기 제 1 및 2 선택 신호는, 상기 선택 신호 발생 수단에 구비된 상기 스캔 셀들의 출력 신호인 것을 특징으로 하는 테스트 포인트가 삽입된 반도체 집적회로 장치.
  11. 제 6 항에 있어서,
    상기 선택 신호 발생 수단에 구비된 상기 스캔 셀들이 리셋 가능할 경우, 상기 반도체 집적회로 장치가 정규 동작을 수행할 때 상기 제 1 및 제 2 선택수단에서 상기 정규 데이터가 선택될 수 있도록, 리셋 신호에 응답해서 상기 스캔 셀들을 리셋 시키는 것을 특징으로 하는 테스트 포인트가 삽입된 반도체 집적회로 장치.
  12. 제 11 항에 있어서,
    상기 선택 신호 발생 수단에 구비된 상기 스캔 셀들이 리셋 가능하지 않을 경우, 상기 반도체 집적회로 장치가 정규 동작을 수행할 때 상기 제 1 및 제 2 선택수단에서 상기 정규 데이터가 선택될 수 있도록, 상기 스캔 셀들의 출력 신호 대신 상기 리셋 신호를 상기 제 1 및 제 2 선택수단으로 출력하기 위한 리셋 신호 전달 수단을 더욱 포함하는 것을 특징으로 하는 테스트 포인트가 삽입된 반도체 집적회로 장치.
  13. 제 11 또는 12 항에 있어서,
    상기 리셋 신호는, 상기 반도체 집적회로 장치의 정규 동작과 테스트 동작을 선택하기 위한 신호가 외부로부터 인가되는 경우, 상기 신호로 대체될 수 있는 것을 특징으로 하는 테스트 포인트가 삽입된 반도체 집적회로 장치.
  14. 제 6 항에 있어서,
    상기 선택 신호 발생 수단의 상기 스캔 셀들은, 상기 반도체 집적 회로 장치 내에 구비되어 있는 스캔 셀들인 것을 특징으로 하는 테스트 포인트가 삽입된 반도체 집적회로 장치.
  15. 제 6 항에 있어서,
    상기 선택 신호 발생 수단의 상기 스캔 셀들은, 상기 반도체 집적 회로 장치 내에 구비되어 있는 스캔 셀들 외에 추가된 스캔 셀들인 것을 특징으로 하는 테스트 포인트가 삽입된 반도체 집적회로 장치.
KR10-2000-0051126A 2000-08-31 2000-08-31 테스트 포인트가 삽입된 반도체 집적회로 장치 KR100381959B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR10-2000-0051126A KR100381959B1 (ko) 2000-08-31 2000-08-31 테스트 포인트가 삽입된 반도체 집적회로 장치
US09/828,879 US6573742B2 (en) 2000-08-31 2001-04-10 Semiconductor integrated circuit with test points inserted thereinto
JP2001248259A JP5139612B2 (ja) 2000-08-31 2001-08-17 テストポイントを挿入した半導体集積回路装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2000-0051126A KR100381959B1 (ko) 2000-08-31 2000-08-31 테스트 포인트가 삽입된 반도체 집적회로 장치

Publications (2)

Publication Number Publication Date
KR20020017646A KR20020017646A (ko) 2002-03-07
KR100381959B1 true KR100381959B1 (ko) 2003-05-01

Family

ID=19686382

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-0051126A KR100381959B1 (ko) 2000-08-31 2000-08-31 테스트 포인트가 삽입된 반도체 집적회로 장치

Country Status (3)

Country Link
US (1) US6573742B2 (ko)
JP (1) JP5139612B2 (ko)
KR (1) KR100381959B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220143536A (ko) * 2021-04-16 2022-10-25 연세대학교 산학협력단 스캔 체인의 자가 테스트를 위한 삽입 노드 결정 방법 및 장치

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7185249B2 (en) * 2002-04-30 2007-02-27 Freescale Semiconductor, Inc. Method and apparatus for secure scan testing
ATE464570T1 (de) * 2005-02-11 2010-04-15 Nxp Bv Testen einer integrierten schaltung mit mehreren taktdomänen
US7689897B2 (en) 2005-05-19 2010-03-30 Freescale Semiconductor, Inc. Method and device for high speed testing of an integrated circuit
JP4561992B2 (ja) 2005-07-29 2010-10-13 日本電気株式会社 半導体集積回路設計装置および半導体集積回路設計方法
KR101114946B1 (ko) * 2005-08-22 2012-03-06 삼성전자주식회사 경로데이터 전달장치
JP6167617B2 (ja) * 2013-04-02 2017-07-26 株式会社ソシオネクスト 設計支援方法、設計支援プログラム、および設計支援装置
US10643734B2 (en) * 2018-06-27 2020-05-05 Micron Technology, Inc. System and method for counting fail bit and reading out the same
US10976367B2 (en) * 2018-12-13 2021-04-13 Micron Technology, Inc. Controller structural testing with automated test vectors
CN114217204B (zh) * 2021-11-29 2024-06-07 芯盟科技有限公司 一种用于观察插入测试电路的测试点的电路和方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6319571A (ja) * 1986-07-14 1988-01-27 Nec Eng Ltd 集積回路
JPH05150014A (ja) * 1991-11-27 1993-06-18 Fujitsu Ltd 半導体集積回路
CA2187466A1 (en) * 1995-10-19 1997-04-20 Kwang-Ting Cheng Method for inserting test points for full- and partial-scan built-in self-testing
US5737340A (en) * 1996-07-01 1998-04-07 Mentor Graphics Corporation Multi-phase test point insertion for built-in self test of integrated circuits
EP0826974B1 (en) * 1996-08-30 2005-10-19 Texas Instruments Incorporated Device for testing integrated circuits
KR100499818B1 (ko) * 1997-01-06 2005-11-22 가부시끼가이샤 히다치 세이사꾸쇼 반도체집적회로검사점의해석방법,해석장치
US6363520B1 (en) * 1998-06-16 2002-03-26 Logicvision, Inc. Method for testability analysis and test point insertion at the RT-level of a hardware development language (HDL) specification

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220143536A (ko) * 2021-04-16 2022-10-25 연세대학교 산학협력단 스캔 체인의 자가 테스트를 위한 삽입 노드 결정 방법 및 장치
KR102513278B1 (ko) 2021-04-16 2023-03-23 연세대학교 산학협력단 스캔 체인의 자가 테스트를 위한 삽입 노드 결정 방법 및 장치

Also Published As

Publication number Publication date
US20020024352A1 (en) 2002-02-28
KR20020017646A (ko) 2002-03-07
JP2002131391A (ja) 2002-05-09
US6573742B2 (en) 2003-06-03
JP5139612B2 (ja) 2013-02-06

Similar Documents

Publication Publication Date Title
EP0628831B1 (en) Bidirectional boundary scan test cell
US6813738B2 (en) IC test cell with memory output connected to input multiplexer
US6701476B2 (en) Test access mechanism for supporting a configurable built-in self-test circuit and method thereof
US6861866B2 (en) System on chip (SOC) and method of testing and/or debugging the system on chip
US5570375A (en) IEEE Std. 1149.1 boundary scan circuit capable of built-in self-testing
US5084874A (en) Enhanced test circuit
US5495487A (en) Testing buffer/register
US7237162B1 (en) Deterministic BIST architecture tolerant of uncertain scan chain outputs
US7814444B2 (en) Scan compression circuit and method of design therefor
Pouya et al. Synthesis of zero-aliasing elementary-tree space compactors
US8819508B2 (en) Scan test circuitry configured to prevent violation of multiplexer select signal constraints during scan testing
US20050172192A1 (en) Scan based automatic test pattern generation (ATPG) test circuit, test method using the test circuit, and scan chain reordering method
US8700962B2 (en) Scan test circuitry configured to prevent capture of potentially non-deterministic values
KR100381959B1 (ko) 테스트 포인트가 삽입된 반도체 집적회로 장치
JPH0772872B2 (ja) 順序ディジタル論理回路の組み込み自己検査用装置
Wang et al. VirtualScan: Test compression technology using combinational logic and one-pass ATPG
EP0358371B1 (en) Enhanced test circuit
JP2003121497A (ja) 論理回路テスト用スキャンパス回路及びこれを備えた集積回路装置
Shaer et al. Pseudo-exhaustive testing of sequential circuits
Sajida et al. INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY IMPLEMENTATION OF POWER EFFICIENT PROGRAMMABLE PRPG USING BS-LFSR BASED ON BIST

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment
FPAY Annual fee payment
FPAY Annual fee payment

Payment date: 20190329

Year of fee payment: 17