KR100365166B1 - 금속화층을캐핑(capping)하는방법및장치 - Google Patents

금속화층을캐핑(capping)하는방법및장치 Download PDF

Info

Publication number
KR100365166B1
KR100365166B1 KR1019960705079A KR19960705079A KR100365166B1 KR 100365166 B1 KR100365166 B1 KR 100365166B1 KR 1019960705079 A KR1019960705079 A KR 1019960705079A KR 19960705079 A KR19960705079 A KR 19960705079A KR 100365166 B1 KR100365166 B1 KR 100365166B1
Authority
KR
South Korea
Prior art keywords
layer
bond
metallization
barrier layer
barrier
Prior art date
Application number
KR1019960705079A
Other languages
English (en)
Other versions
KR970701921A (ko
Inventor
피터 엠. 웨일러
Original Assignee
내셔널 세미콘덕터 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 내셔널 세미콘덕터 코포레이션 filed Critical 내셔널 세미콘덕터 코포레이션
Publication of KR970701921A publication Critical patent/KR970701921A/ko
Application granted granted Critical
Publication of KR100365166B1 publication Critical patent/KR100365166B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/0212Auxiliary members for bonding areas, e.g. spacers
    • H01L2224/02122Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body
    • H01L2224/02163Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body on the bonding area
    • H01L2224/02165Reinforcing structures
    • H01L2224/02166Collar structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04042Bonding areas specifically adapted for wire connectors, e.g. wirebond pads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05083Three-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/1147Manufacturing methods using a lift-off mask
    • H01L2224/1148Permanent masks, i.e. masks left in the finished device, e.g. passivation layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45144Gold (Au) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48599Principal constituent of the connecting portion of the wire connector being Gold (Au)
    • H01L2224/486Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48638Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/48644Gold (Au) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48599Principal constituent of the connecting portion of the wire connector being Gold (Au)
    • H01L2224/486Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48638Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/48647Copper (Cu) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L24/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01014Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01023Vanadium [V]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01028Nickel [Ni]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01057Lanthanum [La]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01072Hafnium [Hf]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01327Intermediate phases, i.e. intermetallics compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Wire Bonding (AREA)

Abstract

금속화층과 본딩층 사이의 금속간 화합물 성장을 감소시키는 반도체 디바이스 상에 본드 패드 ( bond pad ; 20 ) 를 제조하는 방법이 개시되어 있다. 우선적으로 금속화층 (26) 은 기판 (22) 상에 데포지트 된다. 다음에 오는 단계에는 상기 금속화 층 (26) 상에 장벽층 (28) 을 데포지트하는 단계, 상기 장벽층 (28) 의 일부를 마스킹하는 단계, 및 상기 장벽층 (28) 과 상기 금속화층 (26) 을 에칭하는 단계가 포함된다. 장벽 (28) 및 금속화 층 (26) 의 에칭은 장벽층 (28) 과 금속화 층 (26) 모두에 대한 마스크로서 장벽층 마스크를 이용하여 이행된다. 부가적인 단계에는 장벽층 (28) 상에 놓인 비도전층 (24) 을 등각 (conformal) 으로 데포지트하는 단계, 상기 비도전층 (24) 의 일부를 마스크하는 단계 및 상기 비도전층 (24) 을 에칭하는 단계가 포함된다. 비도전층 (24) 을 에칭하면 장벽층 (28) 의 노출 영역이 형성된다. 이러한 방법의 나중 단계는 상기 장벽층 (28) 의 노출 영역 상에 본드층 (30) 을 형성하는 단계를 포함하는데, 여기서 하나의 가능한 형성 방법은 전기분해 공정을 이용한다. 따라서, 캡형 금속화층을 지니는 본드층 (20) 은 두가지만의 마스킹 및 에칭 단계로 형성된다. 이러한 본드 패드는 대략 200 ℃ 까지의 주위 온도에 견뎌낸다.

Description

금속화층을 캐핑 (capping) 하는 방법 및 장치{METHOD AND APPARATUS FOR CAPPING METALLIZATION LAYER}
발명의 배경
본 발명은 일반적으로 반도체 다이상에 제조된 본드 패드 (bond pad)에 관한 것이다. 보다 구체적으로는, 본드 패드의 형성시, 장벽층은 상기 금속화층 상에 데포지트되고, 상기 장벽층은 마스크되며, 차후에 상기 장벽층과 금속화층 모두는 한 단계에서 에칭된다. 그후 본딩층은 바람직하기로는 전기분해 공정을 사용하여 상기 장벽층 상에 형성된다.
반도체 디바이스 분야에서, 간단하고 신뢰성이 있으며 저렴한 본드 패드를 제조하는 것은 제조상의 주요 관심사이다. 본드 패드는 반도체 다이 기판내에 배치된 디바이스 소자에 배선되어 다이의 외부에 있는 구성요소에 배선하기에 적당한 다이의 노출된 접촉 영역을 제공한다. 한가지 전형적인 경우에서, 본딩 와이어는 한쪽 단부에 있는 본딩 패드 및 다른쪽 단부에 있는 리드 프레임의 일부에 부착된다. 제조 공정을 단순화하고, 신뢰성을 향상시키거나 본드 패드의 비용을 감소시키는 임의의 개선책은 반도체 디바이스의 상업적 제조에 관련된것들에 경쟁적인 이점을 제공할 수 있다.
하나의 보편적이고 간단하며 저렴한 본드 패드는 단지 노출된 알루미늄 부분이다. 금 본딩 와이어는 이러한 알루미늄 패드에 본딩된다. 주위 온도가 대략 150℃ 미만인 경우, 금 와이어와 알루미늄 패드 사이의 물리적 부착 및 전기적 접속은 충분히 신뢰성이 있다. 그렇지만, 온도가 150 ℃ 이상으로 상승하는 경우, 본드는 금과 알루미늄의 금속간 화합물의 성장으로 인해 급속하게 변질된다. 즉, 상기 두 금속은 서로간에 확산되기 시작하여 알루미늄-금의 화학 조성물을 형성하기 시작한다.
결과적으로, 다공율 (porosity), 층간분리 (delamination) 및 공극 (voiding) 이 상기 본드내에서 일어난다. 시간 경과 및 온도 증가는 이러한 관계를 악화시키는 경향이 있으며, 상기 본드는 결국 실패로 돌아간다. 결과적으로, 잠정적인 신뢰성 문제로 인해 주위 온도가 150 ℃ 를 초과하는 조건하에 알루미늄 본드 패드를 사용하는 것이 금지된다. 게다가, 주위 온도가 대략 150 ℃ 미만인 경우도, 알루미늄 본드 패드는 단지 이것이 노출되는 이유로 부식되기 쉽다.
이 문제에 대한 한가지 선행 기술의 해결책이 도 1을 참조하여 기술된다. 우선적으로, 일루미늄 금속화층 (12) 은 웨이퍼 (10) 전반에 걸쳐 데포지트된다. 그리고 나서 이 금속화층 (12) 은 마스킹 및 에칭되어 기판 (10) 내의 디바이스 소자에 전기적으로 접속되는 금속화층 (12) 의 영역을 제공한다. 다음으로, 비도전층 (14) 은 웨이퍼 전반에 걸쳐 등각 (conformal) 으로 데포지트된다. 비도전층 (14) 은 또한 마스크 및 에칭되어, 금속화층 (12) 의 노출 영역을 제공한다. 그리고 나서, 장벽층(16) 은 웨이퍼 전반에 걸쳐 데포지트된다. 최종적으로, 금 본드 층 (18) 은 반응 스퍼터링 (reactive sputtering) 에 의해 웨이퍼 전반에 걸쳐 데포지트되며, 금 본드층 (18) 및 장벽층 (16)은 금속화층의 사전 노출된 영역들만이 도포되도록 동시에 마스킹 및 에칭된다. 따라서 금 본딩 와이어는 금속화층(12) 과 전기적으로 접촉을 하는 금 본드층 (18) 에 직접적으로 접속될 수 있다. 장벽층 (16)은 금속간 화합물이 금속화층(12) 과 금 본드층 (18) 사이에 형성되는 것을 방지하는 재료로 구성된다.
전기한 방법이 본드 패드의 금속간 열화 (degradation) 및 금속화층의 부식을 방지하지만, 상기 방법은 일반적으로 대규모 생산에는 이행되어 오지 않았다. 이는 많은 제조 단계를 필요로 하고 재료가 고가이기 때문이다. 이 공정에서는 3 가지 비용이 많이 드는 마스크 및 에칭 단계가 필요하다는 사실이 주목된다. 이는 알루미늄 본드 패드에 전형적으로 요구되는 것보다 하나가 더 많다. 게다가, 금 에칭 단계로 인해, 상기 공정에 사용되는 상당량의 금은 최종 생성물에 사용되지 않고 또한 재생될 수도 없다. 보다 비용 유효성이 있는 방식으로 금속화층을 효과적으로 캐핑하는 공정이 요구된다.
발명의 개요
전기한 내용 및 기타 목적을 얻기 위해 그리고 본 발명의 목적에 따라, 금속화층의 부식을 방지하고 본드 패드와 본딩 와이어 사이의 금속간 화합물 성장을 억제하는 저렴한 캐핑 방법 및 장치가 개시되어 있다.
본 발명의 한 실시예에 따른 방법에서는 금속화층을 기판상에 그리고 중간의 마스크 및 에칭 단계없이 장벽층을 금속화층상에 데포지트하여 반도체 디바이스 상의 본드 패드 제조가 계획된다. 다음에는 장벽층은 마스크되고 장벽층과 금속화층은 동시에 또는 순차적으로 에칭되어 본드 패드 영역을 형성한다. 그 후, 비도전성안정화 (passivation) 층은 등각으로 데포지트되어 장벽층을 덮는다. 그리고 나서 본드층은 장벽층의 노출 영역상에 형성된다. 본드층은 전기분해 공정을 사용하여 형성되는 것이 바람직하다.
몇가지 실시예에서, 본드층은 장벽층 상에 직접 형성된다. 다른 실시예에서, 접촉층은 본딩층과 전기 접점의 접착력을 그들 사이에서 향상시키도록 캐핑 및 본딩층 사이에 형성된다. 사용할 때, 장벽층은 전기분해 공정을 사용하여 또한 데포지트될 수 있다.
본 발명의 또 다른 실시 태양에 따라, 본드 패드를 향상시킨 반도체 디바이스가 개시되어 있다. 본드 패드 각각은 금속화층, 장벽층, 비도전층 및 본드층을 지닌다. 금속화층은 기판의 일부상에 형성되어 이와 전기적으로 접촉된다. 장벽층은 금속층 상에 놓여있다. 비도전층은 기판상에 형성되어 장벽층을 부분적으로 덮는다. 본드층은 장벽층을 부분적으로 덮어 씌우고 이와 전기적으로 접촉된다. 장벽층은 금속화층의 부식 및 상기 금속화층과 본드층 사이의 금속간 화합물 성장을 차후에 방지하는데 이용된다. 또 다른 실시예에서, 접촉층은 장벽층과 본드층 사이에 삽입된다.
한 바람직한 실시예에서, 금속화층은 알루미늄 이나 알루미늄 합금 중 하나로 형성되고, 장벽층은 니켈-바나듐 이나 티타늄 텅스텐 중 하나를 포함하며 본드층은 금으로 형성된다. 접촉층을 포함하는 또 다른 바람직한 실시예에서, 상기 접촉층은 구리를 포함하는 재료로 형성된다.
또 다른 바람직한 실시예에서, 금속화 및 장벽층 모두는 반응 스퍼터링에 의해 데포지트된다.
도면의 간단한 설명
본 발명의 부가적 목적 및 이점과 함께 본 발명은 첨부된 도면과 관련하여 기술된 다음의 설명을 참조하면 가장 양호하게 이해될 수 있다.
도 1 은 선행 기술의 캡형 반도체 다이 본드 패드에 대한 개략적인 단면도.
도 2 는 본 발명의 제1 실시예에 따른 반도체 다이 본드 패드에 대한 개략적인 단면도.
도 3a 는 본 발명의 제 1 실시예에 따른 부분적으로 제조된 반도체 다이의 기판에 대한 개략적인 단면도.
도 3b 는 금속화 및 장벽층이 데포지트된 후 도 3a 의 본드 패드 부위에 대한 개략적인 단면도.
도 3c 는 금속화 및 장벽층이 마스크 및 에칭된 후 도 3b 의 반도체 다이다이에 대한 개략적인 단면도.
도 3d 는 비도전층이 기판 및 장벽층 상에 등각 (conformal) 으로 데포지트되고 에칭된 후 도 3c 의 반도체 다이에 대한 개략적인 단면도. 및
도 4 는 본 발명의 제 2 실시예에 따른 반도체 다이에 대한 단면도로서, 접촉층 및 본딩층이 장벽층상에 데포지트되어 있는 도면.
발명의 상세한 설명
당업자들에게 널리 공지되어 있는 바와 같이, 대량 생산되는 반도체 디바이스는 많은 디바이스를 포함하는 웨이퍼를 사용하여 통상적으로 대량 제조된다. 따라서, 본 발명은 본 발명이 웨이퍼 처리에 적용되는 단계에서 기술될 것이다. 웨이퍼 처리중에, 많은 명확한 웨이퍼 영역들은 개별적인 디바이스가 되며, 디바이스 각각은 일반적으로 집적 회로 또는 다이로 칭한다. 그러므로, 웨이퍼 상에서 이행되는 임의의 처리 단계가 웨이퍼를 포함하는 모든 다이상에서 이행됨에 따라, 복수개의 다이는 동시에 제조될 수 있다. 복수개의 다이상에서 동시에 이행될 수 있는 예시적인 처리 단계들은 비도전층을 데포지트하는 단계, 금속화 층을 데포지트하는 단계, 및 마스킹 및 에칭 단계를 포함한다. 부가적인 처리 단계이후, 이러한 다이 각각은 반도체 패키지에서 통상 "칩" 으로 사용된다.
다음에는, 각 개별적인 다이에는 전기적 상호접속 또는 최종 반도체 디바이스를 구성하는 여러 가지 소자들 사이의 " 배선 " (wriring) 을 필요로 하는 많은 디바이스 소자들이 있다. 예를들어, MOS 체계 집적 회로의 경우에서, 상호접속을 필요로 하는 전형적인 디바이스 소자들은 소스, 게이트 및 드레인을 포함한다. 일반적으로, 상기 배선은 내부 디바이스 소자들 사이의 상호접속 및/또는 외부 접속하기 위해 배치된 외부 노출 영역 어느 하나를 제공하기 위해 이행된다. 디바이스 소자 (또는 소자들) 와 전기 접촉된 외부 노출 영역을 제공하는 배선은 본드 패드 (bond pad) 라 불린다. 본 발명이 단일의 본드 패드의 생산 뿐만 아니라 웨이퍼상의 다이 본드 패드의 대량 생산에 사용되는 반면, 본명의 확실한 특징들은 단일의 본드 패드에 관하여 종종 가장 양호하게 기술된다. 따라서, 예시하기 위해, 도면들에는 본 발명의 한 실시예에 따른 단일의 본드 패드가 도시되어 있다. 게다가, 다음의 설명에는 단일의 본드 패드에 관한 논의와 웨이퍼의 논의가 담겨 있다. 그렇지만, 본 발명이 두 경우에 관련되는 방법은 반도체 설계 분야의 당업자에게는 명백할 것이다.
우선 도 2 를 참조하여, 본 발명의 한 실시예에 따른 본드 패드 (20) 가 기술될 것이다. 이러한 본드 패드 (20) 는 다이상에 위치한 복수개의 본드 패드 중 하나일 수 있으며, 또한 상기 다이는 웨이퍼상에 위치한 복수개의 다이 중 하나일 수 있다. 도 2 에 도시된 바와같이, 본드 패드 (20) 는 기판 (22) 상에 형성되며 비도전층 (24) 으로 부분적으로 캡슐봉입된다. 본드 패드 (20) 는 금속화층 (26), 장벽층 (28) 및 본드층 (30) 을 포함한다. 본드 패드 (20) 는 기판 (22) 내에 존재하는 반도체 디바이스 소자 (도시되지 않음) 와 전기적으로 접촉된다. 이러한 디바이스 소자는 도시되지 않은 부가적인 금속화층을 통해 다른 디바이스 소자에 부가적으로 배선될 수 있다. 다른 층들의 제조 및 배선 기술은 반도체 디바이스 설계의 기술 분야의 당업자들에게는 널리 공지되어 있다. 이러한 것들이 본 발명에 직접 관련되지 않기 때문에, 디바이스 소자 및 다른 금속화층 어느 것도 본원에 기술되어 있지 않으며 도면에도 도시되어 있지 않다.
본 발명의 한 바람직한 실시예가 도 2 및 도 3a-3d 를 참조하여 기술될 것이다. 공정은 도 3a 에 도시된 바와 같은 부분 제조된 반도체 디바이스에서 시작된다. 상기 부분 제조된 디바이스는 기판 (22) 과 기타 층들 및 도시되지 않은 구성 요소들을 포함한다. 디바이스 기판 (22) 은 앞서 기술된 바와같이, 보통은 복수개의 유사 디바이스를 포함하는 웨이퍼의 일부이다. 기판상에 존재할 수 잇는 층들은 금속화층, 비도전층, 전계산화물층 및 장벽층들을 포함한다. 본드 패드와의 산호접속을 포함한, 이러한 층들의 설계 및 기능은 반도체 디바이스 설계의 기술분야에서 당업자들에게 널리 공지되어 있다.
본드 패드를 형성할 시기가 된 경우, 금속화층 및 장벽층은 도 3b 에 예시된 웨이퍼의 표면 전반에 걸쳐 데포지트된다. 도면에서 보는 바와 같이 금속화층 (26) 이 우선 기판상에 도포되나서 장벽층 (28) 이 상기 금속화층상에 도포된다. 금속화층 (26) 은 임의의 적당한 기술을 사용하여 데포지트될 수 있다. 예를들면, 반응 스퍼터링 공정이 효과적인 것으로 밝혀졌다. 당업자들이 알고 있는 바와 같이, 금속화층 (26) 의 두께 뿐만 아니라 상기층을 형성하는데 사용되는 재료는 특정 용도에 따라 크게 달라질 수 있다. 예를들면, 금속화층을 형성하는 적당한 재료는 알루미늄이다. 스퍼터식 알루미늄이 금속화층으로 사용되는 경우, 대략 0.5-1 마이크론 범위내의 두께가 효과적인 것으로 밝혀졌다.
금속화층이 데포지트된 후, 장벽층 (28)이 금속화층 (26) 상에 데포지트된다. 금속화층과 마찬가지로, 장벽층은 반응 스퍼터링 공정과 같은 임의의 적당한 기술을 사용하여 데포지트될 수 있다. 장벽층은 금속화층과 본드층 사이의 금속간 화합물 성장 및 금속층의 부식을 방지하는데 이용되며, 상기 두 층들 사이에 양호한 전기적 접촉을 이용한다. 따라서, 여러가지 금속들이 장벽층 (28) 으로 사용될 수 있다. 예를들면, 니켈-바나듐 및 티타늄 텡스텐은 알루미늄 금속화층을 캐핑하는데 효과적이다. 이와 같은 캐핑 재료들이 스퍼터되는 경우, 대략 500-2000 Å 범위, 보다 바람직하기로는 대략 1000-1500 Å 범위내의 캐핑 두께가 효과적이다. 한 바람직한 실시예에서, 금속화층 (26) 과 장벽층 (28) 모두는 동일한 데포지션 방법을 사용하여 데포지트되지만, 이는 필수요건이 아니다.
금속화 및 장벽층들이 도포된 후, 웨이퍼는 마스킹 및 에칭되어 본드 패드가 위치하게 될 복수개의 본드 패드 영역 (29) 을 형성한다. 상기 에칭은 본드 패드 부위가 되지 않는 영역내의 금속화 및 장벽층을 효과적으로 제거한다. 물론, 대부분의 디바이스에서, 제거된 영역들 (예컨대, 본드 패드 영역의 외부에 있는 부위)은 디바이스 표면이 대부분이다. 마스킹 및 에칭 단계 이후의 본드 패드 영역(29)의 외형이 도 3c 에 예시 되어 있다. 마스킹 단계에서, 마스크는 본드 패드 (20) 에 사용될 금속화층 (26) 과 장벽층 (28) 의 부분을 덮는 장벽층 (28) 상에 형성된다. 그리고 나서, 에칭 단계에서, 장벽층 (28) 과 금속화층 (26) 의 바람직하지 않은 부분들이 에칭 제거된다. 이는, 금속화층 (26) 과 장벽층 (28) 의 바람직한 본드 패드 부분과 함께 전기한 하부층의 노출 부분을 만들어 낸다. 당업계에 공지된 바와 같이, 수많은 에칭 공정 (예컨대, 건식 플라즈마 에칭 또는 묽은 HF를 사용하는 습식 에칭) 들이 있으며, 실제로 이용되는 공정은 본 발명에 특별히 관련하지 않는다. 몇가지 실시예에서, 단일의 에칭제 (echant) 를 사용하여 금속화 및 장벽층 모두를 제거하는 것이 바람직한 반면 다른 실시예에서는 상이한 에칭제가 각각의 층을 제거하도록 사용될 수 있다. 여하튼, 금속화층 (26) 을 데포지트한 직후 장벽층 (28) 을 데포지트하면 상기 두 층은 단일의 마스크를 사용하여 마스킹 및 에칭될 수 있다는 점을 주목하는 것이 중요하다. 이는 선행 기술에 요구되는 두개의 마스크 단계와 비교된다.
일단 본드 패드 영역 (29) 들이 노출된 경우, 비도전층 (24) 은 웨이퍼 전반에 걸쳐 등각으로 도포되며, 다음으로 상기 웨이퍼는 마스킹 및 에칭되어 본드 영역 (29) 노출 부분을 제공한다. 비도전층 (24) 은 하부층의 노출 영역들을 전기적으로 절연시키며 또한 본드 패드 영역 (29) 을 덮는다. 상기 에칭은 비도전층 (24) 의 일부를 효과적으로 제거하여 본드 영역 (29) 의 노출 부분 (31) 을 만들어 낸다. 여기서, 이전의 에칭 단계와 대비하여, 대부분의 디바이스 표면은 비도전층 (24) 으로 덮힌 채로 남아있다.
비도전층의 데포지션 및 마스킹과 에칭 단계 이후의 노출된 영역 (31) 을 지니는 본드 영역 (29) 의 외형이 도 3d 에 도시되어 있다. 첫째로, 비도전층 (24) 은 웨이퍼상에 등각으로 데포지트되어, 장벽층 (28) 의 노출 부분, 금속화층 (26) 및 전기한 하부층을 완전히 절연시킨다. 비도전층은 산화물, 질화물, 및 인 규산염 ( phosphorous silicate ) 유리와 같은 유리를 포함하는 임의 수의 종래의 유전체 재료일 수 있음을 이해해야 한다. 마스킹 단계에서, 마스크는 디바이스 표면을 절연시키는데 사용되는 비도전층 (24) 의 일부를 덮는 웨이퍼상에 형성된다. 다음에는, 에칭 단계에서, 비도전층 (24) 의 바람직하지 않은 부분들은 제어되어 노출 영역 (31) 을 만들어 낸다. 당업계에 공지된 바와 같이, 수많은 에칭 공정 (예컨대, 건식 플라즈마 에칭 또는 묽은 HF 를 사용하는 습식 에칭) 들이 있으며, 실제 이용되는 공정은 본 발명에 특별히 관련하지 않는다. 당업자들은 비도전층 (28) 을 데포지트, 마스킹 및 에칭하는 단계가 종래의 공정에 의해 이행될 수 있음을 이해할 것이다.
영역 (31) 이 노출된 후, 본드 층 (30) 은 각 노출 영역 (31) 상에 데포지트된다. 상기 본드층은 다이의 외부에 있는 구성요소에 배선하기 적합한 다이의 노출된 접촉영역을 제공한다. 따라서, 외부 구성요소에 배선하기 적합한 임의 재료는 본드층에 적당하다. 예를들어, 금이 효과적인 것으로 밝혀졌다. 본드 층 (30) 은 다양한 공정에 의해 형성될 수 있다. 마스킹 및 에칭 단계에 앞선, 웨이퍼 전반에 걸친 반응 스퍼터링에 의한 본드층의 데포지션은 본 발명의 범위내에 있다. 그렇지만, 노출 영역 (31) 의 상부에만 놓인 본드 층 재료의 고립된 " 아일랜드 (island) " 를 데포지트하는 다른 방법들은 더 많은 이점이 있을 수 있다. 한 바람직한 실시예에서, 본드층 (30) 은 노출 영역 (31) 만의 상부에 놓인채 전기분해 공정에 의해 데포지트된다. 한 실시예에서, 본드층 (30) 은 금을 포함하며 대략 1000-5000 Å, 보다 바람직하기로는 2000-3000 Å 범위의 두께를 갖는다. 전기 분해를 이용함으로써, 본드층 (30) 은 장벽층 (28) 의 노출 영역 (31) 만의 상부에 놓인채 정확하게 배치될 수 있다. 따라서, 본 발명의 한 바람직한 실시에에서, 본드층 (30) 의 제조에 요구되는 마스킹 및 에칭 단계는 없다. 대조적으로, 선행 기술은 웨이퍼 전반에 걸친 본드층의 데포지션에 관한 것으로, 차후의 마스킹 및 에칭 단계를 필요로 한다.
장벽층 (28) 은 본드층 (30) 과 금속화층 (26) 사이에 전기적 접촉을 이루지만, 상기 두 층 사이의 상호 작용을 효과적으로 방지함을 이해해야 한다. 게다가, 니켈 바나듐, 티타늄 텅스텐 또는 동등한 장벽 재료 어느 하나로 구성된 장벽층 (28) 은 하부 금속화 층 (26) 의 부식을 효과적으로 방지할 수 있으며 적어도 200 ℃ 의 온도까지 금속화층 (26) 과 본드 층 (30) 사이의 상호작용을 실질적으로 억제할 수 있다. 당업자가 이해하는 바와 같이, 장벽 층 (28) 을 어닐 (anneal) 공정처리할 필요성이 있다. 어닐링 단계가 요구되는 경우, 대략 400. -500 ℃ 범위내의 어닐링 온도가 효과적인 것으로 밝혀졌다.
본 발명의 한 실시예는 도 2 에 도시된 바와 같은 장벽층 (28) 상에 직접 데포지트된 본딩 층 (30) 을 갖는다. 도 4 에 도시된 변형 실시예에서, 접촉층 (32) 은 장벽층 (28) 과 본드층 (30) 사이에 삽입된다. 몇몇 경우에서, 장벽층 (28) 과 본드층 (30) 에 대해 선택된 재료, 혹은 형성방법에 따라서, 만족한 전기적 접촉이 상기 두 층 사이에 이루어지지 않을 수 있다. 다른 경우에서는, 장벽층 (28) 과 본드층 (30) 사이의 접착이 충분하지 않을 수 있다. 몇몇 경우에서, 부가적인 접촉층 (32) 은 양호한 전기적 접촉을 향상시키며 및/또는 장벽층 (28) 과 본드층 (30) 사이의 접착을 보장한다. 따라서 접촉층 (28) 에 대해 선택된 재료는 이러한 사실을 염두해 두고 선택되어야 한다. 예로써, 장벽층 (28) 이 니켈 바나듐이고 본드 층 (30) 이 금인 경우, 구리 접촉층 (32) 이 효과적인 것으로 밝혀졌다.
본드층 (30) 과 마찬가지로, 접촉층 (32) 은 마스킹 및 에칭 단계와 결합된 반응 스퍼터링을 포함한 여러 가지의 공정에 의해 형성될 수 있다. 그렇지만, 한 바람직한 실시예에서, 접촉층 재료의 고립 아일랜드는 노출 영역 (31) 상에만 데포지트된다. 다시한번, 전기분해법은 이러한 아일랜드를 형성하는 적당한 방법이다. 한 실시에에서, 접촉층 (32) 은 구리를 포함하며 대략 500-2000 Å, 보다 바람직하기로는 1000-1500 Å 범위내의 두께를 갖는다.
전형적으로 도 2 및 도 4 에 도시된, 부분적으로 제조된 반도체 다이는 부가적인 처리 단계를 거쳐 반도체 패키지의 주요 구성요소가 된다. 한 가능한 처리 단계에서, 금 본딩 와이어는 반도체 패키지의 외부에 있는 또 다른 구성요소에 본드 패드 (20) 를 전기적으로 접속하는데 사용된다. 그리고 나서 부가적인 처리 및 패키징 단계가 최종 반도체 패키지를 형성하기 위해 이행된다.
본 발명에 대한 몇가지 실시예만이 기술되었지만, 본 발명은 본 발명의 참뜻이나 범위를 벗어나지 않고 다른 많은 특정 형태로 구체화될 수 있음을 이해해야 한다. 특히, 여러 가지 층과 구성요소들의 정확한 크기, 모양 및 배치는 본 발명의 범위내에서 크게 변화될 수 있음을 이해해야 한다. 게다가, 각각의 층에 사용되는 재료 성분은 변경될 수 있고 양호한 전기적 접촉 및 요구되는 신뢰성을 제공한다. 더욱이, 전기한 설명이 단일의 본드 패드에 대해서 자주 언급되었지만, 당업자들은 이러한 공정이 임의 의 본드 패드를 형성하는데 사용될 수 있고, 상기 갯수가 이러한 공정에 국한되지 않음을 이해할 것이다. 따라서, 본 실례들은 예시적일뿐 제한적이지 않은 것으로 여겨져야 하며, 본 발명은 본원에 주어진 상술에 국한되는 것이 아니라, 첨부된 특허청구범위내에서 수정될 수 있다.

Claims (5)

  1. 반도체 디바이스에 있어서,
    기판; 및
    본드패드를 포함하며,
    상기 본드 패드가,
    상기 기판의 일부 상에 형성되고, 상기 기판의 일부에 전기적으로 접촉하며, 알루미늄을 포함하는 금속화층;
    상기 금속화층 상에 형성되고, 상기 금속화층에 전기적으로 접촉하며, 니켈-바나듐을 포함하는 장벽층;
    상기 기판 상에 형성되고, 상기 장벽층을 부분적으로 덮는 비도전성 안정화층, 및
    상기 장벽층을 부분적으로 덮고, 상기 장벽층과 전기적으로 접촉하며, 전기분해에 의해 데포지트된 금을 포함하는 본드층을 포함하며,
    상기 장벽층은 금속화층의 부식, 및 금속화층과 본드층 사이의 금속간 화합물의 형성을 실질적으로 방지하는 것을 특징으로 하는 반도체 디바이스.
  2. 제 1 항에 있어서, 상기 본드층과 상기 장벽층 사이에 삽입된 접촉층을 더 포함하는 것을 특징으로 하는 반도체 디바이스.
  3. 제 2 항에 있어서, 상기 접촉층은 전기 분해에 의해 데포지트된 구리를 포함하는 것을 특징으로 하는 반도체 디바이스.
  4. 제 3 항에 있어서, 상기 접촉층은 대략 500-2000 Å 범위내의 두께를 갖는 것을 특징으로 하는 반도체 디바이스.
  5. 제 1 항에 기술된 복수개의 반도체 디바이스로 구성된 것을 특징으로 하는 반도체 웨이퍼.
KR1019960705079A 1995-01-13 1995-12-26 금속화층을캐핑(capping)하는방법및장치 KR100365166B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/372,386 1995-01-13
US08/372,386 US5559056A (en) 1995-01-13 1995-01-13 Method and apparatus for capping metallization layer

Publications (2)

Publication Number Publication Date
KR970701921A KR970701921A (ko) 1997-04-12
KR100365166B1 true KR100365166B1 (ko) 2003-03-10

Family

ID=23467891

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960705079A KR100365166B1 (ko) 1995-01-13 1995-12-26 금속화층을캐핑(capping)하는방법및장치

Country Status (4)

Country Link
US (1) US5559056A (ko)
EP (1) EP0750790A1 (ko)
KR (1) KR100365166B1 (ko)
WO (1) WO1996021944A1 (ko)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5793104A (en) * 1996-02-29 1998-08-11 Lsi Logic Corporation Apparatus for forming electrical connections between a semiconductor die and a semiconductor package
US5879572A (en) * 1996-11-19 1999-03-09 Delco Electronics Corporation Method of protecting silicon wafers during wet chemical etching
US5882954A (en) * 1997-10-06 1999-03-16 Ford Motor Company Method for adhering a metallization to a substrate
TW505984B (en) 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
KR100482364B1 (ko) * 1997-12-31 2005-09-05 삼성전자주식회사 반도체소자의다층패드및그제조방법
US6875681B1 (en) 1997-12-31 2005-04-05 Intel Corporation Wafer passivation structure and method of fabrication
US6794752B2 (en) * 1998-06-05 2004-09-21 United Microelectronics Corp. Bonding pad structure
US6297160B1 (en) * 1999-03-12 2001-10-02 Taiwan Semiconductor Manufacturing Company Application of pure aluminum to prevent pad corrosion
US6261939B1 (en) * 1999-12-23 2001-07-17 Philips Semiconductors, Inc. Pad metallization over active circuitry
US6874211B2 (en) * 2001-03-05 2005-04-05 Agilent Technologies, Inc. Method for producing thin film bulk acoustic resonators (FBARs) with different frequencies on the same substrate by subtracting method and apparatus embodying the method
US6720204B2 (en) * 2002-04-11 2004-04-13 Chartered Semiconductor Manufacturing Ltd. Method of using hydrogen plasma to pre-clean copper surfaces during Cu/Cu or Cu/metal bonding
US7023093B2 (en) * 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
US20070096281A1 (en) * 2005-11-02 2007-05-03 Greenberg Robert J Implantable microelectronic device and method of manufacture
US8872035B2 (en) 2011-08-02 2014-10-28 Medtronic, Inc. Hermetic feedthrough
US9724524B2 (en) 2011-08-02 2017-08-08 Medtronic, Inc. Interconnection of conductor to feedthrough
US9008779B2 (en) 2011-08-02 2015-04-14 Medtronic, Inc. Insulator for a feedthrough
US8588916B2 (en) * 2011-08-02 2013-11-19 Medtronic, Inc. Feedthrough configured for interconnect
US8841558B2 (en) 2011-08-02 2014-09-23 Medtronic Inc. Hermetic feedthrough
US8670829B2 (en) 2011-08-02 2014-03-11 Medtronic, Inc. Insulator for a feedthrough
US9627833B2 (en) 2011-08-02 2017-04-18 Medtronic, Inc. Electrical leads for a feedthrough

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4182781A (en) * 1977-09-21 1980-01-08 Texas Instruments Incorporated Low cost method for forming elevated metal bumps on integrated circuit bodies employing an aluminum/palladium metallization base for electroless plating
US5136364A (en) * 1991-06-12 1992-08-04 National Semiconductor Corporation Semiconductor die sealing

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5516425A (en) * 1978-07-21 1980-02-05 Toshiba Corp Semiconductor device
JPS59172252A (ja) * 1983-03-22 1984-09-28 Hitachi Micro Comput Eng Ltd 半導体装置
US5310699A (en) * 1984-08-28 1994-05-10 Sharp Kabushiki Kaisha Method of manufacturing a bump electrode
JPS6331138A (ja) * 1986-07-24 1988-02-09 Fujitsu Ltd 半導体装置の製造方法
JPS63114145A (ja) * 1986-10-30 1988-05-19 Nec Corp 半導体装置の製造方法
JPH01166542A (ja) * 1987-12-22 1989-06-30 Fujitsu Ltd 半導体装置の製造方法
EP0339871A3 (en) * 1988-04-29 1990-12-27 Advanced Micro Devices, Inc. Corrosion tolerant bonding pad and method of fabricating same
US4927505A (en) * 1988-07-05 1990-05-22 Motorola Inc. Metallization scheme providing adhesion and barrier properties
US4853347A (en) * 1988-10-03 1989-08-01 Motorola, Inc. Selective metal deposition process
KR940010510B1 (ko) * 1988-11-21 1994-10-24 세이꼬 엡슨 가부시끼가이샤 반도체 장치 제조 방법
JPH02277242A (ja) * 1989-04-18 1990-11-13 Nec Corp 半導体装置の製造方法
JPH03190232A (ja) * 1989-12-20 1991-08-20 Fujitsu Ltd 半導体装置の製造方法
JP2740050B2 (ja) * 1991-03-19 1998-04-15 株式会社東芝 溝埋込み配線形成方法
US5272666A (en) * 1991-10-18 1993-12-21 Lattice Semiconductor Corporation Programmable semiconductor antifuse structure and method of fabricating
US5227336A (en) * 1991-12-27 1993-07-13 Small Power Communication Systems Research Laboratories Co., Ltd. Tungsten chemical vapor deposition method
US5232873A (en) * 1992-10-13 1993-08-03 At&T Bell Laboratories Method of fabricating contacts for semiconductor devices

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4182781A (en) * 1977-09-21 1980-01-08 Texas Instruments Incorporated Low cost method for forming elevated metal bumps on integrated circuit bodies employing an aluminum/palladium metallization base for electroless plating
US5136364A (en) * 1991-06-12 1992-08-04 National Semiconductor Corporation Semiconductor die sealing

Also Published As

Publication number Publication date
KR970701921A (ko) 1997-04-12
US5559056A (en) 1996-09-24
EP0750790A1 (en) 1997-01-02
WO1996021944A1 (en) 1996-07-18

Similar Documents

Publication Publication Date Title
KR100365166B1 (ko) 금속화층을캐핑(capping)하는방법및장치
US5834365A (en) Method of forming a bonding pad
US5707894A (en) Bonding pad structure and method thereof
US6664129B2 (en) Integrated circuits and methods for their fabrication
US5010389A (en) Integrated circuit substrate with contacts thereon for a packaging structure
US5492235A (en) Process for single mask C4 solder bump fabrication
US6593222B2 (en) Method to improve the reliability of thermosonic gold to aluminum wire bonds
US5059553A (en) Metal bump for a thermal compression bond and method for making same
KR100714253B1 (ko) 반도체 장치의 제조 방법
US6150725A (en) Semiconductor devices with means to reduce contamination
JP4564166B2 (ja) ウエハ・パッシベーション層の形成方法
US6417087B1 (en) Process for forming a dual damascene bond pad structure over active circuitry
US4761386A (en) Method of fabricating conductive non-metallic self-passivating non-corrodable IC bonding pads
US6025277A (en) Method and structure for preventing bonding pad peel back
US5309025A (en) Semiconductor bond pad structure and method
US20060180933A1 (en) Semiconductor device and manufacturing method of the same
US20020100949A1 (en) Bonding pad structure of semiconductor device and method for fabricating the same
JPH11330231A (ja) 金属被覆構造
US20030052415A1 (en) Solder bump structure and a method of forming the same
GB2364170A (en) Dual damascene bond pad structure for lowering stress and allowing circuitry under pads
US6838769B1 (en) Dual damascene bond pad structure for lowering stress and allowing circuitry under pads
US5053851A (en) Metal bump for a thermal compression bond and method for making same
US20020185743A1 (en) Wafer level chip-scale package and a method for manufacturing
JP2001326242A (ja) 半導体装置およびその製造方法
EP0540519B1 (en) Method for making a thermal compression bond

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20131129

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20141128

Year of fee payment: 13

EXPY Expiration of term